CN106067433A - 半导体处理***中的外部基板旋转 - Google Patents

半导体处理***中的外部基板旋转 Download PDF

Info

Publication number
CN106067433A
CN106067433A CN201610248104.3A CN201610248104A CN106067433A CN 106067433 A CN106067433 A CN 106067433A CN 201610248104 A CN201610248104 A CN 201610248104A CN 106067433 A CN106067433 A CN 106067433A
Authority
CN
China
Prior art keywords
substrate
chamber
rotary module
transfer chamber
process chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201610248104.3A
Other languages
English (en)
Other versions
CN106067433B (zh
Inventor
T·A·恩古耶
A·K·班塞尔
J·C·罗查-阿尔瓦瑞兹
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to CN202110890839.7A priority Critical patent/CN113611594A/zh
Publication of CN106067433A publication Critical patent/CN106067433A/zh
Application granted granted Critical
Publication of CN106067433B publication Critical patent/CN106067433B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67715Changing the direction of the conveying path
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67766Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • H01L21/681Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment using optical controlling means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68792Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the construction of the shaft
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

公开了一种半导体处理***中的外部基板旋转。本文公开一种用于处理半导体的方法和设备。在一个实施例中,公开了一种用于半导体处理的处理***。处理腔室包括两个传送腔室、处理腔室和旋转模块。处理腔室耦接至传送腔室。旋转模块定位在传送腔室之间。旋转模块配置成旋转基板。传送腔室配置成在处理腔室与传送腔室之间传送基板。在另一实施例中,本文公开了一种用于在装置上处理基板的方法。

Description

半导体处理***中的外部基板旋转
技术领域
本公开总体上涉及用于半导体处理中的膜均匀性的方法和设备。更具体地,本公开涉及具有耦接在两个传送腔室之间以增加半导体处理中的膜均匀性的旋转模块的处理***。
背景技术
半导体器件性能是由多种因素确定的。一个重要因素是沉积在基板上的膜的均匀性。期望均匀地沉积膜以使得跨基板的表面最小化厚度变化。例如,可期望形成跨基板的表面小于约5%的厚度变化的膜。
然而,膜均匀性可能不利地受若干因素影响,所述因素包括加热器温度、腔室几何形状、工艺气流不均匀性和等离子体不均匀性等等。这些因素可能导致非均匀膜在基板的表面上的沉积,这可能最终降低器件性能。
在处理期间旋转基板提供改进的均匀性。然而,在处理期间旋转基板要求昂贵的设备,诸如滑环和旋转接头。
因此,存在对在半导体处理中的膜均匀性的改进的装置和方法的需要。
发明内容
在一个实施例中,本文公开了一种半导体处理***。此处理***包括两个传送腔室、处理腔室和旋转模块。所述处理腔室耦接至两个传送腔室中的一个传送腔室。所述旋转模块定位在传送腔室之间。所述旋转模块配置成旋转基板。
在另一实施例中,本文公开了一种用于处理基板的方法。所述方法包括在处理腔室中的基板上沉积膜的第一部分。所述方法包括将基板传送至旋转模块。旋转基板预定的量。将基板往回传送到处理腔室中。在基板上沉积膜的第二部分。
在另一实施例中,本文公开了一种半导体处理***。此处理***包括传送腔室、处理腔室和旋转模块。处理腔室耦接至两个传送腔室。旋转模块耦接至传送腔室。旋转模块配置成当基板的一部分保持在传送腔室中时旋转所述基板。
附图说明
因此,为了可详细地理解本公开的上述特征的方式,可参考实施例得出以上简要概述的本公开的更具体的描述,在附图中示出实施例中的一些。然而应注意的是,所附附图仅示出本公开的典型实施例,并且因此不被视为本公开的范围的限制,因为本公开可允许其他等效实施例。
图1示出根据一个实施例的包括至少一个旋转模块的处理***的俯视图。
图2示出根据一个实施例的图1的旋转模块的侧视图。
图3示出根据一个实施例的图1的处理***的旋转模块部分的另一实施例的侧视图。
图4示出根据一个实施例的处理基板的方法。
图5A至图5C示出根据一个实施例的图1的旋转模块的侧视图,这些图描绘基板如何被放置在基板支撑组件上。
图6示出根据一个实施例的具有旋转模块的处理***的俯视图。
图7示出根据一个实施例的具有旋转模块的处理***的俯视图。
为清楚起见,在适用的情况下,已使用相同的附图标记指定各图之间共同的相同元件。另外,一个实施例的元件可有利地适于在本文所述的其他实施例中利用。
具体实施方式
图1示出用于处理基板(未示出)的处理***100的示意图。处理***100包括两个传送腔室104a和104b、旋转模块106和一个或多个处理腔室108。处理***100也可包括负载锁定腔室110、工厂接口112和控制器113。工厂接口112配置成从处理***100装载和卸载基板。工厂接口112可包括适于装载将处理的基板以及存储已处理的基板的各种机器人和装载端口。
负载锁定腔室110将传送腔室104a耦接至工厂接口112。负载锁定腔室110选择性地与传送腔室104a流体地连通,以使得基板可在工厂接口112的大气环境与负载锁定腔室110之间被传送。传送腔室104a包括机器人114a。机器人114a配置成传送基板进出腔室106、108。传送腔室104b包括机器人114b。机器人114b配置成传送基板进出腔室106、108。
处理腔室108耦接至传送腔室104a、104b。在一个实施例中,处理腔室108可以是沉积腔室或处置腔室。适当的沉积腔室的示例包括但不限于:化学气相沉积(CVD)腔室、旋涂腔室、可流动CVD腔室、物理气相沉积(PVD)腔室、原子层沉积(ALD)腔室、外延沉积腔室等等。处置腔室的示例包括但不限于:热处置腔室、退火腔室、快速热退火腔室、激光处置腔室、电子束处置腔室、紫外线(UV)处置腔室、离子束注入腔室、离子浸没注入腔室等等。还构想到,处理腔室108中的一个或多个可以是另一类型的真空处理腔室。
旋转模块106耦接至传送腔室104a、104b。旋转模块106将传送腔室104a与传送腔室104b分离。旋转模块106允许传送腔室104a、104b之间的流体连通,以使得从腔室104a传送至104b的基板经过旋转模块106。旋转模块106配置成旋转基板。在图2中更详细地讨论旋转模块106。
继续参看图1,处理腔室108、旋转模块106、传送腔室104a和104b,以及负载锁定腔室110被连接以形成真空密闭平台116。一个或多个泵送***118耦接至负载锁定腔室110、传送腔室104a和104b、旋转模块106,以及处理腔室108。在图1中,示出耦接至负载锁定腔室110的单个泵送***118以避免图示杂乱。泵***118控制处理***100内的压力。泵***118可用于根据需要抽空并排空负载锁定腔室110,以便促进基板从真空密闭平台116的进入和移除。
处理***100由通信电缆120耦接至控制器113。控制器113可操作以控制在处理***100内对基板的处理。控制器113包括可编程中央处理单元(CPU)122,所述可编程CPU 122可与存储器124和大容量存储装置、输入控制单元和显示器单元(未示出)(诸如电源、时钟、高速缓冲存储器、输入/输出(I/O)电路等等)一起操作,并且耦接至处理***100的各个部件以便促进对处理基板的工艺的控制。控制器113也可包括用于通过处理***100中的传感器(未示出)来监测对基板的处理的硬件。
为了促进处理***100的控制和处理基板,CPU 122可以是用于控制基板工艺的任何形式的通用计算机处理器之一。存储器124耦接至CPU122并且存储器124是非暂态的,所述存储器124可以是容易获得的存储器中的一种或多种,诸如随机存取存储器(RAM)、只读存储器(ROM)、软盘驱动器、硬盘,或任何其他形式的数字存储设备(无论是在本地的还是在远程的)。支持电路126耦接至CPU 122以便以常规方式支持CPU 122。用于处理基板的工艺通常被存储在存储器124中。用于处理基板的工艺也可由第二CPU(未示出)储存和/或执行,所述第二CPU远离由CPU 122控制的硬件。
存储器124是含有指令的计算机可读存储介质的形式,当所述指令由CPU 122执行时促进在处理***100中处理基板的操作。存储器124中的指令是程序产品(诸如实施处理基板的操作的程序)的形式。程序代码可符合数个不同编程语言的任何一种。在一个实例中,本公开可实施为存储在与计算机***一起使用的计算机可读存储介质中的程序产品。程序产品的(多个)程序定义实施例的功能。说明性计算机可读存储介质包括,但不限于:(i)信息被永久地存储在其上的非可写存储介质(例如,计算机内的只读存储器装置,诸如由CD-ROM读取的CD-ROM盘,闪存、ROM芯片或任何类型的固态非易失性半导体存储器);以及(ii)可改变的信息存储在其上的写入存储介质(例如,磁盘驱动器内的软盘或硬盘驱动器或任何类型的固态随机存取半导体存储器)。此类计算机可读存储介质当携带引导本文所述方法的功能的计算机可读指令时是本公开的实施例。
图2示出旋转模块106的一个实施例。旋转模块106包括腔室主体202和基板支撑组件212。腔室主体202包括侧壁204、顶板206,和底部208。侧壁204、顶板206和底部208限定内部体积210。基板支撑组件212设置在内部体积210中。基板支撑组件212包括平台290、轴216和旋转致动器218。平台290具有配置成接收基板的基板接收表面214。轴216通过开口224贯穿腔室主体202的底部208。开口224由波纹管226密封。板294耦接至波纹管226并围绕轴216。轴封件292是滑动密封件,所述滑动密封件在轴的致动期间在板294和轴216之间提供真空密闭耦接。轴216耦接至平台290。在一个实施例中,基板支撑组件212进一步包括多个升降销222。所述多个升降销222被配置成延伸穿过基板接收表面214以升高和/或降低基板从而促进机器人式传送。
旋转致动器218可以是步进电机、伺服电机等等。在一个实施例中,基板支撑组件212进一步包括旋转传感器223。旋转致动器218耦接至基板支撑组件212的轴216。旋转致动器218可配置成旋转基板支撑组件212。旋转传感器223耦接至旋转致动器218。旋转传感器配置成测量基板支撑组件212的旋转。旋转传感器223可耦接至控制器(未示出)以向控制器提供实时的反馈。在一个实施例中,旋转传感器223可以是编码器。
在一个实施例中,基板支撑组件212进一步包括竖直致动器220。竖直致动器220配置成在z方向上竖直地移动轴216,以使得平台290被升高或降低。在图2中,平台290示出为处于升高的位置。
测量装置228耦接至旋转模块106的顶板206。在一个实施例中,测量装置228可以是椭率测量法装置,所述椭率测量法装置配置成通过形成在腔室主体202的顶板206中的窗口230检测沉积在基板上的膜的介电属性。动态计量学可提供对基板的旋转对膜属性均匀性的有效性的实时反馈。
在图2中所示的实施例中,基板支撑组件212完全地在旋转模块106的内部体积210内。基板支撑组件212不延伸至第一传送腔室104a的内部体积280中,也不延伸至第二传送腔室104b的内部体积282中。
图3示出根据一个实施例的图1的处理***100的一部分的侧视图。图3包括第一传送腔室104a、第二传送腔室104b和旋转模块106。旋转模块106耦接至第一传送腔室104a和第二传送腔室104b两者。旋转模块106允许第一传送腔室104a与第二传送腔室104b之间的流体连通,以使得可在第一传送腔室104a与第二传送腔室104b之间传送基板。在图3中所示的实施例中,基板支撑组件212不完全在旋转模块106的内部体积210内。相反,基板支撑组件212部分地延伸至第一传送腔室104a的内部体积280中和第二传送腔室104b的内部体积282中。例如,平台290可延伸至传送腔室104a、104b中。因此,在图3中所示的实施例中,旋转模块106具有比在图2中所示的旋转模块106的内部体积210更小的内部体积210。
图4示出在诸如图1中所描绘的处理***100中处理基板的方法400。方法400通过在第一处理腔室108中的基板上执行膜沉积工艺的第一部分而开始于框402。基板由设置在第一传送腔室104a中的机器人114a传送至第一处理腔室108。机器人114a配置成在传送腔室104a与处理腔室108之间移动基板。机器人114a将基板从负载锁定腔室110传送至第一传送腔室104a中。第一处理腔室108可以是沉积腔室,所述沉积腔室诸如CVD腔室、旋涂腔室、可流动CVD腔室、PVD腔室和ALD腔室,或者适合在基板上沉积膜的任何其他沉积腔室。第一处理腔室108中,对基板执行膜沉积工艺的第一部分。
在框404处,由机器人114a将基板从第一处理腔室108传送至旋转模块106,如图5A至图5B所示。图5A至图5B示出在方法400的框404处的旋转模块106。图5A示出当机器人正在将基板501定位在基板支撑组件212上时的旋转模块106。竖直致动器220在向下的z方向上致动基板支撑组件212以允许机器人114a将基板501放置在基板支撑组件212上。基板的升降销222是穿过支撑组件212的平台290而形成。在向上的z方向上致动升降销222,以使得当基板支撑组件212被降低时,升降销222在基板接收表面214上方延伸出。在降低的位置中,升降销222接触腔室主体202的底部208。结果,升降销222在基板接收表面214上方延伸出。来自机器人114a的机器人叶片550从传送腔室104a延伸穿过开口以将基板501定位在内部体积210中。致动升降销222允许基板接收表面214从机器人叶片550接收基板501而不阻碍机器人叶片550的通道。升降销222可在向下的z方向上致动以当从基板501下方移除叶片时,将基板501定位在平台290的基板接收表面214上。为了在向下的z方向上致动升降销222,在向上的z方向上致动基板支撑组件212,以使得升降销222不再接触腔室主体202的底部208。
图5B示出具有在延伸的位置中的被提升的基板支撑组件212的旋转模块106。竖直致动器220将基板支撑组件212致动至延伸的位置。在延伸的位置中,旋转致动器218配置成旋转基板支撑组件212(在图5C中示出)。如图所示,升降销222脱离与基板接触。现在,基板正停留在基板接收表面214上。在延伸的位置中,沉积在第一处理腔室108中的基板上的膜的属性可使用测量装置228来测量。测量膜的属性允许对在沉积工艺的各阶段期间的膜均匀性的更好的理解。
返回参看图4,在框406处,使旋转模块106旋转预定的角度,如图5C中所示。图5C示出如框406中所述的、经由旋转致动器218对基板501的旋转。旋转致动器218旋转基板支撑组件212的轴216,以使得平台290和基板501随着轴216一起旋转。基板501的旋转改变基板501相对于基板的原始位置的位置。在一个实施例中,旋转致动器218可在约1度与360度之间绕基板501的中心轴线旋转。例如,旋转致动器218可在约90与180度之间旋转基板501。一旦基板501被旋转,就以可逆序地执行图5A至图5C中所示的工艺,以使得机器人114a可从旋转模块106移除基板501。
继续参看图4,在框408处,将基板501从旋转模块106传送至第二处理腔室108。在第二处理腔室108中,基板501经历膜沉积工艺的第二部分,如由框410所示。机器人114b将基板501从旋转模块106传送至第二传送腔室104b,且随后传送至第二处理腔室108。膜沉积工艺的第二部分可以是与膜沉积工艺的第一部分相同的膜沉积工艺。例如,膜沉积工艺的第二部分可以是CVD腔室、旋涂腔室、可流动CVD腔室、PVD腔室和ALD腔室,或者适合在基板上沉积薄膜的任何其他沉积腔室。
基板的处理可通过重复图4中所述的方法400进行,直到在基板上已形成满意的膜为止。随后,可从处理***100移除基板。在一个实施例中,基板可被旋转约90度四次,以使得基板经历四次膜沉积工艺且被传送至旋转模块106四次。因此,当基板在处理腔室108中处于四个不同的取向时,基板可在处理腔室108中被处理。也可使用在旋转模块106的顶上的测量装置228单独地测量膜的属性四次。
图6示出根据一个实施例的用于处理基板的处理***600。处理***600类似于处理***100。相应地,已使用相同的附图标记指定上文参看图1描述的相同部件。处理***600包括传送腔室104、旋转模块606和一个或多个处理腔室108。处理***600也可包括负载锁定腔室110、工厂接口112和控制器113。一个或多个处理腔室108和旋转模块606耦接至传送腔室104。
旋转模块606类似于旋转模块106。相应地,已使用相同的附图标记指定上文参看图1、图2和图3描述的相同部件。旋转模块606与传送腔室104处于流体地连通。旋转模块606被配置成旋转基板。旋转模块606进一步包括基板支撑组件612。基板支撑组件612包括平台690。旋转模块606被尺寸设定为使得旋转模块606具有长度L,所述长度L小于平台690的直径D。因此,基板支撑组件612部分地延伸至传送腔室104中。相比平台690的直径D的旋转模块606的长度L具有若干优点。旋转模块606的处理体积V被减小,导致将抽空旋转模块606所需的更少的时间。另外,因为平台690延伸至旋转模块606中,所以去除了移动的部件(诸如在传送腔室和旋转模块之间的狭缝阀门)。
图7示出根据一个实施例的用于处理基板的处理***700。处理***700类似于处理***100。相应地,已使用相同的附图标记指定上文参看图1描述的相同部件。处理***700包括传送腔室104、旋转模块706和一个或多个处理腔室108。旋转模块706定位在负载锁定位置处。旋转模块706配置成旋转基板。旋转模块706和一个或多个处理腔室108与传送腔室104流体地连通。
虽然上述内容针对本公开的实施例,但是可设计本公开的其他和进一步的实施例而不背离本公开的基本范围,并且本公开的范围由所附权利要求书来确定。
元件符号
100 处理***
104a 腔室
104b 腔室
106 腔室
108 腔室
110 负载锁定腔室
112 工厂接口
113 控制器
114a 机器人
114b 机器人
116 真空密闭平台
118 泵***
120 通信电缆
122 中央处理单元
124 存储器
126 支持电路
202 腔室主体
204 侧壁
206 顶板
210 内部体积
212 基板支撑组件
214 基板接收表面
216 轴
218 旋转致动器
220 竖直致动器
222 升降销
223 旋转传感器
224 开口
226 波纹管
228 测量装置
230 窗口
280 内部体积
282 内部体积
290 平台
292 轴密封件
294 板
400 方法
402 步骤
404 步骤
406 步骤
408 步骤
410 步骤
501 基板
550 机器人叶片
600 处理***
606 旋转模块
612 基板支撑组件
690 平台
700 处理***
706 旋转模块

Claims (15)

1.一种用于半导体处理的处理腔室,所述处理腔室包含:
两个传送腔室;
处理腔室,耦接至所述两个传送腔室中的一个传送腔室;
旋转模块,定位在所述传送腔室之间,所述旋转模块配置成旋转基板。
2.一种用于半导体处理的处理腔室,所述处理腔室包含:
传送腔室;
处理腔室,耦接至所述传送腔室;
旋转模块,耦接至所述传送腔室,所述旋转模块被配置当基板的一部分保持在所述传送腔室中时旋转所述基板。
3.如权利要求1或2所述的处理***,其中所述旋转模块包含:
腔室主体,限定内部体积;
基板支撑组件,设置在所述内部体积中;
旋转致动器,耦接至所述基板支撑组件,所述旋转致动器配置成旋转所述基板支撑组件。
4.如权利要求3所述的处理***,其中所述旋转模块进一步包含:
旋转传感器,与所述旋转致动器连通,所述旋转传感器配置成测量所述基板支撑组件的旋转。
5.如权利要求3所述的处理***,其中所述旋转模块进一步包含:
竖直致动器,耦接至所述基板支撑组件,所述竖直致动器配置成竖直地移动所述基板支撑组件。
6.如权利要求3所述的处理***,其中所述旋转模块进一步包含:
测量模块,耦接至所述腔室主体,其中所述测量模块配置成通过形成在所述腔室主体中的窗口来检测沉积在基板上的膜的介电属性。
7.如权利要求3所述的处理***,其中所述基板支撑组件完全地被包含在所述旋转模块的所述内部体积内。
8.如权利要求3所述的处理***,其中所述基板支撑组件部分地延伸至第一传送腔室的内部体积和第二传送腔室的内部体积中。
9.一种用于处理基板的方法,所述方法包含以下步骤:
在处理腔室中的基板上沉积膜的第一部分;
将所述基板传送至旋转模块;
旋转所述基板预定的量;
将所述基板传送至处理腔室;
在所述处理腔室中的所述基板上沉积所述膜的第二部分。
10.如权利要求9所述的方法,进一步包含以下步骤:
在向下的z方向上致动所述处理腔室中的基板支撑组件;
将所述基板定位在所述处理腔室中的所述基板支撑组件上,其中所述处理腔室被配置成在所述基板上沉积所述膜的所述第一部分;以及
在向上的z方向上致动所述处理腔室中的所述基板支撑组件。
11.如权利要求9所述的方法,其中将所述基板从所述处理腔室、通过传送腔室传送至所述旋转模块。
12.如权利要求11所述的方法,其中所述基板定位在部分地延伸至所述传送腔室中的基板支撑组件上。
13.如权利要求9所述的方法,其中所述基板被旋转180°。
14.如权利要求9所述的方法,其中所述基板被旋转n次且经历n次沉积。
15.如权利要求9所述的方法,其中所述基板被旋转四次且经历四次沉积。
CN201610248104.3A 2015-04-23 2016-04-20 半导体处理***中的外部基板旋转 Active CN106067433B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202110890839.7A CN113611594A (zh) 2015-04-23 2016-04-20 半导体处理***中的外部基板旋转

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201562151799P 2015-04-23 2015-04-23
US62/151,799 2015-04-23

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN202110890839.7A Division CN113611594A (zh) 2015-04-23 2016-04-20 半导体处理***中的外部基板旋转

Publications (2)

Publication Number Publication Date
CN106067433A true CN106067433A (zh) 2016-11-02
CN106067433B CN106067433B (zh) 2021-07-27

Family

ID=57147999

Family Applications (3)

Application Number Title Priority Date Filing Date
CN201610248104.3A Active CN106067433B (zh) 2015-04-23 2016-04-20 半导体处理***中的外部基板旋转
CN202110890839.7A Pending CN113611594A (zh) 2015-04-23 2016-04-20 半导体处理***中的外部基板旋转
CN201620335567.9U Expired - Fee Related CN205954106U (zh) 2015-04-23 2016-04-20 用于半导体处理的处理***

Family Applications After (2)

Application Number Title Priority Date Filing Date
CN202110890839.7A Pending CN113611594A (zh) 2015-04-23 2016-04-20 半导体处理***中的外部基板旋转
CN201620335567.9U Expired - Fee Related CN205954106U (zh) 2015-04-23 2016-04-20 用于半导体处理的处理***

Country Status (5)

Country Link
US (3) US10431480B2 (zh)
JP (3) JP6966181B2 (zh)
KR (2) KR102543643B1 (zh)
CN (3) CN106067433B (zh)
TW (1) TWI677046B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113767187A (zh) * 2019-04-19 2021-12-07 应用材料公司 形成含金属材料的方法

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI677046B (zh) * 2015-04-23 2019-11-11 美商應用材料股份有限公司 半導體處理系統中的外部基板材旋轉
US10249525B2 (en) * 2016-10-03 2019-04-02 Applied Materials, Inc. Dynamic leveling process heater lift
WO2018125752A1 (en) * 2016-12-31 2018-07-05 Applied Materials, Inc. Apparatus and methods for wafer rotation to improve spatial ald process uniformity
SG11202009105YA (en) * 2018-03-20 2020-10-29 Tokyo Electron Ltd Self-aware and correcting heterogenous platform incorporating integrated semiconductor processing modules and method for using same
US11499666B2 (en) * 2018-05-25 2022-11-15 Applied Materials, Inc. Precision dynamic leveling mechanism with long motion capability
KR20200000638A (ko) * 2018-06-25 2020-01-03 주성엔지니어링(주) 기판 처리 장치 및 기판 처리 방법
CN117305815A (zh) 2018-09-28 2023-12-29 应用材料公司 具有动态调平的同轴升降装置
TWI696224B (zh) * 2018-10-08 2020-06-11 馗鼎奈米科技股份有限公司 真空製程設備與真空製程方法
US10886155B2 (en) * 2019-01-16 2021-01-05 Applied Materials, Inc. Optical stack deposition and on-board metrology
CN111235543B (zh) * 2020-01-21 2022-03-15 南京京东方显示技术有限公司 一种真空腔旋转角度异常的校正装置及方法
US20220108907A1 (en) * 2020-10-05 2022-04-07 Applied Materials, Inc. Semiconductor substrate support leveling apparatus
JP2022104056A (ja) 2020-12-28 2022-07-08 東京エレクトロン株式会社 搬送装置
WO2023164228A1 (en) * 2022-02-28 2023-08-31 Applied Materials, Inc. Crossflow deposition with substrate rotation for enhanced deposition uniformity

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5135349A (en) * 1990-05-17 1992-08-04 Cybeq Systems, Inc. Robotic handling system
JP2002324829A (ja) * 2001-07-13 2002-11-08 Tokyo Electron Ltd 処理システム
US20050111938A1 (en) * 2003-11-10 2005-05-26 Blueshift Technologies, Inc. Mid-entry load lock for semiconductor handling system
JP2005259902A (ja) * 2004-03-10 2005-09-22 Hitachi Kokusai Electric Inc 基板処理装置
JP2006028577A (ja) * 2004-07-15 2006-02-02 Canon Anelva Corp Cvd装置
JP2007005435A (ja) * 2005-06-22 2007-01-11 Rorze Corp 処理装置
US20070134821A1 (en) * 2004-11-22 2007-06-14 Randhir Thakur Cluster tool for advanced front-end processing
CN101101888A (zh) * 2006-07-04 2008-01-09 Psk有限公司 衬底传送装置以及使用该装置的衬底加工***
CN101562124A (zh) * 2008-04-18 2009-10-21 爱德牌工程有限公司 用于处理衬底的设备和方法
CN103493180A (zh) * 2011-04-25 2014-01-01 应用材料公司 半导体基板处理***
WO2014006804A1 (ja) * 2012-07-04 2014-01-09 東京エレクトロン株式会社 基板処理装置

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
IL99823A0 (en) * 1990-11-16 1992-08-18 Orbot Instr Ltd Optical inspection method and apparatus
KR0165484B1 (ko) * 1995-11-28 1999-02-01 김광호 탄탈륨산화막 증착 형성방법 및 그 장치
KR19980029384A (ko) * 1996-10-25 1998-07-25 김광호 반도체 소자 제조 장치
US7619752B2 (en) * 2000-03-21 2009-11-17 J. A. Woollam Co., Inc. Sample orientation system and method
CN1759051B (zh) * 2002-07-22 2014-01-08 布鲁克斯自动化公司 衬底处理装置
KR20070008533A (ko) * 2003-11-10 2007-01-17 블루쉬프트 테크놀로지스, 인코포레이티드. 진공-사용 반도체 핸들링 시스템에서 작업 편을 핸들링하기위한 방법 및 시스템
JP4963469B2 (ja) * 2005-06-24 2012-06-27 株式会社アルバック 位置修正装置、位置修正方法
JP2005328081A (ja) * 2005-07-12 2005-11-24 Hitachi Kokusai Electric Inc 基板処理装置および半導体装置の製造方法
TW200715448A (en) * 2005-07-25 2007-04-16 Canon Anelva Corp Vacuum processing apparatus, semiconductor device manufacturing method and semiconductor device manufacturing system
KR20090035578A (ko) * 2006-07-03 2009-04-09 어플라이드 머티어리얼스, 인코포레이티드 향상된 전단부 처리를 위한 클러스터 기기
JP5068780B2 (ja) * 2009-03-04 2012-11-07 東京エレクトロン株式会社 成膜装置、成膜方法、プログラム、およびコンピュータ可読記憶媒体
US20100227059A1 (en) 2009-03-04 2010-09-09 Tokyo Electron Limited Film deposition apparatus, film deposition method, and computer readable storage medium
CN101956173B (zh) * 2009-07-20 2013-06-05 鸿富锦精密工业(深圳)有限公司 使用承载组件的镀膜装置
JP5993625B2 (ja) * 2012-06-15 2016-09-14 株式会社Screenホールディングス 基板反転装置、および、基板処理装置
CN106133873B (zh) 2014-03-12 2019-07-05 应用材料公司 在半导体腔室中的晶片旋转
US10236197B2 (en) 2014-11-06 2019-03-19 Applied Materials, Inc. Processing system containing an isolation region separating a deposition chamber from a treatment chamber
TWI677046B (zh) * 2015-04-23 2019-11-11 美商應用材料股份有限公司 半導體處理系統中的外部基板材旋轉
JP2022104056A (ja) * 2020-12-28 2022-07-08 東京エレクトロン株式会社 搬送装置

Patent Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5135349A (en) * 1990-05-17 1992-08-04 Cybeq Systems, Inc. Robotic handling system
JP2002324829A (ja) * 2001-07-13 2002-11-08 Tokyo Electron Ltd 処理システム
US20050111938A1 (en) * 2003-11-10 2005-05-26 Blueshift Technologies, Inc. Mid-entry load lock for semiconductor handling system
JP2005259902A (ja) * 2004-03-10 2005-09-22 Hitachi Kokusai Electric Inc 基板処理装置
JP2006028577A (ja) * 2004-07-15 2006-02-02 Canon Anelva Corp Cvd装置
US20070134821A1 (en) * 2004-11-22 2007-06-14 Randhir Thakur Cluster tool for advanced front-end processing
JP2007005435A (ja) * 2005-06-22 2007-01-11 Rorze Corp 処理装置
CN101223636A (zh) * 2005-06-22 2008-07-16 日商乐华股份有限公司 衬底搬运机器人及处理装置
CN101101888A (zh) * 2006-07-04 2008-01-09 Psk有限公司 衬底传送装置以及使用该装置的衬底加工***
CN101562124A (zh) * 2008-04-18 2009-10-21 爱德牌工程有限公司 用于处理衬底的设备和方法
CN103493180A (zh) * 2011-04-25 2014-01-01 应用材料公司 半导体基板处理***
WO2014006804A1 (ja) * 2012-07-04 2014-01-09 東京エレクトロン株式会社 基板処理装置

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113767187A (zh) * 2019-04-19 2021-12-07 应用材料公司 形成含金属材料的方法

Also Published As

Publication number Publication date
KR20160126914A (ko) 2016-11-02
CN113611594A (zh) 2021-11-05
JP6966181B2 (ja) 2021-11-10
CN106067433B (zh) 2021-07-27
US20200035522A1 (en) 2020-01-30
US20230162999A1 (en) 2023-05-25
JP7350035B2 (ja) 2023-09-25
US11574825B2 (en) 2023-02-07
US10431480B2 (en) 2019-10-01
KR102543643B1 (ko) 2023-06-13
JP2023175774A (ja) 2023-12-12
TWI677046B (zh) 2019-11-11
TW201642380A (zh) 2016-12-01
US20160315000A1 (en) 2016-10-27
JP2022023889A (ja) 2022-02-08
CN205954106U (zh) 2017-02-15
JP2017005242A (ja) 2017-01-05
KR20230087431A (ko) 2023-06-16

Similar Documents

Publication Publication Date Title
CN106067433A (zh) 半导体处理***中的外部基板旋转
JP4985031B2 (ja) 真空処理装置、真空処理装置の運転方法及び記憶媒体
US11408070B2 (en) Wafer processing apparatus and wafer processing method
US11776828B2 (en) Vacuum processing device
US11282737B2 (en) Moving substrate transfer chamber
US20200083071A1 (en) Reduced footprint platform architecture with linear vacuum transfer module
TW201227864A (en) Vacuum processing apparatus
US10559483B2 (en) Platform architecture to improve system productivity
KR101715460B1 (ko) 가스 처리 방법
KR20220099089A (ko) 프로세스 모듈, 기판 처리 시스템 및 처리 방법
JP2010010259A (ja) 真空処理装置
JP7325313B2 (ja) 回転駆動装置、基板処理装置及び回転駆動方法
JP6937604B2 (ja) タングステン膜を形成する方法
US20200294833A1 (en) Substrate processing apparatus
JP6718755B2 (ja) 真空処理装置およびその運転方法
KR20140118718A (ko) 진공 처리 장치 및 진공 처리 장치의 운전 방법
KR20200002069A (ko) 멀티 카세트 로드락 챔버

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant