CN1759051B - 衬底处理装置 - Google Patents

衬底处理装置 Download PDF

Info

Publication number
CN1759051B
CN1759051B CN03822550.6A CN03822550A CN1759051B CN 1759051 B CN1759051 B CN 1759051B CN 03822550 A CN03822550 A CN 03822550A CN 1759051 B CN1759051 B CN 1759051B
Authority
CN
China
Prior art keywords
chamber
module
substrate
transportation
conveying
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
CN03822550.6A
Other languages
English (en)
Other versions
CN1759051A (zh
Inventor
C·A·霍夫梅斯特
R·T·卡夫尼
M·维斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Borucos Automation Usa Co ltd
Borukos Automation Holding Co ltd
Original Assignee
Brooks Automation Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Brooks Automation Inc filed Critical Brooks Automation Inc
Publication of CN1759051A publication Critical patent/CN1759051A/zh
Application granted granted Critical
Publication of CN1759051B publication Critical patent/CN1759051B/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G1/00Storing articles, individually or in orderly arrangement, in warehouses or magazines
    • B65G1/02Storage devices
    • B65G1/04Storage devices mechanical
    • B65G1/12Storage devices mechanical with separate article supports or holders movable in a closed circuit to facilitate insertion or removal of articles the articles being books, documents, forms or the like
    • B65G1/133Storage devices mechanical with separate article supports or holders movable in a closed circuit to facilitate insertion or removal of articles the articles being books, documents, forms or the like the circuit being confined in a horizontal plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67709Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations using magnetic elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67724Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations by means of a cart or a vehicule
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67727Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations using a general scheme of a conveying path within a factory
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67766Mechanical parts of transfer devices
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Mechanical Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

一种半导体工件处理装置,具有第一室,运输工具和另外的室。所述第一室能与外界气体环境隔离。运输工具处在第一室内并被第一室可动地支承着,以相对于第一室作直线运动。该运输工具包括可动地安装在底座上的整体半导体工件输送臂,该输送臂能相对于底座作多路径运动。另外的室通过第一室的可关闭开口与第一室联通。开口的尺寸做成使运输工具通过开口在第一室和另外的室之间移动。

Description

衬底处理装置
与相关申请的相互引用
本申请要求2002年7月22日提出的美国临时申请No.60/397,895,我们把它整体引用到这里作参考。
背景信息
1.技术领域
这里描述的实施例和方法与衬底处理装置有关,更具体地说,是关于具有按笛卡儿坐标相互关联的衬底处理装置。
2.先前研发状况简述
影响新电子装置消费者需求的因素之一自然是装置的价格。反过来说,若新装置的成本即其价格能降低,则对新电子装置的消费需求有利。电子装置制造成本的很大一部分是制作电子电路的成本,首先是用于制造和处理半导体衬底(如在制造电子元件中使用的),或制作显示器使用的面板所需的成本。衬底处理的成本部分取决于处理装置的成本,安装此处理装置的设备的成本,同时在很大程度上取决于该处理装置的生产率,后者对单价有很大的影响。我们很快就能意识到,处理装置本身的大小将影响到所有上述各因素。不过,传统的处理装置在减小尺寸方面看来已经走到了尽头。而且传统处理装置在增加单台产率方面看来已达到极限。例如,传统处理装置可能采用一种径向处理模块布局。图1所示为一种传统衬底处理装置的平面示意图。从图可见,图1的装置的处理模块是围绕处理装置的输送室按径向布置。这个输送装置是一个普通两或三运动轴(如Z,Θ,T轴)装置,其中心处于输送室内,以在处理模块之间输送衬底。从图1可知,传统处理装置的生产率受限于输送装置的搬运速度。换句话说,传统装置的生产率不可能只靠增加装置的处理模块而提高,因为一旦输送装置的搬运速率达到最高,就成为对生产率的控制因素。本发明的装置克服了以前方法的这类问题,这在下面将会进一步阐述。
各种实施例和方法概要
按照第一个实施例,本发明提供一种衬底处理装置。该装置包括输送室,至少一个用于保持衬底的衬底保持模块,运输工具,和另外的模块。此输送室中的大气可以控制。此至少一个衬底操作模块与输送室联通,以便在至少一个衬底操作模块和输送室之间输送衬底。运输工具被活动地安装在输送室内。此运输工具有一个底座和一个衬底输送臂,后者与底座活动连接和活动安装。另外的模块能保持衬底并与输送室联通,以将衬底输送到其间的室内。输送室为运输工具限定出直线运动槽。此至少一个保持模块处在该槽的一侧,且臂有一个活接头将衬底推到槽的另一侧。这样就可以让另外的模块选择性地与槽任一侧的输送室相连接。运输工具可以让衬底在输送室与保持模块和另外的模块两者之间传送。
按照另一个实施例,本发明提供一种衬底处理装置。该装置包括一个直线形输送室,至少一个用于衬底处理的处理模块、另外的模块和输送室。直线输送室内的大气可以控制。此室有一些衬底输送开口。处理模块与室的一侧联通,以通过输送开口在至少一个处理模块和输送室之间输送衬底。另外的模块可以将衬底保持在其中。另外的模块选择性地联至与该至少一个处理模块相同的室一侧或相反的室一侧。运输工具活动地安装在室内,以便在输送室内作直线运动。该运输工具有底座和与底座活动连接的衬底输送连接臂。输送臂有一个有效范围,以使运输工具能将衬底输送到输送室与至少一个处理模块和另一个处理模块两者之间。对于给定的运输工具衬底臂有效范围,输送室具有最小室宽度或最小衬底输送开口宽度中的至少一个。
按照另一个实施例,本发明提供一种半导体工件处理装置。该装置包括第一室、运输工具和另外的室。第一室能与外界大气隔离。运输工具处在第一室内且由第一室活动支撑,以相对于第一室作直线运动。运输工具包括一个底座和一个活动安装在底座上的整体半导体工件输送臂,并能相对于底座作多路径运动。另一室通过第一室的可关闭开口与第一室联通。开口的尺寸作成能让运输工具通过此开口进入第一室和另一室之间。
按照还有一个实施例,本发明提供一种衬底处理装置。该装置包括输送室、至少一个用于保持衬底的衬底保持模块、第一运输工具和第二运输工具。输送室内的大气可以控制。该至少一个衬底保持模块与输送室联通,以便将衬底输送到该至少一个衬底保持模块与输送室之间。第一运输工具活动安装在输送室内。第一运输工具有一个活动衬底输送臂,用来在输送室和该至少一个衬底保持模块之间移动衬底。第二运输工具活动安装在输送室内。第二运输工具有第二衬底输送臂,用来在输送室和该至少一个衬底保持模块之间移动衬底。输送室有几个用于第一和第二运输工具在其中移动的直线移动路径。当第一运输工具使用一个移动路径而第二运输工具使用的另一移动路径时,第一和第二运输工具能彼此超过对方运动。
附图说明
下面将结合附图对本发明以上各种形式及其它的特征对本发明进行描述,附图中:
图1是以前的衬底处理装置的平面示意图;
图2是包含本发明第一个实施例的特征的衬底处理装置的平面示意图;
图3是按本发明另一个实施例的衬底处理装置的平面示意图;
图4-5分别为按本发明另一些实施例的衬底处理装置的平面示意图;
图6是按本发明再一个实施例的衬底处理装置的平面示意图;
图7是按本发明另一个实施例的具有两个衬底处理装置的衬底处理***的平面示意图,图7A是按本发明另一些实施例的衬底处理***的另一个平面示意图;
图8是另一个传统衬底处理装置的平面示意图;
图9是一个包含一些传统处理装置和一个储料器的传统衬底处理***平面示意图;
图10是衬底处理装置的平面驱动***端视图;
图11A-11B分别为衬底处理装置另一种平面驱动***的端视图和剖视图(沿图11A的11B-11B线剖开);
图12是按该装置另一个实施例的衬底处理装置的一种小车的顶视图;
图12A是图12中的示例性小车在伸展位置的另一个顶视图;
图12B是图12中的示例性小车在装置的室的一部分内的端视图;
图13A是按装置另一个实施例的带驱动***和运输工具的该装置室的一部分的顶端视图;
图13B-13C分别为沿图13A的13B-13B线剖开的室和小车的剖视图和沿图13B的13C-13C线剖开的另一个剖视图;
图13D是该装置一个示例性驱动***例的示意图;
图14A是用在图2的装置的衬底处理装置的小车的另一个实施例的端视图;
图14B表示驱动***的轴向偏移Z和恢复力F的关系图;
图15-16分别为按另一个实施例的装置的半导体工件运输工具的示意透视图和展开正视图;
图17是按另一实施例的运输工具的示意透视图;
图18是图2输送装置一部分的剖视图和该装置的一个工件吸盘旋转装置;
图19-20分别是工件吸盘旋转装置和运输工具处在不同位置的正视图;
图21是按另一实施例的吸盘旋转装置另一正视图;
图22-23分别为该装置另一个运输工具实施例的顶视图和正视图;
图23A-23B是图22的运输工具当小车输送臂处在两个不同位置时的另一些顶视图;
图24是另一个运输工具实施例的正视图;
图24A-24C分别为图24的运输工具当小车的输送臂连杆处在三种不同位置时的平面示意图;
图25是运输工具另一个实施例的正视图;
图25A-25C分别是图25的运输工具当小车的输送臂连杆处在三种不同位置时的平面示意图;
图26是装置控制器内***控制软件示意图。
各种实施例的详细描述
图2是包括本发明各种特性的一种衬底处理装置10的平面示意图。虽然本发明将参照附图所示的实施例来描述,但应指出,本发明可以按其它许多形式的实施例来实施。另外,可以采用任何适当的元件或材料,尺寸,形状或类型。
衬底处理装置10与外界前端模块(EFEM)14相连,后者有一些装载口12(见图2)。装载口12能支持一些衬底贮存罐,如普通FOUP罐,当然也可以是任何其它适当的类型。EFEM14通过装载锁闭装置16与处理装置联通,而锁闭装置与处理装置相连接(下面会讲到)。EFEM14(它可以对大气敞开)有一个衬底输送装置(未示),它能将衬底从装载口12输送到装载锁闭装置16。EFEM14还可以具有衬底对准能力,衬底批量搬运能力和承载台识别能力等。在另一些实施例中,装载锁闭装置16可以直接和装载口12接合,比如在装载口具有批量搬运能力的场合,或者装载口具有将晶片直接从FOUP输送到锁闭装置的能力的场合。这类装置的一些实例在以下美国专利中公开:6,071,059,6,375,403,6,461,094,5,588,789,5,613,821,5,607,276,5,644,925,5,954,472,6,120,229及2002年7月22日申请的序列号为10/200,818的美国专利申请,我们把它们全部引用过来作参考。在其它一些实施例中,还可以选用别的锁闭装置。
仍然参考图2,前已指出可用于处理半导体衬底(如200/300mm晶片),平板显示器面板,或任何其它所需类型的衬底的处理装置10通常包括输送室18,处理模块20,和至少一个衬底输送装置22。在所示实施例中,衬底输送装置22与室18做成一体。在此实施例中,处理模块安装在室的两侧。在别的实施例中处理模块可以安装在室的一侧,如图4的例子所示。在图2所示的实施例中,各处理模块20彼此按Y1,Y2列或垂直平面相对安装。在另一些实施例中,各处理模块可以在输送室的相反两侧彼此交错排列,或彼此在垂直方向堆叠起来。输送装置22有一个小车22C,它在室内移动以在装载口16和处理室20之间输送衬底。在所示的实施例中,只提供了一个小车22C,而在别的实施例中,可以提供多台小车。如图2所示,输送室18(它的内部处在真空或惰性气体中,或者简单的一个清洁环境,或这些的组合之中)具有一种结构,并且采用一种新型的衬底输送装置22,它允许把处理模块按新的笛卡儿坐标安装在室18内,使得各模块排列在基本上平行的竖直平面或列中。这使得处理装置10比同类型传统处理装置(即带同样数量处理模块的普通处理装置)具有更小的基底面,这从图1和图2的对比中看得很清楚。此外,输送室22可以设置任何要求的长度,以增加任何所需数目的处理模块,从而提高生产率,这在下面将更详细讲到。输送室内还可以支撑任何要求数量的输送装置,并允许输送装置达到输送室内任何所需的处理室而彼此不发生干扰。这实际上就把处理装置的产率和输送装置的处理能力区分开来,并因而使处理装置的产率成为受处理的限制而不受搬运的限制。所以只要在同一平台上增加处理模块和相应的搬运能力,就可以按需要使产率增加。
还是参照图2,在此实施例中输送室18具有普通矩形形状,虽然在别的实施例中该室可以是任何别的适宜形状。室18为细长形(即长度比宽度大很多)且一般为该输送装置在室中界定一个直线输送路径。室18有一些纵向侧壁18S。侧壁18S中形成一些通过其形成的输送开口或口子180。输送口180的尺寸开得足够大,以让衬底通过这些口(可以是通过阀)进出输送室。如从图2可见,此实施例中的处理模块20被安装在侧壁18S外面,每个处理模块与输送室内一个相应输送口对齐。可以想象,每个处理模块20可以围绕相应输送孔的周边密封在室18的侧面18S,以保持输送室内的真空。每个处理模块可以有一个阀,需要时可通过适当的方法控制以关闭输送口。各输送口180可位于同一水平面内。因而室内各处理模块也在同一水平面内对齐。在另一些实施例中,各输送口可处在不同的水平面内。如从图2可见,在这个实施例中,装载锁闭装置16安装在两个最前面的输送口180处的室侧面18S上。这让装载锁闭装置在处理装置的前方靠近EFEM14。在另一些实施例中,各装载锁闭装置可处在输送室上任何其它的输送口处,例如图4中的例子所示。六角形形状的输送室使得可以按需要选择室的长度,以安装所需的多列处理模块(例如,可参见显示其它实施例的图3,5,6-7A,其中输送室的长度可以容纳任何数目的处理模块)。
前面已经指出,示于图2实施例中的输送室18具有一个衬底输送装置22(带一个小车22C)。该输送装置22与室做成一体,以使小车22C在室中前面18F和后面18B之间前后移动。输送装置22具有一个小车22C,它带一些端部操纵装置用以保持一个或几个衬底。输送装置22的小车22C还有一个铰接臂或活动输送机构22A,用来伸长和缩短端部操纵装置,以拾取或释放处理模块或装载锁闭装置内的衬底。为从处理模块/装载口拾取或释放衬底,输送装置22可与所需的模块/口对齐,且臂通过相应口180伸长或缩短,以将端部操纵装置置于模块/口里面,从而拾取或释放衬底。
示于图2的输送装置22是一个具有代表性的输送装置,它同时包含一个由直线支承/驱动轨道支撑的小车22C。下面将对此输送装置作更详细的描述。直线支承/驱动轨道可安装于侧壁18S,地面,或者输送室的顶部,并可加长室的长度。这可以让小车22C,因而也让该装置跨越室的长度。此小车有一个框架,用来支撑臂。框架还支撑自位轮托板或台板22B,后者与框架一起或者相对于框架移动。如下面将要进一步描述的那样,一个时序同步直线电机30沿着轨道驱动台板22B,并因而驱动小车22C。直线电机30可以安装在地面上或输送室的侧壁18S。如下面将会进一步看到,可以在电机绕组和台板的活动部分之间安装一个挡板,把绕组与室的内部隔离开来。一般直线电机可包含几个驱动区。驱动区处于沿输送室的臂伸/缩处(即在此模块/接口实施例的列Y0-Y2处)。驱动区的数目和密度与每小车的台板数,每室的电机数,处理模块或交换点的数目等有关。在此实施例中,臂是通过一个适当的连杆/传动装置可操作地连至台板22A,使当各台板被驱动电机作彼此相对运动时臂产生伸/缩。举例来说,可以这样来安装传动装置,使当各台板沿轨道离开时臂伸长至左边,而当它们往后移得更近时臂从左边缩回。台板也可由直线电机适当操纵使臂22A伸/缩而至/离右边。台板由直线电机在滑动轨道上的运动的控制,以及台板(因而小车)和臂的伸/缩位置的检测可按下列国际专利申请来完成:公开号WO99/23504,99/33691,01/02211,01/38124,和01/71684,它们全部被引用到这里作参考。不难了解,为了使整个小车/装置在输送室内一个纵向运动,可以让各台板在该方向一致运动。
图3为衬底处理装置的另一实施例10’,它大体与装置10类似。在此室中,输送室18’有两个输送装置122A,122B。输送装置122A,122B基本上与前面讲过的实施例中的装置22相同。如前所述,两个输送装置122A,122B可由一个公共纵向滑动轨道组支持。相应于每个装置的小车台板可由同一直线电机驱动。直线电机的不同驱动区可使每个小车上的单个台板独立驱动,因而也使每个单独小车122A,122B独立驱动。因此,每个装置的臂可由直线电机按前述类似的方式独立伸/缩。不过,在这种场合下,除非采用分开的滑轨***,衬底输送装置122A,122B不可能在输送室内相互超过。因此,各处理模块处在沿输送室长度的位置上,使得衬底可以按顺序输送到处理模块中处理,从而避免各输送装置彼此间产生干扰。例如,可以把处理模块放在加热模块之前,并把冷却模块和刻蚀模块放在最后。
但是,输送室18’可能还有另一些输送区18’A,18’B,它们允许这两个输送装置相互超过(类似于侧轨道,旁通轨道或不需轨道的磁悬浮区)。在这种情况下,其它的输送区可以处在安放处理模块的水平面之上或之下。在此实施例中,输送装置具有两个滑动轨道,每个输送装置各一个。一个滑动轨道可以置于地面上或输送室的侧壁,另一个滑动轨道可置于室的顶端。在另一些实施例中,可能采用直线驱动***,它同时驱动和悬浮可以独立水平和垂直运动的小车。在所有采用电绕组的实施例中,这些绕组也可用作电阻加热器,例如当希望将室加热去汽(如消除水蒸气)的场合。在此情况下每个输送装置可以用一个小型直线驱动电机或小型驱动区(小车就处在此区内,与前面所述类似)来驱动。
现在来看图6和7,它们显示按本发明其它一些实施例的别的衬底处理装置。如从图6和7中可见,在这些实施例中输送室被延长了,以安置额外的处理装置。图6所示装置有12个处理模块与输送室相连,而图7中的每个装置(图中画了两个装置)有24个处理模块与输送室相连。画在这些实施例中的处理模块数仅仅是一个例子,如前所述这些装置可以有任何其它数量的处理模块。这些实施例中的处理模块是沿输送室的侧面按笛卡儿坐标安置的,这与前面讨论过的类似。但是,在这些场合下处理模块的列数大大增加了(例如,图6的装置有6列,图7的每个装置有12列)。在图6的实施例中,可以把EFEM除掉而将装载口直接与装载锁闭装置直接接合。图6和7的装置的输送室有多个输送装置(即在图6的情况有3个装置,在图7的情况下有6个装置),以将衬底在装载锁闭装置和处理室之间搬运。所示的输送装置数目仅仅是一个例子,可以采用更多或较少的装置数。在这些实施例中的输送装置大体与前面所述的类似,包括一个臂和一个小车。但是,在这种情况下,小车是由输送室侧壁内的分区直线电机驱动器支持的。在此场合下直线电机驱动器提供小车在两个垂直轴方向(即在输送室的纵向和输送室的竖直方向)的移动。因而,这些输送装置能在输送室内相互超过地运动。输送室可以”超过”或移过各处理模块平面以上和/或以下的区域,输送装置可以经过这些区域以避免固定输送装置(即拾取/释放处理模块内的衬底的装置)或输送装置在相反方向运动。不难了解,衬底输送装置具有一个控制器,用来控制多个衬底输送装置的运动。
仍旧参考图7,在此情况下衬底处理装置18A和18B可以直接与一个设备300连接。
从图3,5和6-7可以了解,输送室18可以按需要延长以包容整个处理设备P。从图7可见(下面还将进一步详细讨论),输送室可以与该处理设备P中的各段或区(例如储存室,光刻机,金属淀积设备或任何其它适当的设备区)连接和联通。由输送室18相互连接的各区也可以作成一些处理区或流程18A,18B。每区具有要求的设备(如曝光,金属淀积,加热,净化)以完成半导体工件给定的加工过程。在无论哪种情况下,输送室18具有相应于各设备区内各种设备并与之联通的处理模块(如前所述),以将半导体工件输送到室和处理模块之间。因此,输送室在相应于与之相连的各种处理模块环境的整个长度内可能包含不同的环境条件,例如大气,真空,超高真空,惰性气体,或者任何其它的,其整个长度对于连接到输送室的各种处理模块的环境。于是,在一个给定段或区18A,18B内,或在一部分区内的室段18P1可以具有一种环境条件(例如大气),同时室的另外部分18P2、18P3可具有不同的环境条件。如上面所指出的,带不同环境条件的各室段18P1,18P2,18P3,可能处在该设备不同的区内,或者全部都处在该设备的一个区内。图7表示室18具有带不同环境条件的三个段18P1,18P2,18P3仅仅是作为一个举例。在此实施例中的室18可以具有带任何所希望的不同环境的许多段。
如从图7所见,在小车18内的输送装置与装置122A(亦见图3)相似,能在具有不同环境的室段18P1,18P2,18P3之间移动。因此,正如从图7可知,输送装置122A用一次拾取就可以将半导体工件从处理设备一个过程或区18A内的设备移至该处理设备一个不同过程或区内的具有不同环境的另一个设备。例如,输送装置122A可以拾取处理模块301内的一个衬底,此处理模块可以是一个在输送室18的18P1段内的大气模块,光刻,刻蚀或任何其它希望的处理模块。然后,输送装置122A可以按图7中箭头X3所示方向从该室18P1段移至18P3段。在18P3段,输送装置122A可以将衬底置于处理模块302内,后者可以是任何所需的处理模块。
从图7可知,输送室可以是组合式的,各室模块按需要连接形成室18。这些模块可包括内壁18I(与图2中的壁18F,18R相似),以将各室段18P1,18P2,18P3,18P4隔离。内壁18I可包括槽阀,或任何其它可以把一个室段18P1,18P4与相邻段相连接的适当阀。槽阀18V的尺寸做成可以让一个或几个小车通过各阀从一段18P1,18P4转移至另一段。按这种方式,小车122A可以移至室18内的任何地方。各阀可以关闭以将室的各段18P1,18P2,18P3,18P4隔开,使得不同的段可包含完全不同的环境。另外,如图2所示那样,室模块的内壁可以安置成形成装载锁闭装置18P4。装载锁闭装置18P4(作为举例图2只示出一个)可按需要置于室18内,并将任何所需数目的小车122A保持在室内。
在图7所示的实施例中,过程18A和18B可以是相同的过程(例如刻蚀),这时处理装置18A和18B和作为储料器的设备相结合,能处理和图9所示装置相等数量的衬底,而没有与通过AMHS(自动化材料处理***)将FOUPS从储料器输送至单个过程设备,及通过EFEM将单个晶片输送至与相应处理设备相关的额外材料搬运消耗。作为替代的是,在储料器内的机械手直接将FOUPS输送至装载口(每个设备画出3个,根据不同的产率要求可以比这多或少),在装载口晶片被成批移至阀内并根据要求的过程和/或产率要求分配给各相应处理模块。按照这种方式,图7和图9的装置总可具有相同的产率,但是图7的装置做到这点花费的成本较低,占用地面积较少,所需WIP(处理工作)较少—因此较少的库存,而且从处理单运载批量(或”热批量”)的时间看,周转时间更快,这对加工操作者而言非常有利。在设备18A,18B或储料器300内还可以具有测量能力,分选能力,材料识别能力,测试能力,检查能力等,这对于高效地处理和测试衬底是需要的。
在图7所示的实施例中,可以提供或多或少的不同过程18A和18B,例如刻蚀,CMP,淀积铜,PVD,CVD等等,这时处理装置18A,18B等与作为光刻单元等的设备300相组合,能处理与图9的多装置等相等的衬底量,但没有与通过AMHS将FOUP从储料器输送到单个过程设备区和光刻区及通过EFEM将单个晶片输送到各处理设备有关的额外材料搬运消耗。作为替代的是,在光刻单元内的自动化装置直接将FOUP,衬底或材料输送到装载口(图3每个类型画出3个,根据产率要求可提供更多或较少),从那儿依据所需处理和/或产率要求将衬底分配到相应过程。此类变型的一个例子示于图7A。按照这种方式,图7的装置处理衬底所花成本较低,占地较少,所需WIP较少一因而所需存货减少,而且从处理单运载批量(或”热批量”)的时间看周转时间更快,同时能更有效地控制污染,这对加工操作员而言非常有利。在设备18A,18B或储料器300内还可以具有测量能力,分选能力,材料识别能力,测试能力,检查能力等,这对于有效地处理和测试衬底是需要的。从图7可看出,处理装置18A,18B,和设备300可以联合起来分享一个公共控制器环境(如惰性气体,或真空)。这保证衬底从设备300和装置18A,18B内的全部过程都保持在一个受到控制的环境内。从而不必象在图8所示的普通装置结构中那样需要采用特别的FOUP环境控制措施。
现在来看图7A,此图给出一个具有图7所示实施例的各种特征的加工设备布局601。小车406与小车22A,122A类似,将衬底或晶片经过输送室602,604,606,608,610,612,614,616,618,620,622,624,626输送通过加工设备601内的各处理步骤。这些处理步骤可包括外延硅630,介质淀积632,光刻634,刻蚀636,离子掺杂638,快速热处理640,检测642,介质淀积644,刻蚀646,金属淀积648,电镀650,化学机械抛光652。在另一些实施例中,可能牵涉到或多或少的过程或其混合,例如按同样顺序的刻蚀,金属淀积,热和冷处理等。如上面所指出的,小车406可以承载单个晶片或多个晶片,并可具有转换能力,比如可以拾取一个处理过的晶片并将未处理的晶片置于同一模块。小车406可以行经隔离阀654从一个设备传送到另一个设备,或从一个区传送至另一区,或从一个过程传送至另一过程。依照给定阀654任一边的差动压力或不同的气体种类,它可以是密封阀或者简单的导流型阀。按这种方式,用一个单一搬运步骤或者”一触”就能将晶片或衬底从一个处理步骤传送到下一步骤。这样就把由搬运造成的污染降至最小。这类压力或种类的差别可以是一边为洁净空气而另一边为氮气,或者一边是低压真空水平,另一边是高真空,或者一边是真空,另一边是氮气。与图7中的室18P4类似的装载锁闭装置656可用于一种环境到另一种环境的过渡,例如真空和氮或氖气间的过渡。在另一些实施例中可以提供按任何数目组合的其它压力和种类。装载锁闭装置656可以转换单一的载体或多种载体。或者,当不希望小车通过阀门时,可以把衬底输送到架子(未示)或别的东西上面的装载锁闭装置656内。诸如对准模块,测量模块,清洗模块,处理模块(如刻蚀,淀积,抛光等),热调节模块等附加部件可以组合在锁闭装置656或输送室中。可以设置维修口660来从设备中把小车或晶片取走。可以用晶片或承载储料器662,664来储存和隔离过程并/或测试晶片。在另一些实施例中,可以不提供储料器662,664,例如当小车直接对准光刻设备时。另一个例子是当分度器或晶片储存模块666装在设备组上时。可提供再循环部件668以在任何给定段(如设备段612)使空气或气体种类循环和/或过滤。再循环部分668可以有一个气体清洁器,几个粒子过滤器,化学过滤器,温度控制器,湿度控制器,或其它调节被处理气体种类的设备。在一个给定的设备段,可提供或多或少的循环和/或过滤或调节部件。可提供隔离工件台670将小车和/或晶片与不同的过程及不能受交叉污染的设备段隔离开来。可提供一些锁闭装置或互连器672来改变小车的方位或方向,使得小车可以安置在普通的工作空间而不改变方位。在另一些实施例和方法中,可提供任何适当的过程顺序或配置的组合。
现在参考图10,这是按本发明一个实施例的单轴线台板驱动***320的侧视图。驱动***320是适于驱动图2,3,和7-7A所示的驱动输送装置或小车22A,122A,406的一个驱动例。***320有一个固定绕组324,用来驱动台板324。台板324可以支持在滑块326上,后者可在轨道328上滑动。轨道328与底座330或输送室的侧壁相连。底座330在绕组322和台板324之间提供一个隔板332。可以理解,隔板332也可以把绕组332和室的内部环境隔离开来。绕组322与底座330相连接。台板可以有一块磁铁334与它相联,用以将台板324和绕组322联系起来。传感器336可以是磁限制型霍尔效应传感器,用来探测台板324内是否有磁铁并决定适当的转接。此外,传感器336可用来确定台板324的精确位置。可以用一个位置反馈装置340作精确位置反馈之用。装置340可以是感应式的或光学型的或其他类型。在感应式的情况下,可以用一个激发源342来激发绕组或模板346,并通过模板346间的耦合而感应耦合回到接收器344。利用相对相位和幅度关系来确定台板324的位置。可以用一个象红外标记等的小车识别标记347,并在适当台站设置一个读出器348,以便由台站确定小车的标志。
现在参考图11A,这是一个按本发明另一个实施例的台板驱动***400的侧视图。同时参考图11B所示的沿图11A中11B-11B线剖开的驱动***400剖视图。下面将会详细讲到,***400能影响台板或小车406的运动(小车406可以与前述各小车或输送装置22A,122A相似)。***400有两组相对的固定绕组402,404,用来驱动小车406。绕组组402,404绕在一个两维驱动阵列内,纵的为408,横的为410。在另一些实施例中,可以提供额外的阵列在不同的方向驱动小车406,例如通过将***400与转过90度的另一个类似***相耦合沿方向427驱动小车。为了独立地驱动多个小车,阵列是在多个区域被驱动的。作为例子,区424可以是一个供应区,区426可以是一个转换区,区428可以是一个回收区。在每一区内可以有一些子区,以便在每个区内驱动多个小车。在另一些实施例中,可以按任何组合提供或多或少的区或子区。小车406由绕组组402,404产生的场支持,并可通过使绕组组402和404之间的场偏离以非接触方式定位。可以把室412当作绕组组402,404和小车406之间的一块隔板414。如图所示,绕组处在416区内。小车406可以有带绕组的台板418,420。在另一些实施例中,可以提供更多或较少的台板。可以用传感器阵列来检测在台板或小车内是否有磁铁,以便确定合适的转接和定位及精确确定台板和小车的位置。可以用一个在适当台站有读出器的小车识别标记通过台站来确定小车的识别标志。
现在参看图12,这是按照该装置另一个实施例中处理装置10的一台示例小车229的顶视图。小车229与上述图2,3和7-7A所示的小车22,122A,406相似。所示的小车229能沿轴向路径150和/或径向路径152输送衬底148。小车229还能沿图12所示的路径移动衬底。为简单起见,图中所示的小车229是一个二维***,但在另一些实施例中,还可以有附加的运动轴线,例如Z向运动(从纸面出入,未示)或角向运动。为简单起见,所示的小车229是能搬运单一的衬底148。但在另一些实施例中,还可提供额外的搬运。例如,该小车可包括搬运第二衬底的能力,比如当希望在一个处理模块处改换衬底的情形(也就是说,把第一个处理过的衬底拾起来,然后把第二个未经处理的衬底从同一小车229安置在同一处理模块处)。
小车229有框架156,端部操纵装置158,和第二框架160。如图所示,滑轨162限制框架156和端部操纵装置158及第二框架160只能沿直线路径152向框架156的左边或右边彼此相对滑动。虽然图中所示为直线机构,但在别的实施例中,可以采用任何适当的臂***,例如象图17所示的与框架156相联的蜣螂型臂。这将在下面详细描述。衬底148被支撑在端部操纵机构158上。
现在参照图12A,这是在室229(类似于室18和602-626,见图2-3,7-7A)一部分内的小车229的顶视图。此小车有一个端部操纵装置158伸到例示模块166内。模块166可以是类似于上述与输送室连接的任何一个模块。图示的小车229可以沿轴向路径150和/或径向路径152输送衬底148。小车229有框架156,衬底158,和第二框架160。如图所示,滑轨162限制框架156和端部操纵装置158及第二框架160只能沿直线路径152向框架156的左边或右边彼此相对滑动。框架168的下边有一些磁性台板168,它们与同步电机170相连。驱动台板172与同步电机174相连。驱动台板172安装在下边并通过采用轴承178可沿方向176(基本平行于方向150)相对于框架156滑动。由于台板168和172的运动同时沿方向150,故小车可以沿方向150移动而没有在方向152的运动。在使台板172沿方向176相对于框架150运动的同时保持台板168不动,将引起衬底和端部操纵装置148,158沿方向152作径向运动。
台板172沿方向176的直线运动转变为第二框架160沿方向152的直线运动。滑轮186可旋转地与框架156相连并具有第二滑轮188和182。滑轮182用带184与台板172相连,使得台板172沿方向180的运动引起滑轮182在190方向的旋转,该方向在相反的方向相对地作用。滑轮192和194可旋转地连到框架150上。如图所示,缆线196在点198处与滑轮188连接,缠绕在滑轮192上,并终止在第二框架160上的204处。这样一来,台板172在方向176的直线运动被转换为第二框架160沿方向152的直线运动。
台板172在方向176的直线运动和第二框架160沿方向152的平移直线运动,还进一步如图所示伸展至端部操纵装置158。滑轮210和212是可旋转地与第二框架160相连。缆线214在点216与端部操纵装置158连接,如图示缠绕在滑轮210上,并终止在框架156的218处。缆线220在点222与端部操纵装置158连接,如图示缠绕在滑轮212上,并终止在框架156的224处。这样,台板172在方向176的直线运动转换为第二框架160沿方向152的直线运动,并如图示再转换为端部操纵装置158在方向152的进一步延伸。台板和端部操纵装置之间的传动可以不用缆线滑轮而采用皮带,条带或任何用适当材料制成的其它合适传动方法。在另一些实施例中,可以采用一个适当的连接***代替缆线滑轮把运动从台板传至端部操纵装置。端部操纵装置158缩至大体上如图12所示的位置按照相似但相反的方式完成。另外,端部操纵装置158伸至类似但与图12B相反的位置是通过使滑轮168,172按与上述相反的方式实现的。
现在参考图12B,这是在小车229伸到例示的处理模块166之前的侧视图。如图所示,滑轨240限制框架156沿直线路径150滑动。框架156在它与同步电机174相接的底面具有一些磁性台板168。驱动台板172与同步电机174相接。驱动台板172安装在底面上,并可沿基本平行于箭头150所示方向相对于框架150滑动(见图12)。由于台板168和172同时沿方向150运动,故小车可沿箭头150所示方向运动而没有沿方向152的运动。在台板172沿方向176相对框架156运动的同时保持台板168固定不动,使得衬底和端部操纵装置148,158沿方向152作径向运动。台板172和168可以有几块磁铁与电机170和174交接。室244可用非磁性材料(如无磁不锈钢)制成,并在电机绕组及其相应的台板之间提供一个隔板246,248。在另一些实施例中,可提供更多或较少的直线驱动器或小车。举例来说,单台的驱动电机可以有一些额外的驱动区,台板168和172将在那些区与同一驱动电机相接,但可以由不同的区独立驱动。作为另一个例子,各额外的小车可由处在地面250内,与槽形开口对齐的上面或在它下面的壁252,254内,或者室的罩256内的不同驱动***驱动。
现在参照图13A,它表示装置10中室716的一部分,同时是带有可用于该装置的小车700的一个驱动***701的顶视图。室716是室18另一个代表性部分,即装置的室602-624(见图2-3,7-7A)。如图所示,小车700可以沿轴向路径704和/或径向路径706或Z方向(进出纸面,图中未示)输送衬底。在另一些实施例中,还可以有角向运动。在其它一些实施例中,可以提供更多或较少的衬底搬运。小车700具有输送机构724A和724B,它们可以是一个直线机构或者其它任何适当的臂,例如蜣螂形臂。在另一些实施例中也可以不提供臂。传动机构724A和724B可按类似于图12A所示方式按需要伸到处理模块或其它模块中去。小车700的侧面有一些台板722,720,710和712,它们与同步电机在输送室216的壁上相接。驱动台板172安装在小车700的侧面并可沿方向704相对于小车700滑动。台板712驱动机构724A,使得台板712沿方向704相对于小车700的运动(从位置712A至712B,见图13A),让机构724A通过槽718A和718B把晶片702A输送到位置708A和708B之间。类似地,驱动台板701安装在小车700的侧面上,并可沿方向704相对于小车700滑动。台板710驱动机构724B,使得台板710沿方向704相对于小车700的运动(从位置710A至710B,见图13A),让机构724B通过槽718A和718B把晶片702B输送到位置708A和708B之间。台板710和712可相对于小车700独立运动。台板722,720相对小车700是固定的。在使台板712沿方向704运动的同时保持台板720,722不动,引起一个沿方向706的径向运动。在使台板712沿方向704运动的同时保持台板720,722不动,还引起一个沿方向706的独立径向运动。沿方向704使台板720,722,710和712同时运动引起小车700沿方向704运动—这使得小车700在通过阀174时从一个处理位置运动到另一个处理位置(举例而言)。
现在参看图13B,这是沿图13A的13B-13B线切开的驱动***701和小车700的剖视图。***701具有相反的固定绕组组727,729,用来驱动小车700。绕组组727,729按一维和二维驱动阵列的一种组合(如垂直705和横向704)绕制。此驱动阵列可以是按一维或二维阵列的直线电机或直线步进型电机。这类驱动阵列的例子在美国专利4,958,115,5,126,648,4,555,650,3,376,578,3,857,078,4,823,062中已有描述,我们把它们全部引用于此作参考。在另一些实施例中,可以采用整体的二维绕组组,其中的台板有二维磁铁或模板。在其它一些实施例中,可以采用别的类型的一或二维驱动***。在其它可能的实施例中,可提供附加的阵列以在不同方向驱动小车700,例如把***701和相对它旋转90度的另一个类似***相配合。为使多个小车能被独立驱动,这些阵列是在多个区内驱动的。作为一个例子,区685可以是一个供给区,区683可以是一个传送区,而区681可以是一个返回区。在每个区内可以有一些子区,使得每个区内可以驱动多个小车。在其它可能的实施例中,可以按任何组合提供更多或较少的区或子区。小车700是被绕组组727,729产生的场支持的,并可通过使绕组组727和729间的场偏离而按浮动和非接触方式定位。图13C表示一种可能的绕组组合,它可以被图13D所示***驱动,并用来使小车700悬浮(例如下面参考图14A将要进一步讨论的那样,或者是通过多条轴线的有效悬浮)。在绕组区732A-C,730A-C,734A-C,742A-B及740A-B提供一维绕组组。在绕组区736A-E和738A-C提供二维绕组组。在其它可能的实施例中,可提供任意适当的绕组组合,或一个完全的2-D或其它阵列。小车700具有台板720和710,它们可以和阵列738B(对台板720)及阵列736B,C,和D(对台板710)联合使用。通过使台板710在方向704(见图13A)运动并保持台板720固定不动,可以让晶片作径向运动通过槽718A。通过使710和720在方向705同时运动(见图13B),可以拾取或安置一个晶片。通过协调各区之间的绕组转换和转接,小车700可以作垂直和/或横向运动经过不同的绕组和驱动区。在各绕组组727,729和小车700之间可设置一个室作为隔板。在其它可能的实施例中,则不需要有隔板,例如当绕组组727,729处在净化空气或氮等环境的容器716里面时。在另一些可能的实施例中,可以提供更多或较少的台板或绕组。可以用一些传感器阵列746,747和748来检测在台板或小车内是否存在磁铁,以确定适当的转换和定位并精密确定台板和小车的位置,或者确定诸如台板和绕组之间的间隙等的位置。如前已指出,可以用一个在适当台站带读出器的小车识别标记通过台站来确定小车的识别标志。
现在来看图14A,这是本发明另一个示例小车760的侧视图,此小车由单轴线直线电机绕组组762,764产生的场支持。通过使绕组组762和764之间的场产生偏置的电源776,可让此小车以非接触方式定位。采用与偏置电源776成闭合回路的位置检测装置766,768使小车760悬浮起来。如图14B所示,由于小车在Z方向是被动稳定的,用这种简单方式就可以实现小车的悬浮。小车760的侧面具有磁性台板772和774,它们可以是磁铁或由电磁性或导电性材料制成,并与绕组组762,764相耦合。在其它可能的实施例中,可提供更多或较少的台板,例如驱动臂等。室770(类似于该装置任何代表性的部分室18,602-624,见图2-3和7-7A)可由无磁不锈钢等非磁性材料制成,并如前所述在电机绕组和与之相应的台板之间提供一个隔板。在其它可能的实施例中,可提供更多或较少的直线驱动器或小车。例如,可提供具有附加驱动区的单一驱动电机,区内各台板与同一驱动电机联系但可由不同的区独立驱动。作为另一个例子,各附加小车可由不同的驱动***驱动,这些***处于地面内,与槽形开口对齐的上面或在它下面的壁内,或者室的罩内。
图14B用曲线表示恢复力F和离所需小车760的位置的轴向偏离Z之间的关系。在各正负轴向(Z向),恢复力幅度首先分别增加到最大偏离Zmax或-Zmax处的Fmax或-Fmax,但当超出这个偏离量时又重新减小。因此,若小车760上所加的力(例如小车的重量或诸如从其它驱动相同或别的台板的绕组组来的外力等)超过Fmax,则小车从绕组762,764离开。否则,只要场加着,小车760就停留在场内。这个对旋转装置的原理在美国专利6,485,531,6,559,567,6,386,505,6,351,048,6,355,998(它们全部引用于此作参考)中已有描述,它应用到这里所述装置的驱动***701,将按直线使典型的小车760悬浮。在其它可能的实施例中,可以采用别的驱动***或悬浮***。
再来看图13D,这是适于用在图13A的小车/台板驱动***701的一个典型绕组驱动***790的示意图。绕组驱动***790具有绕组792,多路通信器793,和放大器模块794。绕组792可以是绕组和/或传感器,如霍尔传感器,位置传感器,感应传感器,载体识别传感器,状态和缺陷检测逻辑电路等。放大器模块794可以是单或多相放大器,位置和/或存在传感器输入或输出,CPU和/或储存器,识别读出器输入或输出,状态和缺陷检测逻辑电路等。放大器模块794可以直接连到绕组792或通过多路通信器部件793连接。当使用多路通信器部件793时,放大器A1-Am可以选择性地连接绕组W1-Wn中的任何一个,一个CPU协调这种选择性连接并监视该装置的状态。按这种方式,CPU可以选择性地使放大器模块或绕组下线维修,而不必关停该设备。
如前面所指出的,适用于输送室18,602-604(见例如图2-3,和7-7A)的输送装置或小车可包括带或不带输送臂的小车,以将半导体工件传送到该小车和装置内一个要求位置之间。如前所述,图12和13A分别表示带输送臂的运输工具229,700的两个典型实施例,用来搬运该装置内的半导体工件。现在提前来看图22和23,这是适用于装置10内的室的一个运输工具机构1557的另一个实施例。小车1557可包括底座部分即底板1558和安装在该底板上的输送臂1558。如图22所示,小车机构底板1558在板的相反两侧具有两个成对的磁铁阵列1502,但不限于板的各相对角落。在机械手底板1558的各相对角落上,有两个附加磁铁阵列1502与直线轴承支座1560相接,并可在直线轴承轨道1562上滑动。这些直线轴承轨道1562与底板1558相连。在支座1560上装有一个驱动皮带1564或其它将直线运动转换为旋转运动的装置。在所示情况下,驱动皮带1564绕在惰轮1566上,然后绕过张紧皮带轮1568并与驱动滑轮1570相连。加在轴承支座1560上的直线运动通过磁铁阵列1502将变成驱动滑轮1572的旋转运动。在两自由度应用的场合下,把所述机构的一种余冗型式加到机械手小车机构的对面,并将一个重复电路装在驱动滑轮1572上,这种组合造成一个同心的滑轮装置。在固定磁铁阵列1502和组合磁铁阵列1502及直线轴承支座1560之间的相对运动提供了一种驱动输送臂连杆的方法。在机械手支座直线传送的情况下,直线轴承/磁铁阵列1560/1502及已连接的磁铁阵列/小车底板1502/1558是作为一个固定组而驱动的,而且看不见被驱动滑轮1570和1572的旋转。底板1558的驱动机构可用来操纵其它适当的输送臂连杆,其中的一些例子示于图24-24C,25-25C。在图23所示的实施例中,输送臂1577具有普通单一蜣螂形臂结构。驱动滑轮1572与下连杆臂1574相连,而驱动滑轮1570固定在前臂驱动滑轮1586上。前臂滑轮1586的旋转运动通过驱动皮带1582的肘状滑轮1576传至前臂1578。当肘杆/端部操纵装置1584接到下连杆臂1574时,它被所产生的前臂1578相对于肘状滑轮的旋转运动所驱动。通常这个运动是通过每个节点处相对于滑轮1572和1570的输入驱动比的滑轮比而实现的。同时参照图23A-23B,其中输送臂连杆1577分别处于缩进和伸出位置。在缩进和伸出位置之间的运动,是通过使可动磁铁阵列1502按要求相对于底板运动而实现的(按照上面所述的方式)。臂连杆的运动可以在小车固定或相对于输送室运动的情况下进行。图23A-23B表示输送臂1577的位置,当伸出时,臂1577伸至小车的横边1576R(即小车面对室臂的边)。这类似于图13A中小车700的输送机构724A,B的伸出/缩进运动。不难理解,小车700上的输送臂1577可以作为一个部件(利用活动磁铁阵列1502)围绕转轴S(见图22)相对于小车底板旋转至任意需要的方位。例如,若从图23A-23B所示的方位旋转180度左右,则输送臂1577可以从图23B所示的位置伸展到对边1577L。此外,输送臂可以旋转90度左右,使得臂沿室的直线方向伸展(在图22中用箭头15X表示)。对这样一个小车可以采用任意数目的臂连杆。可用于此小车的其它适宜的臂连杆例子美国专利5,180,276,5,647,724,5,765,983和6,485,250中有所描述,我们把这些专利全部引用于此作参考。
图24是小车机构1557’的另一实施例的正视图,此机构带双旋转端部操纵装置,安装在小车底板1558’上。小车1557’与以前所述图22-23中的小车1557相似。相似的零件采用类似的标号表示。图24A-C表示小车运动时轴承支座阵列的直线输送和耦合相对运动二者的使用。如前面针对图22所述,滑轮1570’和1572’的旋转是由于轴承支座和磁铁阵列相对于连于小车底板上的固定磁铁阵列运动而产生的。在这种复合的情况下,机械手小车输送沿着箭头15X’所示方向沿直线室运动,而轴承支座和磁铁阵列相对于接地阵列运动。这个运动使得端部操纵装置1588’和1590’旋转,从而引起机械手端部操纵装置基本上垂直小车的直线方向伸展,这与前面对图23A-23B所述类似。图24A-24C作为举例表示端部操纵装置1588’和1590’伸向一侧。但可以理解,端部操纵装置1588’,1590’可以伸向底板的任何一侧。另外,端部操纵装置1588’和1590’可以伸到这样一个位置,此处端部操纵装置的方位角大致为90度左右(见图24A-24C)。
图25是小车1557”的一个实施例的正视图,此小车具有一个与图23所示相似的臂连杆。在此情况下,驱动滑轮1572”与下链接臂1592”相接。驱动滑轮1570”与端部操纵装置驱动滑轮1600”相连,并通过一个驱动皮带1598”与肘滑轮1596”相连。肘驱动滑轮与机械手端部操纵装置1594”相连,并提供一种将驱动滑轮1570”的旋转传给驱动端部操纵装置1594”的方法。图25A-25C表示带臂连杆的小车处在三个不同位置的情况。图25A-25C表示端部操纵装置1594”伸到小车的底板1558’一边,这只是作为一个例子。与示于图22-23和24的输送臂类似,输送臂1577”可以绕轴线S”旋转,所以端部操纵装置可以沿任何方向相对于小车1557”的底板1558”伸出/缩进。现在再参考图2-7A,采用具有铰接输送臂的小车(如示于图12,13A,22,23,24和25的小车22,122A,406,229,700,1557,1557”,1557”)的一个显著优点是,对于一个给定有效范围的输送臂,输送室的宽度可以最小。在不同小车实施例的多轴线输送臂铰链,可以让小车相对于铰链臂具有基本独立的位置,反过来又使输送室18的宽度减至最小。同样,槽阀的宽度和连接储存处理模块至输送室的通道的尺寸可以减至最小。
现在参考图15,这是用于装置10的典型晶片对准器500。此晶片对准器托架500一般包括两个部分,即晶片吸盘504和晶片输送托架502。它提供晶片在直线笛卡儿输送设备中的对准和运动。此对准器做成与装置内的运输工具(如小车22,122A,406,700,1557)相接,或在某些情况下可以包含在直线处理设备结构的机械手小车内。
现在再看图16,它表明晶片吸盘504可与晶片输送托架分开。可以用摩擦衬垫将两个机构在整个直线笛卡儿装置的输送过程中连起来。当拆开时,晶片吸盘504可相对晶片输送托架502自由旋转。此晶片吸盘504提供一种被动支撑晶片边缘的方法,这是通过使用相对于衬底(晶片)506为带角度的斜面的晶片边缘垫508而实现的。作为晶片吸盘504的一个附加部分是处在晶片506下的缓冲区,利用它可以让机械手臂小车将晶片移走和安置在晶片托架500上。它被称为晶片移置间隙区510。
这种晶片相对于直线运输工具的旋转方法可以直接应用于机械手的端部操纵装置。机械手臂小车534做成这样的形状,使得晶片吸盘504可以从机械手端部操纵装置536取下。在此情况下,吸盘可以自由旋转,以根据在处理模块或装载锁闭装置内发现的脱落点变化校正少量的对晶片切口取向的要求。
再来看图18,它表示晶片吸盘旋转装置532。这些旋转凹下部分可以配置在直线输送设备的多个点处。此装置是基于美国专利5,720,590的电机隔离技术。我们把该专利整体引用于此作参考。在另一些可能的实施例中,可以采用一种普通电机和密封的组合。固定电机522安装在直线输送室的底座530上。在电机电枢540和磁铁阵列524之间安放了一块真空隔离隔板520。磁铁阵列直接安装在转轴542上。这样就可以与真空***作直接驱动连接。可能需要一个合理的支撑轴承518,但最理想是采用磁悬浮。转轴542上装有一个带读出头528的光学解码器盘526,以提供对转轴542的角度控制器的位置反馈。对准器吸盘504下降到磨擦垫即运动销516上。一旦晶片吸盘504与晶片托架502或机械手的端部操纵装置536脱离,这些垫/销就提供晶片吸盘504旋转的方法。这种提供旋转的同样方法可以用来控制用作图17所示机械手臂托架一部分的机械手臂连杆538的旋转位置。
再看图19,包括晶片吸盘504和晶片输送托架的晶片输送托架500,被移动到晶片吸盘旋转装置532上面一个位置。在图20中,晶片输送托架往下落,使得晶片吸盘504被顶离输送托架502。装在输送室盖546上的相机544能观察晶片的图象并识别晶片的X-Y位置和晶片切口所处角度。然后移动晶片托架以提供机械手504相对于晶片托架502的X-Y位置变化以及校正切口对准的旋转。对机械手旋转驱动装置用作机械手臂托架装置的另一种可供选择的方法是,在伸展机械手连杆臂和要求垂直运动轴线的同时将旋转接上,以让衬底或晶片从处理模块或装载锁闭装置降低/升高。这种处理的一个方法示意地示于图21。固定电机522安装在导板548上。导板通过金属波纹管550或其它条形隔离密封(凸缘密封,O-圈等)与直线输送室的底座相连。在电机电枢540和磁铁阵列540之间安放一块真空隔离隔板520。磁铁阵列直接装在转轴542上。这样就可以将驱动直接连到真空***内。可能需要一个合理的支持轴承518,但最理想是采用磁悬浮。转轴542上装有一个带读出头528的光学解码器盘526,以提供对转轴542的角度控制器的位置反馈。一个附加的导向滚子552和带行程挡块端部的支撑结构554让旋转驱动器保持在所需位置而连接晶片吸盘或机械手臂,而不是利用直线晶片输送托架500作为执行装置。在输送室加压而使机械手处于上方位置的情况下,波纹管的力将起到一个弹簧的作用,使得旋转装置与各直线机械手臂小车垂直的凸起结合(例如在拾取或放置过程中),而且是在一个受实际限制的垂直行程范围内。一旦该装置被连接上摩擦衬垫或运动销516,当晶片吸盘504从晶片托架502或机械手端部操纵装置536脱离时(见图20),这些垫/销提供一种机械手504旋转的方法。这种提供旋转的同样方法可用来控制用作机械手臂托架一部分(见图17)的机械手臂连杆538的旋转运动。
象图2-7所示的那些***可以用储存在控制器C内的可改形和可伸缩软件来控制。现在再看图26,这是一个加工执行(“MES”)***软件,可以提供在与处理***联通的控制器C内。MES***2000包括软件模块2002-2016或扩展MES能力的选择。此模块包括一个材料控制***(“MCS”)2002,一个实时转接器(“RDT”)2004,一个工作流和活动管理器(“AM”)2006,一个工程数据管理器(“EDA”)2008,和一个计算机维护管理***(“CMMS”)2010。MES 2000可让制造商构思它们工厂的资源和处理计划,跟踪库存和订货,收集和分析生产数据,监控设备,给制造工人发布工作指令,并追溯用于成品的元件消耗。MCS软件模块2002让制造商有效地调度单个小车(例如,图2-3,7-7A,12,13A和22中的小车22,122A,406,208,700,1557)到处理设备中,以使整个***的效率最大化。MCS计划什么时候单个小车将到达或离开一个特定处理设备(例如,图7中的过程18A,18B,和图7A中的模块602-626)。MCS管理在每个处理设备处的任何排队和例行维护要求,并在使小车输送周期最短的同时使***产率最佳化。RTD 2004让制造商根据处理设备状态的反馈信息做小车的实时例行维护决定。另外,小车的例行维护决定可以由MES操作者来做。MES操作者可以改变需加工的特定产品的优先次序。AM 2006可让制造商通过整个制造过程监控包含一块或多块衬底的任何给定小车的进展情况。倘若处理设备产生错误,AM 2006决定在处理设备接受处理的全部衬底的最佳余下过程。EDA 2008可让制造商分析制造数据并根据这些数据执行统计过程控制运算,以图改善该处理设备的效率。CMMS 2010***可让制造商预测何时需要对单个处理设备进行维修。对处理设备的过程变化进行监控并与已有的处理结果作比较,从而预测过程的改变或对处理设备的定期修理。
应该明白,上面的描述只是对本发明的举例说明。本专业技术人员可以提出各种替代方案和修改而不违背本发明。因而,本发明将包罗属于下面权利要求书范围内的所有此类替代,修改和变动。

Claims (63)

1.一种衬底处理装置,包括:
输送室,其被配置成保持密封的气体环境,该气体环境与该输送室外部的气体环境密封;
用来保持衬底的至少一个衬底保持模块,该至少一个衬底保持模块与输送室联通,以在该至少一个衬底保持模块和输送室之间输送衬底;
一个活动安装在输送室内的运输工具,该运输工具具有底座和衬底输送臂,所述衬底输送臂活动连接和安装在底座上;
被配置成保持衬底的另一模块,其与输送室联通以在所述另一模块与输送室之间输送衬底;
其中输送室限定出用于运输工具的直线移动槽,所述至少一个衬底保持模块处于所述直线移动槽的一侧,且衬底输送臂具有使衬底移至所述直线移动槽的相对侧的活动连接,以使所述另一模块在槽的任一侧选择性地连至输送室,
其中运输工具执行衬底在输送室与至少一个衬底保持模块和所述另一模块两者之间的输送,并且
其中直线电机连至衬底输送臂上,以使衬底输送臂相对于底座旋转,并将衬底输送臂移至所述直线移动槽的相对侧。
2.如权利要求1所述的装置,其中至少一个衬底保持模块是衬底处理室模块,所述另一模块是装载锁闭装置室模块。
3.如权利要求1所述的装置,其中至少一个衬底保持模块是装载锁闭装置室模块,所述另一模块是另一个装载锁闭装置室模块。
4.如权利要求1所述的装置,其中至少一个衬底保持模块是衬底处理室模块,所述另一模块是另一个衬底处理室模块。
5.如权利要求1所述的装置,其中所述另一模块被配置成连接至输送室的一端。
6.如权利要求1所述的装置,其中当所述另一模块连接至与该至少一个衬底保持模块相反的槽的一侧时,输送室在该至少一个衬底保持模块和所述另一模块之间延伸。
7.如权利要求1所述的装置,其中与输送室相连接的所述直线电机被配置成用来驱动运输工具。
8.一种衬底处理装置,包括:
直线输送室,该直线输送室被配置成在其中保持密封的气体环境,该直线输送室中的密封的气体环境与该直线输送室外部的气体环境密封,而且该直线输送室具有一些衬底输送开口;
至少一个处理模块,用来处理衬底,该至少一个处理模块与该直线输送室的一侧联通,以通过输送开口在该至少一个处理模块和直线输送室之间输送衬底;
另一模块,它被配置成将衬底保持在其中,并选择性地连接至与该至少一个处理模块所连接到的相同的直线输送室一侧,或该直线输送室的相反一侧;
活动安装在直线输送室内的运输工具,它在直线输送室内作直线运动,该运输工具具有底座和衬底输送臂,所述衬底输送臂活动安装在底座上并具有一个有效范围,因此运输工具被配置成在直线输送室与所述至少一个处理模块和所述另一模块两者之间输送衬底;
其中对于给定的衬底输送臂有效范围,直线输送室具有最小室宽度或最小衬底输送开口宽度中的至少一个,并且
还包括与直线输送室相连的直线电机,用来驱动运输工具和实现衬底输送臂的多轴线运动。
9.如权利要求8所述的装置,其中衬底输送开口中的至少一个有一个门,由它来开关该至少一个开口。
10.如权利要求9所述的装置,其中当至少一个开口关闭时,直线输送室与该至少一个处理模块中的环境相隔离。
11.如权利要求8所述的装置,其中直线输送室为管形,为运输工具提供基本上为直线的移动路径。
12.如权利要求9所述的装置,其中当至少一个开口关闭时,直线输送室的环境不同于所述另一模块的环境。
13.如权利要求8所述的装置,其中直线输送室一般为具有加长横边的管形,所述另一模块与所述横边中的一条相连。
14.如权利要求8所述的装置,其中运输工具的底座与直线输送室的至少一个壁配合,以由直线输送室活动支持该运输工具。
15.如权利要求8所述的装置,其中直线电机是固态电机。
16.如权利要求8所述的装置,其中直线电机沿直线输送室的至少一部分安装并沿所述另一模块的至少另一部分安装。
17.一种半导体工件处理装置,包括;
第一室,它与外界大气隔离;
运输工具,它处在第一室内并由第一室活动地支持,以相对于室作直线运动,此运输工具包括底座和整体的半导体工件输送臂,该半导体工件输送臂安装在底座上并且被配置成相对底座作多轴线运动;
另一室,它通过第一室的可关闭开口与第一室联通,开口被配置成能够让运输工具通过该开口在第一室和所述另一室之间移动。
18.如权利要求17所述的半导体工件处理装置,其中开口有将开口关闭和打开的门。
19.如权利要求17所述的半导体工件处理装置,其中当开口关闭时,第一室与所述另一室的环境相隔离。
20.如权利要求17所述的半导体工件处理装置,其中第一室具有管形,为运输工具提供基本为直线的移动路径。
21.如权利要求17所述的半导体工件处理装置,其中第一室和所述另一室为运输工具提供基本为直线的移动路径。
22.如权利要求17所述的半导体工件处理装置,其中当开口关闭时,第一室的环境与所述另一室不同。
23.如权利要求17所述的半导体工件处理装置,其中第一室具有横边拉长的管形,所述另一室与所述横边中的一条相连。
24.如权利要求17所述的半导体工件处理装置,其中所述运输工具的底座与第一室的至少一壁相互配合,由第一室活动地支承该输送工具。
25.如权利要求17所述的半导体工件处理装置,还包括与第一室相连的直线电机,用来驱动该运输工具并实现半导体工件输送臂的多轴线运动,且其中直线电机为固态电机。
26.如权利要求25所述的半导体工件处理装置,其中直线电机沿第一室的至少一部分伸展并沿所述另一室的至少一部分伸展。
27.如权利要求25所述的半导体工件处理装置,其中直线电机包括一个施力元件和一个反作用元件,施力元件安装在第一室上,使得该施力元件与第一室内的环境相隔离的。
28.如权利要求27所述的半导体工件处理装置,其中反作用元件安装在运输工具上,施力元件安装在第一室的竖直壁上,且当反作用元件断电时,它与第一室的竖直壁产生反作用,以稳定地支持第一室内的运输工具。
29.如权利要求17所述的半导体工件处理装置,其中半导体工件输送臂有端部操纵装置,用来把半导体工件保持在它上面,而且半导体工件输送臂是活动地连接的,使得该半导体工件输送臂被配置成将半导体工件相对于所述底座沿相反的方向移至所述第一室的相对两边。
30.如权利要求29所述的半导体工件处理装置,其中半导体工件输送臂被配置成围绕第一轴线相对于底座旋转,且被配置成使端部操纵装置沿径向轴线相对于底座运动。
31.如权利要求17所述的半导体工件处理装置,还包括另一个与第一室联通的室,以在该另一室和第一室之间输送半导体工件,此另一室是前端模块、半导体工件保持模块或半导体工件处理模块中的至少一个。
32.如权利要求17所述的半导体工件处理装置,其中所述另一室是半导体工件保持室或半导体工件处理室中至少一个,此半导体工件处理室是光刻模块、金属淀积模块、刻蚀模块或加热或冷却模块中至少一个。
33.如权利要求17所述的半导体工件处理装置,其中所述另一室是一个储料器,用来把半导体工件输送容器储存在室中。
34.如权利要求17所述的半导体工件处理装置,其中所述另一室是一个装载锁闭装置室。
35.如权利要求17所述的半导体工件处理装置,其中所述另一室是一个前端模块,在半导体工件输送容器和第一室之间提供一个界面。
36.一种衬底处理装置,包括:
输送室,其中的气体环境受到控制;
用来保持衬底的至少一个衬底保持模块,它与输送室联通,从而允许衬底在至少一个衬底保持模块和输送室之间输送;
第一运输工具,它活动地安装在输送室内,此第一运输工具具有第一活动衬底输送臂,用来在输送室和至少一个衬底保持模块之间移动衬底;
第二运输工具,它活动地安装在输送室内,此第二运输工具具有第二活动衬底输送臂,用来在输送室和至少一个衬底保持模块之间移动衬底;
其中该输送室的一段限定了一管,而且该输送室在该管的相对壁之间具有几个直线移动路径,供第一和第二运输工具在输送室内运动,且其中第一运输工具从所述相对壁中最近的一个延伸跨过该管到达所述相对壁中的另一个,而且第一运输工具和第二运输工具被配置成使得,当第一运输工具使用一个移动路径而第二运输工具使用另一移动路径时,第一运输工具和第二运输工具的运动在该管的相对壁之间相互超过。
37.如权利要求36所述的装置,各移动路径是互相对齐的。
38.如权利要求36所述的装置,各移动路径在输送室内是纵向伸展的。
39.一种衬底处理装置,包括:
输送室,其中的气体环境受到控制;
用来在其中保持衬底的至少一个衬底保持模块,该至少一个衬底保持模块与输送室联通,从而允许衬底在该至少一个衬底保持模块和输送室之间输送;
活动安装在输送室内且被配置成保持衬底的运输工具,其中输送室限定了管,该管具有一些在该管的相对壁之间延伸的直线移动路径,运输工具被配置成沿着这些直线移动路径在输送室内运动,其中运输工具从所述相对壁中最近的一个延伸跨过该管到达所述相对壁中的另一个,处于该管的相对壁之间的这些直线移动路径中的至少一个直线移动路径垂直或平行于处于该管的相对壁之间的这些直线移动路径中的另一个直线移动路径;并且
其中运输工具具有活动的衬底输送臂和直线电机,该衬底输送臂安装在所述运输工具的底座上并且在输送室内的运输工具和至少一个衬底保持模块之间移动衬底,而且直线电机沿直线移动路径驱动运输工具并实现了将所述衬底输送臂相对于所述底座移至输送室的相对侧。
40.如权利要求39的装置,其中所述至少一个直线移动路径与所述另一个直线移动路径垂直时,所述至少一个直线移动路径与所述另一个直线移动路径相交。
41.如权利要求39的装置,其中所述至少一个直线移动路径是水平的。
42.如权利要求39的装置,其中所述至少一个直线移动路径是竖直的。
43.如权利要求39的装置,其中至少一个衬底保持模块通过在输送室侧壁内的一可关闭开口与输送室联通,此开口用来让衬底在输送室和至少一个衬底保持模块之间从其中通过。
44.如权利要求39的装置,其中输送室具有一些壁,用来将驱动运输工具的直线电机与输送室内部隔开。
45.如权利要求39的装置,其中输送室的不同部分内被配置成具有不同的受控气体环境,且运输工具被配置成经过输送室各不同部分。
46.如权利要求39的装置,其中运输工具具有底座和安装在底座上的台板,这些台板用来和输送室的直线电机协同工作以沿直线移动路径驱动运输工具,且所述台板包含磁性材料。
47.如权利要求46的装置,其中安装在底座上的台板包含至少一个被动台板和至少一个主动台板的组合,至少一个被动台板固定在底座上,且至少一个主动台板可相对底座运动。
48.如权利要求46的装置,其中直线电机具有一些包含在输送室竖直壁内的绕组,且其中各绕组通过竖直壁的至少一部分与输送室的内部隔离。
49.一种衬底处理装置,包括:
输送室,其中的气体环境受到控制;
用于保持衬底的至少一个衬底保持模块,所述至少一个衬底保持模块通过输送室侧壁内的一个衬底输送开口与输送室联通,用来在输送室和该至少一个衬底保持模块之间输送衬底;
活动安装在输送室内的第一运输工具,用来在该室中输送衬底;及
活动安装在输送室内的第二运输工具,用来在该室中输送衬底;
其中该输送室的一段限定了一管,并且该输送室具有用于第一和第二运输工具在输送室内运动的几个直线移动路径,这些直线移动路径处在输送室的相对壁之间,且其中第一运输工具从所述相对壁中最近的一个延伸跨过该管到达所述相对壁中的另一个,而且第一和第二运输工具被配置成使得,当第一运输工具使用所述直线移动路径中的一条直线移动路径而第二运输工具使用另一条直线移动路径时,第一和第二运输工具在该管的相对壁之间彼此超过对方运动;并且
其中第一和第二运输工具具有相应的活动的衬底输送臂,该衬底输送臂安装至第一和第二运输工具的相应底座,并且在输送室内的相应的运输工具和至少一个衬底保持模块之间移动衬底,而且直线电机沿所述直线移动路径驱动第一和第二运输工具,并实现了将第一和第二运输工具的相应衬底输送臂相对于相应的底座沿相反方向朝向相对壁中每个壁移动。
50.如权利要求49的装置,其中输送室具有一个链接移动路径,将所述一条直线移动路径与所述另一条直线移动路径相结合,并允许第一运输工具在所述一条直线移动路径和所述另一条直线移动路径之间运动。
51.如权利要求50的装置,其中所述链接移动路径确定的运动方向与所述一条直线移动路径或所述另一条直线移动路径确定的另一个运动方向相垂直。
52.如权利要求50的装置,其中所述链接移动路径允许所述第一运输工具从所述一条直线移动路径运动到所述另一条直线移动路径。
53.如权利要求49的装置,其中所述一条直线移动路径和所述另一条直线移动路径分别处于基本相平行的平面内。
54.如权利要求49的装置,其中所述一条直线移动路径和所述另一条直线移动路径各自处于基本相垂直的平面内。
55.如权利要求49的装置,其中所述直线移动路径纵向延伸到输送室内。
56.一种衬底处理装置,包括:
输送室,其被配置成保持一个与外界大气密封的气体环境;
用来将衬底保持在其中的衬底保持模块,它通过输送室侧壁内的衬底输送开口与输送室联通,用于在输送室和衬底保持模块之间输送衬底;
活动安装在输送室内且被配置成保持衬底的运输工具,输送室确定至少一条直线输送路径,运输工具沿着此路径在输送室内运动;
其中输送室包含几个室模块,每个室模块被配置成保持密封的气体环境并允许运输工具进入,而且每个室模块被配置成选择性地连到至少另一个室模块上,以伸展输送室的长度并允许多个处理模块的连接,且其中形成输送室的室模块中被配置成允许运输工具进入的至少一个室模块被配置成使得所述至少一个室模块与被配置成允许运输工具进入的另一个室模块中的气体环境密封;并且
还包括与输送室相连的直线电机,用来沿直线输送路径移动运输工具,并且用来使运输工具的臂相对于运输工具的底座旋转以及将所述臂移动到所述输送室的相对侧。
57.如权利要求56的装置,其中该至少一个室模块包含可关闭的开口,该至少一个室模块通过开口与相邻的室模块联通。
58.如权利要求57的装置,其中开口的尺寸做成允许运输工具通过该开口。
59.如权利要求56的装置,其中至少一个直线输送路径延伸过连接成输送室的每一个室模块。
60.如权利要求56的装置,其中所述运输工具的所述臂被配置成在输送室和衬底保持模块之间输送衬底。
61.如权利要求56的装置,其中衬底输送开口能够关闭,以将输送室与衬底保持模块中的气体环境相隔离。
62.如权利要求56的装置,其中直线电机包含施力元件和反作用元件,该施力元件与输送室内部隔开。
63.如权利要求62的装置,其中施力元件处在每个室模块的一个竖直壁内。
CN03822550.6A 2002-07-22 2003-07-22 衬底处理装置 Expired - Lifetime CN1759051B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US39789502P 2002-07-22 2002-07-22
US60/397,895 2002-07-22

Publications (2)

Publication Number Publication Date
CN1759051A CN1759051A (zh) 2006-04-12
CN1759051B true CN1759051B (zh) 2014-01-08

Family

ID=30771139

Family Applications (1)

Application Number Title Priority Date Filing Date
CN03822550.6A Expired - Lifetime CN1759051B (zh) 2002-07-22 2003-07-22 衬底处理装置

Country Status (8)

Country Link
US (1) US7575406B2 (zh)
EP (1) EP1535313B1 (zh)
JP (2) JP4712379B2 (zh)
KR (1) KR101028065B1 (zh)
CN (1) CN1759051B (zh)
AU (1) AU2003259203A1 (zh)
TW (1) TWI304391B (zh)
WO (1) WO2004010476A2 (zh)

Families Citing this family (107)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8960099B2 (en) * 2002-07-22 2015-02-24 Brooks Automation, Inc Substrate processing apparatus
US7959395B2 (en) * 2002-07-22 2011-06-14 Brooks Automation, Inc. Substrate processing apparatus
US7988398B2 (en) 2002-07-22 2011-08-02 Brooks Automation, Inc. Linear substrate transport apparatus
US20070183871A1 (en) * 2002-07-22 2007-08-09 Christopher Hofmeister Substrate processing apparatus
JP2004282002A (ja) * 2003-02-27 2004-10-07 Tokyo Electron Ltd 基板処理装置及び基板処理方法
US20050038561A1 (en) * 2003-08-12 2005-02-17 Kai-Chi Lin Method, system and computer-readable medium for operating a robot in an AMHS
JP4493955B2 (ja) * 2003-09-01 2010-06-30 東京エレクトロン株式会社 基板処理装置及び搬送ケース
US7458763B2 (en) * 2003-11-10 2008-12-02 Blueshift Technologies, Inc. Mid-entry load lock for semiconductor handling system
US10086511B2 (en) 2003-11-10 2018-10-02 Brooks Automation, Inc. Semiconductor manufacturing systems
US20070269297A1 (en) * 2003-11-10 2007-11-22 Meulen Peter V D Semiconductor wafer handling and transport
US8639489B2 (en) * 2003-11-10 2014-01-28 Brooks Automation, Inc. Methods and systems for controlling a semiconductor fabrication process
US8639365B2 (en) * 2003-11-10 2014-01-28 Brooks Automation, Inc. Methods and systems for controlling a semiconductor fabrication process
KR100578134B1 (ko) * 2003-11-10 2006-05-10 삼성전자주식회사 멀티 챔버 시스템
US20070282480A1 (en) 2003-11-10 2007-12-06 Pannese Patrick D Methods and systems for controlling a semiconductor fabrication process
US7210246B2 (en) * 2003-11-10 2007-05-01 Blueshift Technologies, Inc. Methods and systems for handling a workpiece in vacuum-based material handling system
US7354845B2 (en) * 2004-08-24 2008-04-08 Otb Group B.V. In-line process for making thin film electronic devices
TWI278416B (en) * 2004-12-09 2007-04-11 Au Optronics Corp Cassette stocker
JP4577886B2 (ja) * 2005-01-21 2010-11-10 東京エレクトロン株式会社 基板搬送処理装置及び基板搬送処理装置における障害対策方法並びに基板搬送処理装置における障害対策用プログラム
US20060177288A1 (en) * 2005-02-09 2006-08-10 Parker N W Multiple loadlocks and processing chamber
US9099506B2 (en) * 2005-03-30 2015-08-04 Brooks Automation, Inc. Transfer chamber between workstations
WO2006135464A1 (en) * 2005-06-10 2006-12-21 Applied Materials, Inc. Linear vacuum deposition system
US8267634B2 (en) 2005-11-07 2012-09-18 Brooks Automation, Inc. Reduced capacity carrier, transport, load port, buffer system
US20070201967A1 (en) * 2005-11-07 2007-08-30 Bufano Michael L Reduced capacity carrier, transport, load port, buffer system
US20080107507A1 (en) * 2005-11-07 2008-05-08 Bufano Michael L Reduced capacity carrier, transport, load port, buffer system
KR20140091768A (ko) * 2005-11-07 2014-07-22 브룩스 오토메이션 인코퍼레이티드 반도체 작업대상물 공정처리 시스템
WO2007133701A2 (en) * 2006-05-11 2007-11-22 Brooks Automation, Inc. Reduced capacity carrier, transport, load port, buffer system
US8398355B2 (en) * 2006-05-26 2013-03-19 Brooks Automation, Inc. Linearly distributed semiconductor workpiece processing tool
JP4660434B2 (ja) * 2006-07-21 2011-03-30 株式会社安川電機 搬送機構およびそれを備えた処理装置
KR101707925B1 (ko) 2006-08-18 2017-02-17 브룩스 오토메이션 인코퍼레이티드 용량이 축소된 캐리어, 이송, 로드 포트, 버퍼 시스템
US7901539B2 (en) * 2006-09-19 2011-03-08 Intevac, Inc. Apparatus and methods for transporting and processing substrates
US9524896B2 (en) * 2006-09-19 2016-12-20 Brooks Automation Inc. Apparatus and methods for transporting and processing substrates
US8293066B2 (en) * 2006-09-19 2012-10-23 Brooks Automation, Inc. Apparatus and methods for transporting and processing substrates
US8419341B2 (en) 2006-09-19 2013-04-16 Brooks Automation, Inc. Linear vacuum robot with Z motion and articulated arm
US7675048B2 (en) * 2007-03-06 2010-03-09 Varian Semiconductor Equipment Associates, Inc. Wafer holding robot end effecter vertical position determination in ion implanter system
KR102110585B1 (ko) * 2007-05-17 2020-05-13 브룩스 오토메이션 인코퍼레이티드 측면 개방형 기판 캐리어 및 로드 포트
KR100873236B1 (ko) * 2007-06-14 2008-12-10 주식회사 실트론 웨이퍼 처리 장치
US9752615B2 (en) 2007-06-27 2017-09-05 Brooks Automation, Inc. Reduced-complexity self-bearing brushless DC motor
CN101790673B (zh) 2007-06-27 2013-08-28 布鲁克斯自动化公司 用于自轴承电机的位置反馈
US8283813B2 (en) 2007-06-27 2012-10-09 Brooks Automation, Inc. Robot drive with magnetic spindle bearings
KR101496654B1 (ko) 2007-06-27 2015-02-27 브룩스 오토메이션 인코퍼레이티드 리프트 능력 및 감소된 코깅 특성들을 가지는 전동기 고정자
CN102007366B (zh) * 2007-06-27 2014-06-18 布鲁克斯自动化公司 多维位置传感器
TWI460401B (zh) * 2007-06-27 2014-11-11 Brooks Automation Inc 多維位置感測器
US8823294B2 (en) 2007-06-27 2014-09-02 Brooks Automation, Inc. Commutation of an electromagnetic propulsion and guidance system
KR20100056468A (ko) 2007-07-17 2010-05-27 브룩스 오토메이션 인코퍼레이티드 챔버 벽들에 일체화된 모터들을 갖는 기판 처리 장치
US7770714B2 (en) * 2007-08-27 2010-08-10 Canon Anelva Corporation Transfer apparatus
US7967994B2 (en) * 2007-10-25 2011-06-28 Ovonyx, Inc. Method and apparatus for chalcogenide device formation
KR101563380B1 (ko) * 2007-12-28 2015-11-06 램 리써치 코포레이션 웨이퍼 캐리어 드라이브 장치 및 이를 동작시키는 방법
US7984543B2 (en) * 2008-01-25 2011-07-26 Applied Materials, Inc. Methods for moving a substrate carrier
KR20100000146A (ko) * 2008-06-24 2010-01-06 주성엔지니어링(주) 챔버리드를 포함하는 기판처리를 위한 진공챔버
KR101466003B1 (ko) 2008-07-23 2014-11-27 주식회사 뉴파워 프라즈마 다중 기판 처리 챔버 및 이의 가스 유동 제어 방법
WO2010011013A1 (en) * 2008-07-23 2010-01-28 New Power Plasma Co., Ltd. Multi-workpiece processing chamber and workpiece processing system including the same
KR101463983B1 (ko) 2008-07-23 2014-11-27 주식회사 뉴파워 프라즈마 다중 기판 처리 챔버와 이의 기판 처리 방법
DE102008058805B4 (de) * 2008-11-24 2013-11-21 Asys Automatic Systems Gmbh & Co. Kg Bearbeitungssystem für flächige Substrate sowie Umsetzvorrichtung hierfür
US8886354B2 (en) * 2009-01-11 2014-11-11 Applied Materials, Inc. Methods, systems and apparatus for rapid exchange of work material
KR101543681B1 (ko) 2009-01-15 2015-08-11 주성엔지니어링(주) 기판 처리 시스템
TWI591757B (zh) * 2009-03-31 2017-07-11 蘭研究公司 用以處理盤狀物品的裝置
US9096375B2 (en) 2009-04-10 2015-08-04 Symbotic, LLC Storage and retrieval system
JP5280522B2 (ja) 2009-04-28 2013-09-04 キヤノンアネルバ株式会社 識別情報設定装置、および識別情報設定方法
US7957118B2 (en) * 2009-04-30 2011-06-07 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-zone electrostatic chuck and chucking method
CN101908467B (zh) * 2009-06-05 2012-07-25 北京北方微电子基地设备工艺研究中心有限责任公司 在线式基板处理***
US8602706B2 (en) 2009-08-17 2013-12-10 Brooks Automation, Inc. Substrate processing apparatus
US8696815B2 (en) 2009-09-01 2014-04-15 Samsung Display Co., Ltd. Thin film deposition apparatus
US8459922B2 (en) * 2009-11-13 2013-06-11 Brooks Automation, Inc. Manipulator auto-teach and position correction system
KR101690970B1 (ko) * 2010-02-19 2016-12-29 주성엔지니어링(주) 기판 처리 시스템 및 기판 반송 방법
US9008884B2 (en) 2010-12-15 2015-04-14 Symbotic Llc Bot position sensing
US10822168B2 (en) 2010-12-15 2020-11-03 Symbotic Llc Warehousing scalable storage structure
US9475649B2 (en) 2010-12-15 2016-10-25 Symbolic, LLC Pickface builder for storage and retrieval systems
US8694152B2 (en) 2010-12-15 2014-04-08 Symbotic, LLC Maintenance access zones for storage and retrieval systems
US9579150B2 (en) 2011-04-08 2017-02-28 Covidien Lp Microwave ablation instrument with interchangeable antenna probe
KR20130004830A (ko) 2011-07-04 2013-01-14 삼성디스플레이 주식회사 유기층 증착 장치 및 이를 이용한 유기 발광 표시 장치의 제조 방법
TWI622540B (zh) 2011-09-09 2018-05-01 辛波提克有限責任公司 自動化儲存及取放系統
US10476354B2 (en) 2011-09-16 2019-11-12 Persimmon Technologies Corp. Robot drive with isolated optical encoder
EP2791034B1 (en) * 2011-12-16 2021-01-27 Brooks Automation, Inc. Transport apparatus
JP2013243312A (ja) * 2012-05-22 2013-12-05 Tokyo Electron Ltd 搬送装置
SE542377C2 (en) * 2012-06-28 2020-04-21 Universal Instruments Corp Pick and place machine, and method of assembly
DE102012220008B4 (de) * 2012-11-02 2023-06-01 Syntegon Technology Gmbh Transportvorrichtung mit steuerbarem Förderelement
TWI684234B (zh) * 2013-01-22 2020-02-01 美商布魯克斯自動機械公司 基材運送
US20140271064A1 (en) * 2013-03-15 2014-09-18 Teradyne, Inc. Parallel operation of system components
US10088840B2 (en) 2013-03-15 2018-10-02 Symbotic, LLC Automated storage and retrieval system with integral secured personnel access zones and remote rover shutdown
TWI642028B (zh) 2013-03-15 2018-11-21 辛波提克有限責任公司 具有整合式受保護的人員接觸區及遠端漫遊機關機之運送系統及自動化儲存和取放系統
TWI594933B (zh) 2013-03-15 2017-08-11 辛波提克有限責任公司 自動化貯藏及取放系統
JP6242603B2 (ja) * 2013-06-25 2017-12-06 株式会社ディスコ ウエーハ加工装置
US10424498B2 (en) * 2013-09-09 2019-09-24 Persimmon Technologies Corporation Substrate transport vacuum platform
JP6523296B2 (ja) 2013-09-13 2019-05-29 シムボティック エルエルシー 自動保管および取出システム
TWI695447B (zh) 2013-11-13 2020-06-01 布魯克斯自動機械公司 運送設備
JP2016537948A (ja) 2013-11-13 2016-12-01 ブルックス オートメーション インコーポレイテッド 密封スイッチトリラクタンスモータ
KR20230116962A (ko) 2013-11-13 2023-08-04 브룩스 오토메이션 인코퍼레이티드 브러쉬리스 전기 기계 제어 방법 및 장치
KR102224756B1 (ko) 2013-11-13 2021-03-08 브룩스 오토메이션 인코퍼레이티드 씰링된 로봇 드라이브
US10840102B2 (en) * 2013-11-27 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated system, integrated system operation method and film treatment method
JP6626835B2 (ja) 2014-04-21 2019-12-25 パーシモン テクノロジーズ コーポレイションPersimmon Technologies, Corp. 隔離された固定子およびエンコーダを備えるロボット
TWI677046B (zh) * 2015-04-23 2019-11-11 美商應用材料股份有限公司 半導體處理系統中的外部基板材旋轉
JP6985933B2 (ja) * 2016-03-21 2021-12-22 パーシモン テクノロジーズ コーポレイションPersimmon Technologies, Corp. 隔離された光学エンコーダを備えるロボット駆動装置
US11521869B2 (en) * 2017-03-15 2022-12-06 Lam Research Corporation Reduced footprint platform architecture with linear vacuum transfer module
US10361099B2 (en) 2017-06-23 2019-07-23 Applied Materials, Inc. Systems and methods of gap calibration via direct component contact in electronic device manufacturing systems
SG11202000479WA (en) * 2017-07-19 2020-02-27 Intevac Inc System for forming nano-laminate optical coating
US11088004B2 (en) 2018-01-30 2021-08-10 Brooks Automation, Inc. Automatic wafer centering method and apparatus
US11282737B2 (en) * 2018-02-15 2022-03-22 Lam Research Corporation Moving substrate transfer chamber
TWI815869B (zh) 2018-03-16 2023-09-21 美商布魯克斯自動機械美國公司 基板輸送裝置及用於基板輸送裝置之方法
US10720348B2 (en) * 2018-05-18 2020-07-21 Applied Materials, Inc. Dual load lock chamber
US11437258B2 (en) * 2018-08-30 2022-09-06 Taiwan Semiconductor Manufacturing Co., Ltd. Workpiece storage system, method of storing workpiece, and method of transferring workpiece using the same
CN109015314A (zh) * 2018-09-07 2018-12-18 杭州众硅电子科技有限公司 一种化学机械平坦化设备
KR20210104134A (ko) * 2018-12-21 2021-08-24 어플라이드 머티어리얼스, 인코포레이티드 자기 부상 시스템, 자기 부상 시스템을 위한 캐리어, 진공 시스템, 및 캐리어를 이송하는 방법
US11049740B1 (en) 2019-12-05 2021-06-29 Applied Materials, Inc. Reconfigurable mainframe with replaceable interface plate
US11581203B2 (en) * 2020-09-02 2023-02-14 Applied Materials, Inc. Systems for integrating load locks into a factory interface footprint space
JP2022143380A (ja) * 2021-03-17 2022-10-03 東京エレクトロン株式会社 開閉装置及び搬送室
CN115224186A (zh) * 2022-07-25 2022-10-21 复旦大学 约瑟夫森结制备装置、方法及约瑟夫森结
US20240213078A1 (en) * 2022-12-22 2024-06-27 Applied Materials, Inc. Substrate supports and transfer apparatus for substrate deformation

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5700127A (en) * 1995-06-27 1997-12-23 Tokyo Electron Limited Substrate processing method and substrate processing apparatus
CN1258093A (zh) * 1998-11-06 2000-06-28 佳能株式会社 样品处理***

Family Cites Families (57)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4624617A (en) 1984-10-09 1986-11-25 David Belna Linear induction semiconductor wafer transportation apparatus
JPS62114403A (ja) * 1985-11-13 1987-05-26 Fuji Electric Co Ltd 搬送装置
EP0346815A3 (en) * 1988-06-13 1990-12-19 Asahi Glass Company Ltd. Vacuum processing apparatus and transportation system thereof
JPH04275449A (ja) * 1991-03-04 1992-10-01 Mitsubishi Heavy Ind Ltd 磁気搬送装置
EP0529157A1 (en) * 1991-08-22 1993-03-03 Mitsubishi Jukogyo Kabushiki Kaisha Alternating current magnetic levitation transport system
US5275709A (en) * 1991-11-07 1994-01-04 Leybold Aktiengesellschaft Apparatus for coating substrates, preferably flat, more or less plate-like substrates
DE69316214T2 (de) * 1992-07-07 1998-08-13 Ebara Corp Durch magnetische wirkung schwebende transportvorrichtung
JP3042576B2 (ja) * 1992-12-21 2000-05-15 大日本スクリーン製造株式会社 基板処理装置
KR970011065B1 (ko) * 1992-12-21 1997-07-05 다이닛뽕 스크린 세이조오 가부시키가이샤 기판처리장치와 기판처리장치에 있어서 기판교환장치 및 기판교환방법
JP3338343B2 (ja) * 1992-12-21 2002-10-28 大日本スクリーン製造株式会社 基板処理装置
US5417537A (en) * 1993-05-07 1995-05-23 Miller; Kenneth C. Wafer transport device
JPH07176593A (ja) * 1993-12-20 1995-07-14 Ebara Corp 搬送装置
JPH07228344A (ja) * 1994-02-14 1995-08-29 Ebara Corp トンネル搬送方法及び装置
JPH07228345A (ja) * 1994-02-14 1995-08-29 Ebara Corp トンネル搬送装置
JPH08119409A (ja) * 1994-10-27 1996-05-14 Tokyo Electron Ltd 集合処理装置
JP3732250B2 (ja) 1995-03-30 2006-01-05 キヤノンアネルバ株式会社 インライン式成膜装置
CH691376A5 (de) * 1995-10-17 2001-07-13 Unaxis Balzers Ag Vakuumanlage zur Oberflächenbearbeitung von Werkstücken.
JPH09308292A (ja) * 1996-05-10 1997-11-28 Canon Inc ブラシレスモータの駆動装置およびこれを用いた位置決めテーブル
US6318951B1 (en) * 1999-07-09 2001-11-20 Semitool, Inc. Robots for microelectronic workpiece handling
JPH10214872A (ja) * 1997-01-28 1998-08-11 Dainippon Screen Mfg Co Ltd 基板処理装置
US6053687A (en) * 1997-09-05 2000-04-25 Applied Materials, Inc. Cost effective modular-linear wafer processing
US6235634B1 (en) * 1997-10-08 2001-05-22 Applied Komatsu Technology, Inc. Modular substrate processing system
US6011508A (en) 1997-10-31 2000-01-04 Magnemotion, Inc. Accurate position-sensing and communications for guideway operated vehicles
US6101952A (en) 1997-12-24 2000-08-15 Magnemotion, Inc. Vehicle guidance and switching via magnetic forces
JP4061693B2 (ja) * 1998-02-05 2008-03-19 神鋼電機株式会社 電子部品製造設備
KR100265287B1 (ko) * 1998-04-21 2000-10-02 윤종용 반도체소자 제조용 식각설비의 멀티챔버 시스템
JPH11312723A (ja) * 1998-04-30 1999-11-09 Dainippon Screen Mfg Co Ltd 基板搬送装置および方法
EP1086485A2 (en) 1998-05-12 2001-03-28 Semitool, Inc. Process and manufacturing tool architecture for use in the manufacture of one or more metallization levels on a workpiece
US6517303B1 (en) 1998-05-20 2003-02-11 Applied Komatsu Technology, Inc. Substrate transfer shuttle
US6206176B1 (en) 1998-05-20 2001-03-27 Applied Komatsu Technology, Inc. Substrate transfer shuttle having a magnetic drive
US6176668B1 (en) 1998-05-20 2001-01-23 Applied Komatsu Technology, Inc. In-situ substrate transfer shuttle
US6540896B1 (en) 1998-08-05 2003-04-01 Caliper Technologies Corp. Open-Field serial to parallel converter
NL1010836C2 (nl) 1998-12-17 2000-06-23 O T B Engineering B V Oven voor het vervaardigen van zonnecellen.
US6435330B1 (en) * 1998-12-18 2002-08-20 Asyai Technologies, Inc. In/out load port transfer mechanism
JP2000286318A (ja) * 1999-01-27 2000-10-13 Shinko Electric Co Ltd 搬送システム
DE19921244A1 (de) 1999-05-07 2000-11-16 Siemens Ag Anlage zur Bearbeitung von Wafern
WO2000078651A1 (en) 1999-06-21 2000-12-28 Sri International Frictionless transport apparatus and method
AU6341200A (en) 1999-07-02 2001-01-22 Magnemotion, Inc. System for inductive transfer of power, communication and position sensing to a guideway-operated vehicle
DE19945648C2 (de) 1999-09-23 2001-08-02 Steag Hamatech Ag Vorrichtung zum Be- und Entladen von Substraten
JP2001143979A (ja) * 1999-11-17 2001-05-25 Matsushita Electronics Industry Corp 半導体基板処理システム
US6578495B1 (en) 1999-11-23 2003-06-17 Magnemotion, Inc. Modular linear motor tracks and methods of fabricating same
US6271606B1 (en) * 1999-12-23 2001-08-07 Nikon Corporation Driving motors attached to a stage that are magnetically coupled through a chamber
JP2001189363A (ja) * 2000-01-04 2001-07-10 Mitsubishi Electric Corp 半導体装置製造設備およびその制御方法
US6781524B1 (en) 2000-03-17 2004-08-24 Magnemotion, Inc. Passive position-sensing and communications for vehicles on a pathway
TW494523B (en) * 2000-04-05 2002-07-11 Tokyo Electron Ltd Processing device
US6641350B2 (en) * 2000-04-17 2003-11-04 Hitachi Kokusai Electric Inc. Dual loading port semiconductor processing equipment
US6297611B1 (en) 2000-07-06 2001-10-02 Genmark Automation Robot having independent end effector linkage motion
US20020061248A1 (en) * 2000-07-07 2002-05-23 Applied Materials, Inc. High productivity semiconductor wafer processing system
JP4753224B2 (ja) * 2000-08-22 2011-08-24 日本エー・エス・エム株式会社 ガスラインシステム
JP2002068476A (ja) * 2000-08-29 2002-03-08 Anelva Corp 磁気搬送装置
NL1016733C2 (nl) 2000-11-29 2002-05-31 Otb Group Bv Transportinrichting geschikt voor het transporteren van ringvormige producten.
US6570273B2 (en) 2001-01-08 2003-05-27 Nikon Corporation Electric linear motor
US20020182036A1 (en) 2001-06-04 2002-12-05 Applied Materials, Inc. Semiconductor wafer handling robot for linear transfer chamber
US6752585B2 (en) 2001-06-13 2004-06-22 Applied Materials Inc Method and apparatus for transferring a semiconductor substrate
CN1996552B (zh) 2001-08-31 2012-09-05 克罗辛自动化公司 晶片机
JP4389424B2 (ja) * 2001-12-25 2009-12-24 東京エレクトロン株式会社 被処理体の搬送機構及び処理システム
NL1020633C2 (nl) 2002-05-21 2003-11-24 Otb Group Bv Samenstel voor het behandelen van substraten.

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5700127A (en) * 1995-06-27 1997-12-23 Tokyo Electron Limited Substrate processing method and substrate processing apparatus
CN1258093A (zh) * 1998-11-06 2000-06-28 佳能株式会社 样品处理***

Also Published As

Publication number Publication date
WO2004010476A3 (en) 2004-06-03
EP1535313A2 (en) 2005-06-01
US20040151562A1 (en) 2004-08-05
WO2004010476A2 (en) 2004-01-29
JP2005534176A (ja) 2005-11-10
AU2003259203A1 (en) 2004-02-09
AU2003259203A8 (en) 2004-02-09
EP1535313B1 (en) 2018-10-31
CN1759051A (zh) 2006-04-12
JP2011139086A (ja) 2011-07-14
JP4712379B2 (ja) 2011-06-29
KR101028065B1 (ko) 2011-04-08
EP1535313A4 (en) 2010-05-26
TW200403183A (en) 2004-03-01
KR20050035247A (ko) 2005-04-15
TWI304391B (en) 2008-12-21
US7575406B2 (en) 2009-08-18
JP5543934B2 (ja) 2014-07-09

Similar Documents

Publication Publication Date Title
CN1759051B (zh) 衬底处理装置
CN101091241B (zh) 衬底处理装置
TWI486999B (zh) 基板處理裝置
US10679882B2 (en) Reduced capacity carrier, transport, load port, buffer system
US8602706B2 (en) Substrate processing apparatus
US20070183871A1 (en) Substrate processing apparatus
US8960099B2 (en) Substrate processing apparatus
JP6896027B2 (ja) 半導体部品処理システム
US20100080672A1 (en) Direct loading to and from a conveyor system

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
TR01 Transfer of patent right

Effective date of registration: 20220310

Address after: Massachusetts

Patentee after: Borukos automation Holding Co.,Ltd.

Address before: Massachusetts

Patentee before: BROOKS AUTOMATION, Inc.

Effective date of registration: 20220310

Address after: Massachusetts

Patentee after: Borucos automation USA Co.,Ltd.

Address before: Massachusetts

Patentee before: Borukos automation Holding Co.,Ltd.

TR01 Transfer of patent right
CX01 Expiry of patent term

Granted publication date: 20140108

CX01 Expiry of patent term