CN104690641A - 用于弯曲晶圆的传送模块 - Google Patents

用于弯曲晶圆的传送模块 Download PDF

Info

Publication number
CN104690641A
CN104690641A CN201410053282.1A CN201410053282A CN104690641A CN 104690641 A CN104690641 A CN 104690641A CN 201410053282 A CN201410053282 A CN 201410053282A CN 104690641 A CN104690641 A CN 104690641A
Authority
CN
China
Prior art keywords
wafer
pusher
sticking
workbench
sticking plate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201410053282.1A
Other languages
English (en)
Inventor
吴铭栋
谢元智
赵兰璘
蔡嘉雄
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority to CN201910553474.1A priority Critical patent/CN110216578B/zh
Publication of CN104690641A publication Critical patent/CN104690641A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6838Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping with gripping and holding devices using a vacuum; Bernoulli devices
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/27Work carriers
    • B24B37/30Work carriers for single side lapping of plane surfaces
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/34Accessories
    • B24B37/345Feeding, loading or unloading work specially adapted to lapping
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B41/00Component parts such as frames, beds, carriages, headstocks
    • B24B41/005Feeding or manipulating devices specially adapted to grinding machines
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67288Monitoring of warpage, curvature, damage, defects or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)

Abstract

一种晶圆研磨***,包括在一个端部具有吸持板的机械臂和在机械臂的范围内的工作台。工作台的上表面具有用于吸持和保持晶圆的真空表面。连接到机械臂的推动器在吸持板的***延伸。推动器使晶圆在工作台的上表面上变平,从而允许工作台通过吸持力来保持晶圆,否则所述晶圆太弯曲以致于不能以这种方式来保持。此外,工作台可以具有相对于晶圆较小的真空区域,真空区域是增加可以容许的晶圆弯曲的幅度的另一种方式。研磨***可以使用减小的真空区域概念以允许定位工作台保持弯曲的晶圆并且可以使用推动器概念允许卡盘工作台保持弯曲的晶圆。本发明还提供了用于弯曲晶圆的传送模块。

Description

用于弯曲晶圆的传送模块
技术领域
本发明涉及用于处理半导体晶圆的***和方法,尤其涉及研磨***中,处理半导体晶圆的***和方法。
背景技术
集成电路器件形成在半导体晶圆上。通常在切割和封装之前,对晶圆进行研磨以直接减薄晶圆。通常适合这些应用的自动研磨机是市售的。
发明内容
根据本发明的一个方面,提供了一种晶圆处理***,包括:机械臂,在一个端部具有吸持板;工作台,位于机械臂的范围内,工作台具有用于在其上支撑晶圆的上表面,上表面具有用于吸持晶圆并且将晶圆保持到工作台的上表面的真空区域;以及一个或多个推动器,连接到机械臂并且在吸持板的***延伸;其中,推动器被配置为将被吸持板所保持的半导体晶圆压向工作台的上表面。
优选地,工作台是晶圆研磨***内的卡盘工作台。
优选地,该***还包括:弹簧加载连接件,位于吸持板和推动器之间;其中,弹簧加载连接件允许吸持板和推动器之间的相对运动。
优选地,吸持板具有平面晶圆吸持侧面;弹簧加载连接件具有压缩位置,在压缩位置处推动器顶端与吸持板的平面晶圆吸持侧面共面;以及弹簧加载连接件具有去压缩位置,在去压缩位置处推动器没有到达吸持板的平面晶圆吸持侧面的平面。
优选地,吸持板具有平面晶圆吸持侧面;推动器包括附接到机械臂的压板、和附接到压板并且在由吸持板的晶圆吸持侧面面对的方向上延长的延伸部。
优选地,吸持板具有平面晶圆吸持侧面;推动器包括被定向为平行于吸持板的平面晶圆吸持侧面的多个推动器杆。
优选地,该***还包括:定位工作台,具有用于在其上支撑晶圆的上表面和用于吸持晶圆并将晶圆保持在定位工作台上的真空歧管;其中,真空歧管仅通过上表面的真空区域内的孔而在定位工作台的上表面上开放;并且与卡盘工作台的上表面的区域相比,真空区域较小。
优选地,该***还包括:定位工作台,具有用于在其上支撑晶圆的上表面和用于吸持晶圆并将所述晶圆保持在定位工作台上的真空歧管;其中,真空歧管仅通过上表面上的真空区域内的孔而在定位工作台的上表面上开放;并且真空区域限定于直径上不超过50mm的圆形区域内。
优选地,该***还包括:定位工作台,定位工作台具有用于在其上支撑晶圆的上表面和用于吸持晶圆并将晶圆保持在定位工作台上的真空歧管;其中,与卡盘工作台的上表面相比,定位工作台的上表面非常小。
优选地,该***还包括:定位工作台,具有用于在其上支撑晶圆的上表面和用于吸持晶圆并将晶圆保持在定位工作台上的真空歧管;其中,定位工作台的上表面的特征在于没有不连接到真空歧管的任何缺口或孔。
优选地,包括上表面的定位工作台的最上部通过螺钉附接到研磨***,螺钉通过与上表面相对形成的孔而进入定位工作台的最上部。
根据本发明的另一方面,提供了一种用于处理晶圆的方法,包括:通过使用吸持板将晶圆保持在中央位置的机械臂,将晶圆从第一工作台举升到第二工作台;以及在晶圆仍与吸持板接触的情况下,使用附接到机械臂的推动器将晶圆压向第二工作台;其中,推动器在吸持板与晶圆接触的中央位置之外的位置处与晶圆接触。
优选地,第一工作台是定位工作台,并且第二工作台是卡盘工作台。
优选地,该方法还包括:将晶圆吸持到第二工作台;其中,通过使用附接到机械臂的推动器将晶圆压向第二工作台增大了晶圆和第二工作台之间的吸持区域。
优选地,在晶圆与第二工作台接触之前,推动器与晶圆不直接接触。
优选地,在直接将晶圆压向第二工作台之前,晶圆原离第二工作台弯曲。
优选地,在直接将晶圆压向第二工作台之前,晶圆朝着第二工作台弯曲。
优选地,该方法还包括:通过第一工作台的晶圆支撑表面中的每个凹陷而将空气抽取到真空歧管来保持晶圆支撑表面清洁。
优选地,该方法还包括:在从第一工作台举升晶圆之前,通过仅位于第一工作台的较小中央区域内的孔施加吸持力而将晶圆保持在第一工作台上。
根据本发明的又一方面,提供了一种用于研磨晶圆的方法,包括根据上述方法处理一系列晶圆,其中,由机械臂举升的一些晶圆向上弯曲,并且一些晶圆向下弯曲。
附图说明
图1示出了根据本发明的实施例的研磨***。
图2是根据本发明的另一个实施例的方法的流程图。
图3提供了根据本发明的实施例从定位工作台的侧面所截取的截面图,其中,向上弯曲的晶圆放置在其顶部上。
图4提供了图3的定位工作台的俯视图。
图5提供了从图3的定位工作台的侧面所截取的横面图,其中,向下弯曲晶圆放置在其顶部上。
图6示出了根据本发明的另一个实施例的定位工作台。
图7提供了根据本发明的实施例的当机械臂使晶圆与工作台接触时从机械臂的侧面所截取的截面图。
图8提供了示出图7的机械臂上的推动器顶端的形状的仰视图。
图9示出了用于根据本发明的另一个实施例的推动器顶端的形状。
图10示出了当机械臂将晶圆压在工作台上时的图7的机械臂。
图11示出了当机械臂远离机械臂将晶圆压在其上的工作台进行举升时的图7的机械臂。
具体实施方式
已经观察到自动研磨机通常不能处理弯曲超过500μm的晶圆。用于将晶圆保持在定位工作台上的真空***通常不适于向上弯曲400μm的晶圆,并且用于将晶圆保持在卡盘工作台上的真空***通常不适于向上弯曲480μm的晶圆。本发明提供了两种方案。一种方案是缩小工作台的真空区域。另一种方案是提高具有在晶圆和工作台的真空区域之间增加接触的推动器的机械臂。虽然可以使用任何一种方案,但是缩小的真空区域应用于定位工作台并且具有推动器的机械臂应用于卡盘工作台的研磨***提供了最佳方案。根据本发明的实施例的***可以加工弯曲超过500μm的晶圆。在一些实施例中,***可以处理弯曲900μm的晶圆,并且可以容许甚至更大的晶圆弯曲。
图1示出了提供根据本发明的一个实施例的***的示例的研磨***100。虽然该示例使用研磨***,但是由本发明所提供的晶圆处理***和方法可以应用于使用自动化技术处理晶圆的任何***。研磨***100包括定位工作台300、机械拾取钳120、机械装置400和承载多个卡盘工作台500的转盘(carrousel)130。轴133A、133B和133C布置在转盘130之上以提供由卡盘工作台500所保持的晶圆150的粗、中等和细研磨。当转盘130旋转时,卡盘工作台500轮换位置。机械装置400可以将晶圆150加载到处于加载位置134的卡盘工作台500上。机械装置400可以用于从卡盘工作台500上卸载晶圆150,但是在大多数实施例中,其他机械装置(未示出)提供卸载。
图2提供了根据本发明的另一个实施例的示例的方法200的流程图。方法200是操作诸如研磨***100的研磨***的方法。方法开始于动作201,将晶圆150放置在定位工作台300上。在一些实施例中,通过从晶圆盒160取出晶圆150并且将该晶圆150放置在定位工作台300上的机械拾取钳120来完成该动作。图3提供了以更具体地示出定位工作台300的一些区别特征的截面侧视图。定位工作台300提供了根据本发明的另一个实施例的示例。图4提供了定位工作台300的俯视图。
动作203是通过形成在定位工作台300的上部317中的真空歧管319来抽取真空。真空歧管319在定位工作台300的支撑晶圆的上表面312上是开口的。抽取真空在上表面312的中央区域314内形成真空表面,以将晶圆150牢固地吸持在定位工作台300上。在一些实施例中,定位工作台300使晶圆150旋转以有助于精确且精密地确定晶圆150的位置。在这些实施例中,由定位工作台300所施加的吸持力足以防止当晶圆150进行旋转时,晶圆150相对于定位工作台300的上部317移动。
真空歧管319包括沟槽315和孔307。通过诸如图4所示的沟槽315A的通道来互连真空歧管319的不同部分。真空泵(未示出)通过诸如通孔307连接至真空歧管319。在真空歧管319内产生足够低的压力以将晶圆150固定在表面312上通常要求密封形成在表面312上的晶圆150和真空歧管319的全部开口之间。在该示例中,开口是沟槽315和孔307在中央区域314内交汇于表面312的位置。
根据本发明的实施例,真空歧管319仅在中央区域314内的表面312上具有开口,中央区域314是具有直径310的圆形区域。直径310远小于研磨***100设计为处理的晶圆150的直径。在图3中,示出了弯曲晶圆150,该弯曲晶圆150位于定位工作台300的上表面312上。晶圆150位于中央区域314内的表面312之上的最大高度152远小于晶圆150***附近的高度152。当中央区域314的直径310被制造为较小时,晶圆150位于中央区域314内的表面312之上的最大高度152变小。通过关于诸如晶圆150的弯曲、形成表面312的材料的柔韧性、晶圆150的柔韧性和表面312上的任何液体的粘性和表面张力等因素而将中央区域314制造得足够小,密封可以形成在晶圆150和真空歧管319之间,而不考虑晶圆150的弯曲。在一些实施例中,通过由弹性材料形成表面312来进一步增加用于晶圆150的弯曲的研磨***100的容限。
在一些实施例中,中央区域314的直径小于晶圆150的直径的一半。在大多数实施例中,中央区域314的直径310是晶圆150的直径的四分之一或更小。在一些实施例中,直径310小于10cm。在大多数实施例中,直径310为5cm或更小,例如42mm。在一些实施例中,中央区域314内的高度152的最大值为0.4mm或更小。在大多数实施例中,中央区域314内的高度152的最大值为0.2mm或更小。在一些实施例中,中央区域314内的高度152的最大值小于晶圆150的弯曲(bow)的一半。在大多数实施例中,中央区域314内的高度152的最大值是晶圆150的弯曲的四分之一或更小。晶圆150的弯曲可以限定为围绕晶圆150的一个侧面上的全部点的两个平行平面之间的最小距离。大致等同的限定是在其间安装有晶圆150的两个平行平面之间的最小距离,其小于晶圆150的厚度。
根据本发明的另一个实施例,表面312没有与真空歧管319不连续的任何孔、凹陷或凹处。这种设计使表面312上的污染物的堆积最小化,因此使晶圆150的污染最小。定位工作台300的上部317通过螺钉305附接至框架301。在一些实施例中,如图3所示,螺钉305从下方进入上部317,这种结构避免了在表面312上存在螺钉开口或螺钉盖。通过从真空歧管319抽取空气,可以从与真空歧管319连续的孔、凹陷和其它凹处来去除碎屑。在一些实施例中,研磨***100进一步适于通过真空歧管319来使空气流转向并且从表面312向外吹空气,这提供了从表面312清除碎屑的附加方法。
在大多数实施例中,定位工作台300适于保持晶圆150,而无论晶圆150向上弯曲还是向下弯曲。图5示出了定位工作台300的表面312大于晶圆150的实施例。在这些实施例中,真空密封在晶圆150的周界154处形成在表面312和晶圆150之间。在这些实施例中,晶圆150和表面312之间的整体空间156处于真空中。
图6示出了定位工作台300A,该定位工作台300A是表面312为圆形并且表面312的直径小于研磨***100处理的最大晶圆150的直径的可选实施例的示例。在一些实施例中,表面312的直径不是远远大于中央区域314的直径310。在一些实施例中,表面312的直径为大约10cm或更小。在一些实施例中,表面312的直径为大约5cm或更小。在一些实施例中,表面312的直径是晶圆150的直径的一半或更小。在一些实施例中,表面312的直径是晶圆150的直径的四分之一或更小。这些实施例通过减少表面312和向下弯曲晶圆150之间的空间156并且通过减小真空密封必须沿其形成的路径长度来有助于在向下弯曲的晶圆150和表面312之间形成真空密封。这些实施例另外的优点是它们增加了研磨***100容许晶圆150的弯曲的径向不对称性的能力。
仍然参照图2,动作205确定晶圆150的中央的位置,同时晶圆150保持在定位工作台300的表面312上。在一些实施例中,使用一个多个光检测器或照相机来确定位置。在一些实施例中,定位工作台300包括可旋转安装,并且在定位工作台300使晶圆150旋转同时感测晶圆150的位置。
动作207为机械装置400从定位工作台300上拾取晶圆150。在大多数实施例中,机械装置400包括具有附接到其操纵装置端部的吸持板401的臂407。吸持板401具有平面晶圆吸持侧面402(未示出,但是在图7中被标识出),该晶圆吸持侧面402能够使吸持板401在晶圆150的表面上形成真空密封并且因此通过吸持来举升并保持晶圆150。在大多数实施例中,动作207涉及使用在动作205中所获取的位置信息,以在晶圆150仍位于定位工作台300上的同时,指示臂407适当地位于晶圆150上。位置信息能够通过吸持板401相对于晶圆150的具***置来使机械臂407拾取晶圆150。具***置通常是吸持板401位于晶圆150中央的位置。晶圆150处于吸持板401上的具***置处允许机械装置400将晶圆150置于卡盘工作台500中心。
在一些其它实施例中,机械装置400总是下降到定位工作台300上的相同位置。在大多数这些其它实施例中,定位工作台300适于在垂直于表面312的方向上平移晶圆150并且将晶圆150重新定位,使得机械装置400拾取具***置处的晶圆150。在可选实施例中,机械装置400通过使用位置信息将晶圆150置于卡盘工作台500的中央,以调节吸持板401下降到卡盘工作台500上的位置。
如图7所示,动作209使晶圆150下降到与卡盘工作台500的上表面504接触。通过使用附接至机械装置400的臂407的推动器403,动作211将晶圆150压向卡盘工作台500。动作213是通过吸持将晶圆150保持在卡盘工作台500上。如图10所示,将晶圆150压在卡盘工作台500上可以使晶圆150稍微变平并且引起或进一步引起由动作213所形成的真空。这些动作可以重叠并且它们的顺序会变化。例如,可以在将晶圆150压向卡盘工作台500之前,开启真空。参照图7,吸持板401和推动器403附接到机械装置400的臂407的操纵装置端部405。推动器403包括支撑框409、框延伸部413和推动器顶端417。框架延伸部413保持推动器顶端417,使得位于推动器顶端417的末端处的接触表面418设置在吸持板401的***。
在该示例中,如图8所示,推动器顶端417是八个连接的推动器杆415的八边形布置。更一般地,推动器顶端417可以具有任何合适的结构。用于推动器顶端417的合适结构的示例包括但不限于以下多种布置:推动器杆415,提供用于压向晶圆150的线形接触表面418;和柱419,提供用于压向晶圆150的点形接触表面418。图9示出了推动器顶端417A,该推动器顶端417A提供了推动器顶端417由柱419形成的实施例的示例。
在大多数实施例中,推动器顶端417的结构关于吸持板401处于的中心点对称。在一些实施例中,推动器顶端417包括推动器杆415的圆形布置或多边形布置。如图8所示,杆可以连接或断开。在一些实施例中,推动器顶端417由柱419形成。在一些实施例中,推动器顶端417包括柱419的圆形布置或多边形布置。这些布置将接触表面418对称地放置在吸持板401***并且可以远离吸持板401移动。在一些实施例中,推动器顶端417由与吸持板401的中心等距的推动器杆415或柱419形成。在一些实施例中,推动器顶端417包括大量同轴布置的前述结构,以改进具有不同尺寸的晶圆150的处理。在一些实施例中,推动器顶端417由推动器杆415和柱419等元件形成,这些元件的宽度(或直径)分别在2mm至50mm(例如,10mm)的范围内。
在一些实施例中,推动器顶端417被布置为在晶圆的***154附近压在晶圆150上。在一些实施例中,推动器顶端417跨越宽度420,该宽度至少为晶圆150的直径的大约75%。通用的晶圆尺寸是200mm。因此,在一些实施例中,推动器顶端417跨越至少150mm(例如,200mm)的宽度420。另一个典型尺寸的晶圆尺寸是300mm。450mm直径晶圆期望成为通用的。因此,在一些实施例中,推动器顶端417跨越至少225mm的宽度420,并且在一些实施例中,推动器顶端417跨越宽度420,该宽度在大约330mm至大约450mm的范围内。布置推动器顶端417以在其***附近作用于晶圆150增加了推动器403减少晶圆150的弯曲的效果。
可以以任何合适的方式构建支撑框架409和框架延伸部413,以驱动推动器顶端417。在一些实施例中,框架409包括具有投影于推动器杆415、柱419和形成推动器顶端417的任何其它结构上的形状的压板。该结构可以有助于通过推动器顶端417均匀地施加力。
在大多数实施例中,机械装置400使用通过吸持板401所施加的吸持力来保持晶圆150被举升和运载。机械装置400不能够像定位工作台300或卡盘工作台500一样安全地保持晶圆150。在一些实施例中,为了避免潜在地移出晶圆150,机械装置400被设计为当机械臂407举升晶圆150时,避免晶圆150与推动器403之间接触。图7提供了这些实施例的示例,其中,示出了在推动器403与晶圆150接触之前,与卡盘工作台500接触的晶圆150。在一些可选实施例中,在机械装置400举升晶圆150的同时,推动器403与晶圆150接触,但是当在晶圆150处于举升位置时,对晶圆150施加很少的力或不施加力。
在大多数实施例中,机械装置400被设计为允许在推动器顶端417和吸持板401之间的一些相对运动。在一些实施例中,通过推动器403的屈伸(flexion)来提供相对运动,例如可以在框架409或延伸部413中存在推动器403的屈伸。在图7提供示例的一些实施例中,通过一个或多个弹簧加载接点411来提供相对运动。图7示出了具有处于未压缩位置的弹簧加载接点411的机械臂407。在该位置中,推动器顶端417的接触表面418位于吸持板401的晶圆吸持面402的平面之上。如图10所示,当臂407朝着卡盘工作台500下降时,弹簧加载接点411压缩直到推动器403作用于晶圆150并且推动器顶端417的接触表面418接近晶圆吸持面402的平面。在一些实施例中,推动器顶端417由弹性体或其它材料构成,以避免在晶圆150上形成额外的力。
卡盘工作台500包括形成真空歧管并且交汇卡盘工作台500的上表面502以形成真空表面502的多孔结构501。在一些实施例中,多孔结构501是陶瓷,其在研磨操作期间提供良好支撑。真空表面502与晶圆150之间的接触面积越大,晶圆150可以保持在表面504上的吸持力就越大。因此,在一些实施例中,真空表面502的直径接近晶圆150的直径。
在推动器403没有动作的情况下,晶圆150和真空表面502之间的接触不足以形成真空密封。当推动器403压向晶圆150时,晶圆150屈伸,从而减少其弯曲并且增加晶圆150和真空表面502之间的有效接触面积。有效接触面积的增加可以足以允许晶圆150和卡盘工作台500之间形成真空。一旦这种真空形成,机械臂407就可以释放晶圆150并且远离晶圆150升高。如图11所示,然后,来自卡盘工作台500的吸持力保持晶圆150并且将晶圆150保持在其未弯曲的状态下。
图2的工艺200持续到动作215,从而使转盘130旋转。旋转恰好将晶圆150放置于加载在第一研磨轴133A之下的位置134处。旋转也将已经在轴133C下完成研磨的晶圆150放置回位置134处。研磨过的晶圆150被卸载并且现在通过机械装置400将另一个晶圆从定位工作台300移动到位置134处的卡盘工作台500。
动作217是研磨晶圆150。可以通过任何合适的机械装置进行研磨。在大多数实施例中,研磨轴在其下表面(未示出)上具有研磨剂并且该研磨轴被配置为可旋转。在一些实施例中,卡盘工作台500也被配置为可旋转。
本发明提供了晶圆研磨***,该晶圆研磨***包括在一端具有吸持板的机械臂和机械臂的范围内的工作台。工作台具有用于在其上支撑晶圆的上表面、和用于吸持晶圆并且将晶圆保持在工作台的上表面上的真空区域。连接到机械臂的推动器在吸持板***延伸。推动器被配置为将半导体晶圆压在工作台的上表面上。在一些实施例中,工作台是卡盘工作台。晶圆研磨***操可操作地使晶圆在工作台的上表面变平。这有助于在工作台和晶圆之间形成真空密封并且增加可以由研磨***所容许的晶圆弯曲的幅度。
本发明还提供了具有工作台的晶圆研磨***,该工作台具有用于在其上支撑晶圆的上表面和用于吸持晶圆并将晶圆保持在工作台上的真空歧管。真空歧管仅通过上表面上的真空区域内的孔而在定位工作台的上表面上开放。与工作台的上表面的区域相比,真空区域较小;或者与研磨***被设计为处理的晶圆的尺寸相比,真空区域较小。在一些实施例中,工作台是定位工作台。在一些实施例中,定位工作台的上表面的特征为不存在不连接到真空歧管的任何缺口或孔。较小的真空区域有助于在工作台和弯曲晶圆之间形成真空密封,并且增加可以通过研磨***所容许的晶圆弯曲的幅度。
本发明还提供了晶圆处理方法。该方法包括通过使用吸持板将晶圆保持在中央位置处的机械臂而将晶圆从第一工作台举升第二工作台。在晶圆仍与吸持板接触的情况下,使用附接到机械臂的推动器来将晶圆压向第二工作台。推动器将晶圆压向吸持板与晶圆接触的中央位置之外的位置处。这使晶圆在工作台上变平并且可以允许工作台通过吸持来保持晶圆,否则该晶圆太弯曲以至于不能以这种方式通过工作台来保持。
已经根据某些实施例和示例示出和/或描述了本发明的组件和部件。虽然可以仅相对于一个实施例或一个示例描述具体的组件或部件,或者该组件或部件的较宽或较窄的表述,但是在如本领域的普通技术人员逻辑上可以认识的这种组合的程度上,具有这种较宽或者较窄的表述的全部组件和部件可以与其它组件或部件结合。

Claims (10)

1.一种晶圆处理***,包括:
机械臂,在一个端部具有吸持板;
工作台,位于所述机械臂的范围内,所述工作台具有用于在其上支撑晶圆的上表面,所述上表面具有用于吸持晶圆并且将所述晶圆保持到所述工作台的所述上表面的真空区域;以及
一个或多个推动器,连接到所述机械臂并且在所述吸持板的***延伸;
其中,所述推动器被配置为将被所述吸持板所保持的半导体晶圆压向所述工作台的上表面。
2.根据权利要求1所述的***,所述工作台是晶圆研磨***内的卡盘工作台。
3.根据权利要求1所述的***,还包括:
弹簧加载连接件,位于所述吸持板和所述推动器之间;
其中,所述弹簧加载连接件允许所述吸持板和所述推动器之间的相对运动。
4.根据权利要求3所述的***,其中:
所述吸持板具有平面晶圆吸持侧面;
所述弹簧加载连接件具有压缩位置,在所述压缩位置处所述推动器顶端与所述吸持板的平面晶圆吸持侧面共面;以及
所述弹簧加载连接件具有去压缩位置,在所述去压缩位置处所述推动器没有到达所述吸持板的平面晶圆吸持侧面的平面。
5.根据权利要求1所述的***,其中:
所述吸持板具有平面晶圆吸持侧面;
所述推动器包括附接到所述机械臂的压板、和附接到所述压板并且在由所述吸持板的晶圆吸持侧面面对的方向上延长的延伸部。
6.根据权利要求1所述的***,其中:
所述吸持板具有平面晶圆吸持侧面;
所述推动器包括被定向为平行于所述吸持板的平面晶圆吸持侧面的多个推动器杆。
7.根据权利要求1所述的***,还包括:
定位工作台,具有用于在其上支撑晶圆的上表面和用于吸持所述晶圆并将所述晶圆保持在所述定位工作台上的真空歧管;
其中,所述真空歧管仅通过所述上表面的真空区域内的孔而在所述定位工作台的所述上表面上开放;并且
与卡盘工作台的上表面的区域相比,所述真空区域较小。
8.根据权利要求2所述的***,还包括:
定位工作台,具有用于在其上支撑晶圆的上表面和用于吸持所述晶圆并将所述晶圆保持在所述定位工作台上的真空歧管;
其中,所述真空歧管仅通过所述上表面上的真空区域内的孔而在所述定位工作台的上表面上开放;并且
所述真空区域限定于直径上不超过50mm的圆形区域内。
9.一种用于处理晶圆的方法,包括:
通过使用吸持板将所述晶圆保持在中央位置的机械臂,将晶圆从第一工作台举升到第二工作台;以及
在所述晶圆仍与所述吸持板接触的情况下,使用附接到所述机械臂的推动器将所述晶圆压向所述第二工作台;
其中,所述推动器在所述吸持板与所述晶圆接触的所述中央位置之外的位置处与所述晶圆接触。
10.一种用于研磨晶圆的方法,包括根据权利要求9所述的方法处理一系列晶圆,其中,由所述机械臂举升的一些晶圆向上弯曲,并且一些晶圆向下弯曲。
CN201410053282.1A 2013-12-04 2014-02-17 用于弯曲晶圆的传送模块 Pending CN104690641A (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201910553474.1A CN110216578B (zh) 2013-12-04 2014-02-17 用于弯曲晶圆的传送模块

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/096,217 US9355882B2 (en) 2013-12-04 2013-12-04 Transfer module for bowed wafers
US14/096,217 2013-12-04

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN201910553474.1A Division CN110216578B (zh) 2013-12-04 2014-02-17 用于弯曲晶圆的传送模块

Publications (1)

Publication Number Publication Date
CN104690641A true CN104690641A (zh) 2015-06-10

Family

ID=53265934

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201910553474.1A Active CN110216578B (zh) 2013-12-04 2014-02-17 用于弯曲晶圆的传送模块
CN201410053282.1A Pending CN104690641A (zh) 2013-12-04 2014-02-17 用于弯曲晶圆的传送模块

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201910553474.1A Active CN110216578B (zh) 2013-12-04 2014-02-17 用于弯曲晶圆的传送模块

Country Status (3)

Country Link
US (1) US9355882B2 (zh)
CN (2) CN110216578B (zh)
TW (1) TWI567014B (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110346609A (zh) * 2018-04-03 2019-10-18 爱思开海力士有限公司 晶片卡紧装置和包括其的晶片测试设备
CN111584416A (zh) * 2020-04-30 2020-08-25 南通通富微电子有限公司 一种晶圆搬运装置及晶圆减薄设备
CN112466798A (zh) * 2020-11-30 2021-03-09 长江存储科技有限责任公司 一种半导体机台

Families Citing this family (261)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
CN106471614B (zh) * 2014-07-03 2020-08-25 Lpe公司 用于操纵衬底的工具、操纵方法及外延反应器
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
TWI581904B (zh) * 2014-11-18 2017-05-11 漢民科技股份有限公司 工件處理裝置與方法
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
CN105773347A (zh) * 2016-04-29 2016-07-20 新昌县盛金祺机械有限公司 一种高效轴承磨光设备
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
JP6866115B2 (ja) * 2016-11-04 2021-04-28 株式会社東京精密 ウエハの搬送保持装置
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10068787B2 (en) 2016-12-30 2018-09-04 Sunpower Corporation Bowing semiconductor wafers
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
CN106872246B (zh) * 2017-01-19 2019-06-21 中国石油大学(北京) 一种用于裂变径迹化学蚀刻的设备及方法
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR102576705B1 (ko) 2018-08-30 2023-09-08 삼성전자주식회사 기판 본딩 장치 및 기판의 본딩 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP7256685B2 (ja) * 2019-05-16 2023-04-12 株式会社ディスコ 研削装置
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
JP7396815B2 (ja) * 2019-06-12 2023-12-12 株式会社ディスコ ロボットハンド
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210089077A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 가스 공급 어셈블리, 이의 구성 요소, 및 이를 포함하는 반응기 시스템
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
CN113136565A (zh) 2020-01-20 2021-07-20 Asm Ip私人控股有限公司 形成薄膜的方法和改性薄膜的表面的方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
CN111554603B (zh) * 2020-06-02 2023-04-28 江西维易尔半导体设备有限公司 一种带孔方形硅片加工传送***
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN118156204A (zh) * 2022-12-07 2024-06-07 纬创资通(重庆)有限公司 晶圆搬运设备及其吸盘模块

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60157231A (ja) * 1984-01-26 1985-08-17 Toshiba Corp ウエ−ハロ−ダ
JP2003128279A (ja) * 2001-10-30 2003-05-08 Sharp Corp 板状部材取り出しハンドおよび板状部材の取り出し方法
JP2006019566A (ja) * 2004-07-02 2006-01-19 Toshiba Corp 半導体基板吸着ハンド及びその操作方法
CN1867424A (zh) * 2003-10-17 2006-11-22 株式会社荏原制作所 抛光装置
JP2009107097A (ja) * 2007-10-31 2009-05-21 Disco Abrasive Syst Ltd 加工装置
JP2012099755A (ja) * 2010-11-05 2012-05-24 Disco Abrasive Syst Ltd 搬送装置
CN102683256A (zh) * 2011-03-11 2012-09-19 富士电机株式会社 制造半导体装置的设备和方法

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000254857A (ja) * 1999-01-06 2000-09-19 Tokyo Seimitsu Co Ltd 平面加工装置及び平面加工方法
JP2001024051A (ja) 1999-07-09 2001-01-26 Tokyo Seimitsu Co Ltd ウェーハ吸着パッド
WO2002035593A1 (fr) * 2000-10-26 2002-05-02 Shin-Etsu Handotai Co.,Ltd. Procede de production de plaquettes, appareil de polissage et plaquette
JP3433930B2 (ja) 2001-02-16 2003-08-04 株式会社東京精密 ウェーハの平面加工装置及びその平面加工方法
JP2002343756A (ja) * 2001-05-21 2002-11-29 Tokyo Seimitsu Co Ltd ウェーハ平面加工装置
JP4201564B2 (ja) * 2001-12-03 2008-12-24 日東電工株式会社 半導体ウエハ搬送方法およびこれを用いた半導体ウエハ搬送装置
KR100472959B1 (ko) * 2002-07-16 2005-03-10 삼성전자주식회사 언로딩구조가 개선된 반도체 웨이퍼의 표면평탄화설비
KR100753302B1 (ko) * 2004-03-25 2007-08-29 이비덴 가부시키가이샤 진공 척, 흡착판, 연마 장치 및 반도체 웨이퍼의 제조 방법
KR100670762B1 (ko) * 2005-10-27 2007-01-17 삼성전자주식회사 웨이퍼 후면 연마 및 테이프 부착 장치 및 방법
SG174093A1 (en) * 2006-08-22 2011-09-29 Nordson Corp Apparatus and methods for handling workpieces in a processing system
JP2009004406A (ja) * 2007-06-19 2009-01-08 Disco Abrasive Syst Ltd 基板の加工方法
JP2009021462A (ja) 2007-07-13 2009-01-29 Disco Abrasive Syst Ltd ウェーハの加工方法
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
CN201257599Y (zh) * 2008-09-05 2009-06-17 申科滑动轴承股份有限公司 一种真空吸盘
US8647171B2 (en) * 2010-03-12 2014-02-11 Wayne O. Duescher Fixed-spindle floating-platen workpiece loader apparatus
US9082802B2 (en) 2011-11-28 2015-07-14 Macronix International Co., Ltd. Wafer centering hardware design and process
JP2014072510A (ja) * 2012-10-02 2014-04-21 Disco Abrasive Syst Ltd チャックテーブル
JP6113624B2 (ja) * 2013-10-11 2017-04-12 株式会社荏原製作所 基板処理装置および基板処理方法

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60157231A (ja) * 1984-01-26 1985-08-17 Toshiba Corp ウエ−ハロ−ダ
JP2003128279A (ja) * 2001-10-30 2003-05-08 Sharp Corp 板状部材取り出しハンドおよび板状部材の取り出し方法
CN1867424A (zh) * 2003-10-17 2006-11-22 株式会社荏原制作所 抛光装置
JP2006019566A (ja) * 2004-07-02 2006-01-19 Toshiba Corp 半導体基板吸着ハンド及びその操作方法
JP2009107097A (ja) * 2007-10-31 2009-05-21 Disco Abrasive Syst Ltd 加工装置
JP2012099755A (ja) * 2010-11-05 2012-05-24 Disco Abrasive Syst Ltd 搬送装置
CN102683256A (zh) * 2011-03-11 2012-09-19 富士电机株式会社 制造半导体装置的设备和方法

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
邱言龙等: "《磨工技师手册》", 31 May 2002 *

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110346609A (zh) * 2018-04-03 2019-10-18 爱思开海力士有限公司 晶片卡紧装置和包括其的晶片测试设备
CN111584416A (zh) * 2020-04-30 2020-08-25 南通通富微电子有限公司 一种晶圆搬运装置及晶圆减薄设备
CN112466798A (zh) * 2020-11-30 2021-03-09 长江存储科技有限责任公司 一种半导体机台
CN112466798B (zh) * 2020-11-30 2022-05-27 长江存储科技有限责任公司 一种半导体机台

Also Published As

Publication number Publication date
CN110216578B (zh) 2021-08-24
US20150155196A1 (en) 2015-06-04
TW201522186A (zh) 2015-06-16
TWI567014B (zh) 2017-01-21
US9355882B2 (en) 2016-05-31
CN110216578A (zh) 2019-09-10

Similar Documents

Publication Publication Date Title
CN104690641A (zh) 用于弯曲晶圆的传送模块
KR102071727B1 (ko) 연마 세정 기구, 기판 처리 장치 및 기판 처리 방법
KR102498116B1 (ko) 진공 흡착 패드 및 기판 보유 지지 장치
KR102225474B1 (ko) 박리 장치, 박리 시스템, 박리 방법 및 컴퓨터 기억 매체
KR20010108426A (ko) 퍼리미터 웨이퍼 승강 장치 및 방법
CN104275701A (zh) 吸附结构、机器人手及机器人
US9076701B2 (en) Wafer supporting structure, intermediate structure of a semiconductor package including the wafer supporting structure
KR102372646B1 (ko) 기판 처리 장치
TWI587427B (zh) 晶圓整平裝置
JP2004088109A (ja) ウェーハテーブル、およびこれを用いた半導体パッケージ製造装置
KR101013019B1 (ko) 웨이퍼 이송 시스템 및 이송 방법
US9607869B2 (en) Bonding system
US20230238268A1 (en) Simultaneous bonding approach for high quality wafer stacking applications
US11735456B2 (en) Alignment mechanism and alignment method of bonding machine
KR102556329B1 (ko) 반도체 기판을 지지하는 진공척
KR102245805B1 (ko) 다이 이젝터 및 이를 포함하는 다이 픽업 장치
JP2007090469A (ja) 部品搬送装置および部品搬送方法
KR20070097820A (ko) 반도체 웨이퍼 이송용 로봇
KR102536175B1 (ko) 다이 본딩 장치
KR20160052195A (ko) 반도체 패키지 및 반도체 스트립 이송 장치
JP5563530B2 (ja) 剥離装置、剥離システム、剥離方法、プログラム及びコンピュータ記憶媒体
KR102225634B1 (ko) 본딩 스테이지 및 이를 포함하는 다이 본딩 장치
US9113585B2 (en) Method of forming external terminals of a package and apparatus for performing the same
KR20130103962A (ko) 반도체 소자들을 픽업하기 위한 장치
KR102220346B1 (ko) 다이 픽업 모듈 및 이를 포함하는 다이 본딩 장치

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
RJ01 Rejection of invention patent application after publication
RJ01 Rejection of invention patent application after publication

Application publication date: 20150610