WO2002015650A2 - Externally excited torroidal plasma source - Google Patents

Externally excited torroidal plasma source Download PDF

Info

Publication number
WO2002015650A2
WO2002015650A2 PCT/US2001/025505 US0125505W WO0215650A2 WO 2002015650 A2 WO2002015650 A2 WO 2002015650A2 US 0125505 W US0125505 W US 0125505W WO 0215650 A2 WO0215650 A2 WO 0215650A2
Authority
WO
WIPO (PCT)
Prior art keywords
plasma
conduit
chamber
wafer
plasma chamber
Prior art date
Application number
PCT/US2001/025505
Other languages
French (fr)
Other versions
WO2002015650A3 (en
Inventor
Hiroji Hanawa
Yan Ye
Kenneth S. Collins
Kartik Ramaswamy
Andrew Nguyen
Tsutomu Tanaka
Original Assignee
Applied Materials, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US09/638,075 external-priority patent/US7094316B1/en
Priority claimed from US09/637,174 external-priority patent/US6551446B1/en
Priority claimed from US09/636,435 external-priority patent/US6494986B1/en
Priority claimed from US09/636,699 external-priority patent/US6348126B1/en
Priority claimed from US09/636,700 external-priority patent/US6453842B1/en
Priority claimed from US09/636,436 external-priority patent/US6410449B1/en
Priority claimed from US09/636,434 external-priority patent/US6468388B1/en
Priority to JP2002519385A priority Critical patent/JP5204941B2/en
Priority to KR1020037002020A priority patent/KR100809889B1/en
Priority to EP01964028A priority patent/EP1307896A2/en
Application filed by Applied Materials, Inc. filed Critical Applied Materials, Inc.
Publication of WO2002015650A2 publication Critical patent/WO2002015650A2/en
Publication of WO2002015650A3 publication Critical patent/WO2002015650A3/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means

Definitions

  • the invention concerns plasma reactors used in processing workpieces in the manufacturing of items such as microelectronic circuits, flat panel displays and the like, and in particular plasma sources therefor.
  • the high density plasma is an inductively coupled plasma.
  • the process precursor gases tend to dissociate more rapidly in such a high density plasma, creating a higher plasma content of free fluorine, a species which reduces the etch selectivity to photoresist.
  • fluoro-carbon process gases such as CF 2 are employed which dissociate in a plasma into fluorine-containing etchant species and one or more polymer species which tend to accumulate on non-oxide containing surfaces such as photoresist. This tends to increase etch selectivity.
  • the oxygen in the oxygen-containing dielectric material promotes the pyrolization of the polymer over the dielectric, so that the polymer is removed, allowing the dielectric material to be etched while the non-oxygen containing material (e.g., the photoresist) continues to be covered by the polymer and therefore protected from the etchant .
  • the problem is that the increase in contact opening depth and decrease in photoresist thickness to accommodate more advanced device designs has rendered the high density plasma process more likely to harm the photoresist layer during dielectric etching.
  • a more polymer-rich plasma must be used to protect the non-oxygen containing material such as photoresist, so that the rate of polymer removal from the oxygen-containing dielectric surfaces slows appreciably, particularly in small confined regions such as the bottom of a narrow contact opening.
  • the result is that, while the photoresist may be adequately protected, the possibility is increased for the etch process to be blocked by polymer accumulation once a contact opening reaches a certain depth.
  • the etch stop depth is less than the required depth of the contact opening so that the device fails.
  • the contact opening may provide connection between an upper polysilicon conductor layer and a lower polysilicon conductor layer through an intermediate insulating silicon dioxide layer.
  • etch stop depth is less than the distance between the upper and lower polysilicon layers.
  • the ceiling is a semiconductive window for the RF field of an inductively coupled reactor, or a conductive electrode of a capacitively coupled reactor, then one benefit of a small wafer-to-ceiling gap is an enhanced electric potential or ground reference that the ceiling could provide across the plane of the wafer at a relatively small gap distance (e.g., on the order of 1 or 2 inches) .
  • a reactor not only having the selectivity of a capacitively coupled reactor with the ion density and etch rate of an inductively coupled reactor, but further having none of the conventional limitations on the wafer-to-ceiling gap length other than a fundamental limit, such as the plasma sheath thickness, for example. It would further be desireable to have a reactor having the selectivity of a capacitively coupled reactor and the etch rate of an inductively coupled reactor in which the ion density and etch rate can be enhanced without necessarily increasing the applied RF plasma source power.
  • a plasma reactor for processing a workpiece includes an enclosure, a workpiece support within the enclosure facing an overlying portion of the enclosure, the workpiece support and the overlying portion of said enclosure defining a .process region therebetween extending generally across the diameter of said wafer support .
  • the enclosure has at least first and second openings therethrough near generally opposite sides of said workpiece support.
  • At least one hollow conduit outside of said process region is connected to the first and second openings, providing a first torroidal path extending through the conduit and across the process region.
  • a first coil antenna adapted to accept RF power is inductively coupled to the interior of the hollow conduit and is capable of maintaining a plasma in the torroidal path.
  • FIG. 2 is a side view of an embodiment corresponding to the embodiment of FIG. 1.
  • FIG. 3 is a graph illustrating the behavior of free fluorine concentration in the plasma with variations in wafer-to-ceiling gap distance.
  • FIG. 4 is a graph illustrating the behavior of free fluorine concentration in the plasma with variations in RF bias power applied to the workpiece.
  • FIG. 5 is a graph illustrating the behavior of free fluorine concentration in the plasma with variations in RF source power applied to the coil antenna.
  • FIG. 6 is a graph illustrating the behavior of free fluorine concentration in the plasma with variations in reactor chamber pressure.
  • FIG. 7 is a graph illustrating the behavior of free fluorine concentration in the plasma with variations in partial pressure of an inert diluent gas such as Argon.
  • FIG. 8 is a graph illustrating the degree of dissociation of process gas as a function of source power for an inductively coupled reactor and for a reactor of the present invention.
  • FIG. 9 illustrates a variation of the embodiment of FIG. 1.
  • FIGS. 10 and 11 illustrate a variation of the embodiment of FIG. 1 in which a closed magnetic core is employed.
  • FIG. 12 illustrates another embodiment of the invention in which a torroidal plasma current path passes beneath the reactor chamber.
  • FIG. 13 illustrates a variation of the embodiment of FIG. 10 in which plasma source power is applied to a coil wound around a distal portion the closed magnetic core.
  • FIG. 14 illustrates an embodiment that establishes two parallel torroidal plasma currents.
  • FIG. 15 illustrates an embodiment that establishes a plurality of individually controlled parallel torroidal plasma currents.
  • FIG. 16 illustrates a variation of the embodiment of FIG. 15 in which the parallel torroidal plasma currents enter and exit the plasma chamber through the vertical side wall rather than the ceiling.
  • FIG. 17A illustrates an embodiment that maintains a pair of mutually orthogonal torroidal plasma currents across the surface of the workpiece .
  • FIG. 17B illustrates the use of plural radial vanes in the embodiment of FIG. 17A.
  • FIGS. 18 and 19 illustrate an embodiment of the invention in which the torroidal plasma current is a broad belt that extends across a wide path suitable for processing large wafers .
  • FIG. 20 illustrates a variation of the embodiment of FIG. 18 in which an external section of the torroidal plasma current path is constricted.
  • FIG. 21 illustrates a variation of the embodiment of FIG. 18 employing cylindrical magnetic cores whose axial positions may be adjusted to adjust ion density distribution across the wafer surface.
  • FIG. 22 illustrates a variation of FIG. 21 in which a pair of windings are wound around a pair of groups of cylindrical magnetic cores.
  • FIG. 23 illustrates a variation of FIG. 22 in which a single common winding is wound around both groups of cores .
  • FIG. 24 and 25 illustrate an embodiment that maintains a pair of mutually orthogonal torroidal plasma currents which are wide belts suitable for processing large wafers .
  • FIG. 26 illustrates a variation of the embodiment of FIG. 25 in which magnetic cores are employed to enhance inductive coupling.
  • FIG. 27 illustrates a modification of the embodiment of FIG. 24 in which the orthogonal plasma belts enter and exit the reactor chamber through the vertical side wall rather than through the horizontal ceiling.
  • FIG. 28A illustrates an implementation of the embodiment of FIG. 24 which produces a rotating torroidal plasma current .
  • FIG. 28B illustrates a version of the embodiment of FIG. 28A that includes magnetic cores.
  • FIG. 29 illustrates a preferred embodiment of the invention in which a continuous circular plenum is provided to enclose the torroidal plasma current .
  • FIG. 30 is a top sectional view corresponding to FIG. 29.
  • FIGS. 31A and 31B are front and side sectional views corresponding to FIG. 30.
  • FIG. 32 illustrates a variation of the embodiment 29 employing three independently driven RF coils underneath the continuous plenum facing at 120 degree intervals.
  • FIG. 33 illustrates a variation of the embodiment of FIG. 32 in which the three RF coils are driven at 120 degree phase to provide an azimuthally rotating plasma.
  • FIG. 34 illustrates a variation of the embodiment of FIG. 33 in which RF drive coils are wound around vertical external ends of respective magnetic cores whose opposite ends extend horizontally under the plenum at symmetrically distributed angles.
  • FIG. 35 is an version of the embodiment of FIG. 17 in which the mutually transverse hollow conduits are narrowed as in the embodiment of FIG. 20.
  • FIG. 36 is a version of the embodiment of FIG. 24 but employing a pair of magnetic cores 3610, 3620 with respective windings 3630, 3640 therearound for connection to respective RF power sources.
  • FIG. 37 is an embodiment corresponding to that of FIG. 35 but having three instead of two re-entrant conduits with a total of six re-entrant ports to the chamber.
  • FIG. 38 is an embodiment corresponding to that of FIG. 38 but having three instead of two re-entrant conduits with a total of six re-entrant ports to the chamber.
  • FIG. 39 is an embodiment corresponding to that of FIG. 35 in which the external conduits join together in a common plenum 3910.
  • FIG. 40 is an embodiment corresponding to that of FIG. 36 in which the external conduits join together in a common plenum 4010.
  • FIG. 41 is an embodiment corresponding to that of FIG. 37 in which the external conduits join together in a common plenum 4110.
  • FIG. 42 is an embodiment corresponding to that of FIG. 38 in which the external conduits join together in a common plenum 4210.
  • FIG. 43 is an embodiment corresponding to that of FIG. 17 in which the external conduits join together in a common plenum 4310.
  • a plasma reactor chamber 100 enclosed by a cylindrical side wall 105 and a ceiling 110 houses a. wafer pedestal 115 for supporting a semiconductor wafer or workpiece 120.
  • a process gas supply 125 furnishes process gas into the chamber 100 through gas inlet nozzles 130a-130d extending through the side wall 105.
  • a vacuum pump 135 controls the pressure within the chamber 100, typically holding the pressure below 0.5 milliTorr (mT) .
  • a half-torroidal hollow tube enclosure or conduit 150 extends above the ceiling 110 in a half circle. The conduit 150, although extending externally outwardly from ceiling 110, is nevertheless part of the reactor and forms a wall of the chamber. Internally it shares the same evacuated atmosphere as exists elsewhere in the reactor.
  • the vacuum pump 13 instead of being coupled to the bottom of the main part of the chamber as illustrated in FIG. 1, may instead be coupled to the conduit 150, although this is not presently preferred.
  • the conduit 150 has one open end 150a sealed around a first opening 155 in the reactor ceiling 110 and its other end 150b sealed around a second opening 160 in the reactor ceiling 110.
  • the two openings or ports 150, 160 are located on generally opposite sides of the wafer support pedestal 115.
  • the hollow conduit 150 is re-entrant in that it provides a flow path which exits the main portion of the chamber at one opening and re-enters at the other opening.
  • the conduit 150 may be described as being half-torroidal, in that the conduit is hollow and provides a portion of a closed path in which plasma may flow, the entire path being completed by flowing across the entire process region overlying the wafer support pedestal 115.
  • the trajectory of the path as well as the cross-sectional shape of the path or conduit 150 may be circular or non-circular, and may be square, rectangular or any other shape either a regular shape or irregular.
  • the external conduit 150 may be formed of a relatively thin conductor such as sheet metal, but sufficiently strong to withstand the vacuum within the chamber.
  • an insulating gap 152 extends across and through the hollow conduit.150 so as to separate it into two tubular sections.
  • the gap 152 is filled by a ring 154 of insulating material such as a ceramic in lieu of the sheet metal skin, so that the gap is vacuum tight.
  • a second insulating gap 153 may be provided, so that one section of the conduit 150 is electrically floating.
  • a bias RF generator 162 applies RF bias power to the wafer pedestal 115 and wafer 120 through an impedance match element 164.
  • the hollow conduit 150 may be formed of a non-conductive material instead of the conductive sheet metal.
  • the non-conductive material may be a ceramic, for example. In such an alternative embodiment, neither gap 152 or 153 is required.
  • An antenna 170 such as a winding or coil 165 disposed on one side of the hollow conduit 150 and wound around an axis parallel to the axis of symmetry of the half-torroidal tube is connected through an impedance match element 175 to an RF power source 180.
  • the antenna 170 may further include a second winding 185 disposed on the opposite side of the hollow conduit 150 and wound in the same direction as the first winding 165 so that the magnetic fields from both windings add constructively.
  • Process gases from the chamber 100 fill the hollow conduit 150.
  • a ' separate process gas supply 190 may supply process gases directly in to the hollow conduit 150 through a gas inlet 195.
  • the RF field in the external hollow conduit 150 ionizes the gases in the tube to produce a plasma.
  • the RF field induced by the circular coil antenna 170 is such that the plasma formed in the tube 150 reaches through the region between the wafer 120 and the ceiling 110 to complete a torroidal path that includes the half- torroidal hollow conduit 150.
  • Atorroidal® refers to the closed and solid nature of the path, but does not refer or limit its cross-sectional shape or trajectory, either of which may be circular or non- circular or square or otherwise.
  • Plasma circulates through the complete torroidal path or region which may be thought of as a closed plasma circuit.
  • the torroidal region extends across the diameter of the wafer 120 and, in certain embodiments, has a sufficient width in the plane of the wafer so that it overlies the entire wafer surface.
  • the RF inductive field from the coil antenna 170 includes a magnetic field which itself is closed (as are all OJ ⁇ o t
  • CD rr pi Hi > P ⁇ 03 03 03 SU O rt ⁇ - ⁇ P 0 ⁇ CQ tr CQ rt 03 * ⁇ O Hi (- ⁇ 03 tr ⁇ ⁇ -
  • the electric fields of the wafer and ceiling/gas distribution plate sheaths are generally parallel to each other and perpendicular to the direction of the RF plasma current flow in the process region.
  • a discharge occurs across the gap 152 to ignite a capacitively coupled plasma from gases within the hollow conduit 150. Thereafter, as the plasma current through the hollow conduit 150 increases, the inductive coupling of the RF field becomes more dominant so that the plasma becomes an inductively coupled plasma.
  • plasma may be initiated by other means, such as by RF bias applied to the workpiece support or other electrode .
  • the ports 150, 160 are separated by a distance that exceeds the diameter of the wafer. For example, for a 12 inch diameter wafer, the ports 150, 160 are about 16 to 22 inches apart. For an 8 inch diameter wafer, the ports 150, 160 are about 10 to 16 inches apart.
  • a significant advantage is that power from the RF inductive field is absorbed throughout the relatively long closed torroidal path (i.e., long relative to the gap length between the wafer and the reactor ceiling) , so that RF power absorption is distributed over a large area.
  • the RF power in the vicinity of the wafer-to- ⁇ eiling gap i.e., the process region 121 best shown in FIG. 2, not to be confused with the insulating gap 152
  • the RF power in the vicinity of the wafer-to- ⁇ eiling gap i.e., the process region 121 best shown in FIG. 2, not to be confused with the insulating gap 152
  • the RF power in the vicinity of the wafer-to- ⁇ eiling gap i.e., the process region 121 best shown in FIG. 2, not to be confused with the insulating gap 152
  • the RF power in the vicinity of the wafer-to- ⁇ eiling gap is relatively low, thus reducing the liklihood of device damage from RF
  • the invention overcomes a limitation of long standing in the art. This aspect enhances process performance by reducing residency time of the reactive gases through a dramatic reduction in volume of the process region overlying the wafer, as discussed previously herein.
  • a related and even more important advantage is that the plasma density at the wafer surface can be dramatically increased without increasing the RF power applied to the coil antenna 170 (leading to greater efficiency) .
  • This is accomplished by reducing the cross-sectional area of the torroidal path in the vicinity of the pedestal surface and wafer 120 relative to the remainder of the torroidal path.
  • the density of the plasma near the wafer surface is increased proportionately. This is because the torroidal path plasma current through the hollow conduit 150 must be at least nearly the same as the plasma current through the pedestal-to-ceiling (wafer-to-ceiling) gap.
  • a significant difference over the prior art is that not only is the RF field remote from the workpiece, and not only can ion density be increased at the wafer surface without increasing the applied RF field, but the plasma ion density and/or the applied RF field may be increased without increasing the minimum wafer-to-ceiling gap length.
  • the enhanced plasma density is realized without requiring any increase in the wafer-to-ceiling gap to avoid a concomitant increase in RF magnetic fields at the wafer surface. This is because the RF field is applied remotely from the wafer and moreover need not be increased to realize an increase in plasma density at the wafer surface. As a result, the wafer-to-ceiling gap can be reduced down to a fundamental limit to achieve numerous advantages.
  • a fundamental limit on the minimum wafer-to-ceiling gap length is the sum of the thicknesses of the plasma sheaths on the wafer surface and on the ceiling surface.
  • a further advantage of the invention is that because the RF inductive field is applied along the entire torroidal path of the RF plasma current (so that its absorption is distributed as discussed above), the chamber ceiling 110, unlike with most other inductively powered reactors, need not function as a window to an inductive field and therefore may be formed of any desired material, such as a highly conductive and thick metal, and therefore may comprise a conductive gas distribution plate as will be described below, for example. As a result, the ceiling 110 readily provides a reliable electric potential or ground reference across the entire plane of the pedestal or wafer 120.
  • One way of realizing higher plasma density near the wafer surface by reducing plasma path cross-sectional area over the wafer is to reduce the wafer-to-ceiling gap length. This may be accomplished by simply reducing the ceiling height or by introducing a conductive gas distribution plate or showerhead over the wafer, as illustrated in FIG. 2.
  • the gas distribution showerhead 210 of FIG. 2 consists of a gas distribution plenum 220 connected to the gas supply 125 and communicating with the process region over the wafer 120 through plural gas nozzle openings 230.
  • the advantage of the conductive showerhead 210 is two-fold: First, by virtue of its close location to the wafer, it constricts the plasma path over the wafer surface and thereby increases the density of the plasma current in that vicinity. Second, it provides a uniform electrical potential reference or ground plane close to and across the entire wafer surface.
  • each opening 230 is relatively small, on the order of a millimeter (preferred hole diameter is approximately 0.5 mm) .
  • the spacing between adjacent openings may be on the order of a several millimeters.
  • the conductive showerhead 210 constricts the plasma current path rather than providing a short circuit through itself because a plasma sheath is formed around the portion of the showerhead surface immersed in the plasma.
  • the sheath has a greater impedance to the plasma current than the space between the wafer 120 and the showerhead 210, and therefore all the plasma current goes around the conductive showerhead 210.
  • showerhead e.g., the showerhead 210
  • the path constriction and consequent increase in plasma ion density in the process region may be achieved without the showerhead 210 by similarly reducing the wafer-to-ceiling height. If the showerhead 210 is eliminated in this manner, then the process gases may be supplied into the chamber interior by means of conventional gas inlet nozzles (not shown) .
  • showerhead 210 One advantage of the showerhead 210 is that different mixtures of reactive and inert process gas ratios may be introduced through different orifices 230 at different radii, in order to finely adjust the uniformity of plasma effects on photoresist, for example.
  • a greater proportion of inert gas to reactive gas may be supplied to the orifices 230 lying outside a median radius while a greater proportion of reactive gas to inert gas may be supplied to the orifices 230 within that median radius.
  • the invention solves the problem of poor etch selectivity which sometimes occurs with a high density plasma.
  • the reactor of FIGS. 1 and 2 has a silicon dioxide- to-photoresist etch selectivity as high as that of a capacitively coupled plasma reactor (about 7:1) while providing high etch rates approaching that of a high density inductively coupled plasma reactor. It is believed that the reason for this success is that the reactor structure of FIGS. 1 and 2 reduces the degree of dissociation of the reactive process gas, typically a fluorocarbon gas, so as to reduce the incidence of free fluorine in the plasma region over the wafer 120. Thus, the proportion of free fluorine in the plasma relative to other species dissociated from the fluorocarbon gas is desireably reduced.
  • Such other species include the protective carbon-rich polymer precursor species formed in the plasma from the fluorocarbon process gas and deposited on the photoresist as a protective polymer
  • Another method of reducing plasma free fluorine content is to reduce the chamber pressure.
  • a further method is to reduce the RF source power applied to the coil antenna 170.
  • FIG. 3 is a graph illustrating a trend observed in the invention in which the free fluorine content of the plasma decreases as the wafer-to-showerhead gap distance is decreased.
  • FIG. 4 is a graph illustrating that the free fluorine content of the plasma is decreased by decreasing the plasma bias power applied to the wafer pedestal 115.
  • FIG. 5 is a graph illustrating that plasma free fluorine content is reduced by reducing the RF source power applied to the coil antenna 170.
  • FIG. 6 is a graph illustrating that the free fluorine content is reduced by reducing chamber pressure.
  • FIG. 7 is a graph illustrating that plasma free fluorine content is reduced by increasing the diluent (Argon gas) flow rate into the tubular enclosure 150.
  • the graphs of FIGS. 3-7 are merely illustrative of plasma behavioral trends inferred from numerous OES observations and do not depict actual data.
  • the chamber pressure is less than 0.5 T and can be as low as 1 mT.
  • the process gas may be C 4 F 8 injected into the chamber 100 through the gas distribution showerhead at a flow rate of about 15 cc/m with 150 cc/m of Argon, with the chamber pressure being maintained at about 20 mT.
  • the Argon gas flow rate may be increased to 650 cc/m and the chamber pressure to 60 mT.
  • the antenna 170 may be excited with about 500 Watts of RF power at 13 MHz.
  • the wafer-to-showerhead gap may be about 0.3 inches to 2 inches.
  • the bias RF power applied to the wafer pedestal may be 13 MHz at 2000 Watts. Other selections of frequency may be made.
  • the source power applied to the coil antenna 170 may be as low as 50 KHz or as high as several times 13 MHz or higher. The same is true of the bias power applied to the wafer pedestal .
  • the process window for the reactor of FIGS. 1 and 2 is far wider than the process window for a conventional inductively coupled reactor. This is illustrated in the graph of FIG. 8, showing the specific neutral flux of free fluorine as a function of RF source power for a conventional inductive reactor and for the reactor of FIGS. 1 and 2.
  • FIG. 8 shows that the free fluorine specific flux begins to rapidly increase as the source power exceeds between 50 and 100 Watts.
  • the reactor of FIGS. 1 and 2 can accept source power levels approaching 1000 Watts before the free fluorine specific flux begins to increase rapidly. Therefore, the source power process window in the invention is nearly an order of magnitude wider than that of a conventional inductively coupled reactor, a significant advantage .
  • the constriction of the torroidal plasma current path in the vicinity of the wafer or workpiece produces two independent advantages without any significant tradeoffs of other performance criteria: (1) the plasma density over the wafer is increased without requiring any increase in plasma source power, and (2) the etch selectivity to photoresist or other materials is increased, as explained above. It is believed that in prior plasma reactors it has been impractical if not impossible to increase the plasma ion density by the same step that increases etch selectivity. Thus, the dual advantages realized with the torroidal plasma source of the present invention appear to be a revolutionary departure from the prior art .
  • FIG. 9 illustrates a modification of the embodiment of FIG. 1 in which the side antenna 170 is replaced by a smaller antenna 910 that fits inside the empty space between the ceiling 110 and the hollow conduit 150.
  • the antenna 910 is a single coil winding centered with respect to the hollow conduit 150.
  • FIGS. 10 and 11 illustrate how the embodiment of FIG. 1 may be enhanced by the addition of a closed magnetically permeable core 1015 that extends through the space between the ceiling 110 and the hollow conduit 150.
  • the core 1015 improves the inductive coupling from the antenna 170 to the plasma inside the hollow conduit 150.
  • Impedance match may be achieved without the impedance match circuit 175 by using, instead, a secondary winding
  • the secondary winding 1120 is selected to resonate the secondary winding 1120 at the frequency of the RF power source 180.
  • dynamic impedance matching may be provided by frequency tuning and/or by forward power servoing.
  • FIG. 12 illustrates an embodiment of the invention in which a hollow tube enclosure 1250 extends around the bottom of the reactor and communicates with the interior of the chamber through a pair of openings 1260, 1265 in the bottom floor of the chamber.
  • a coil antenna 1270 follows along side the torroidal path provided by the hollow tube enclosure 1250 in the manner of the embodiment of FIG. 1. While FIG. 12 shows the vacuum pump 135 coupled to the bottom of the main chamber, it may just as well be coupled instead to the underlying conduit 1250.
  • FIG. 13 illustrates a variation of the embodiment of
  • FIGS. 10 and 11 in which the antenna 170 is replaced by an inductive winding 1320 surrounding an upper section of the core 1015.
  • the winding 1320 surrounds a section of the core 1015 that is above the conduit 150 (rather than below it) .
  • the winding 1320 can surround any section of the core 1015.
  • FIG. 14 illustrates an extension of the concept of FIG.
  • a second hollow tube enclosure 1450 runs parallel to the first hollow conduit 150 and provides a parallel torroidal path for a second torroidal plasma current.
  • the tube enclosure 1450 communicates with the chamber interior at each of its ends through respective openings in the ceiling 110.
  • a magnetic core 1470 extends under both tube enclosures 150, 1450 and through the coil antenna 170.
  • FIG. 15 illustrates an extension of the concept of FIG.
  • an array of parallel hollow tube enclosures 150a, 150b, 150c, 150d provide plural torroidal plasma current paths through the reactor chamber.
  • the plasma ion density is controlled independently in each individual hollow conduit 150a-d by an individual coil antenna 170a-d, respectively, driven by an independent RF power source 180a-d, respectively.
  • Individual cylindrical open cores 1520a-1520d may be separately inserted within the respective coil antennas 170a-d.
  • the relative center-to-edge ion density distribution may be adjusted by separately adjusting the power levels of the individual RF power sources 180a-d.
  • FIG. 16 illustrates a modification of the embodiment of FIG. 15 in which the array of tube enclosures 150a-d extend through the side wall of the reactor rather than through the ceiling 110.
  • Another modification illustrated in FIG. 16 is the use of a single common magnetic core 1470 adjacent all of the tube enclosures 150a-d and having the antenna 170 wrapped around it so that a single RF source excites the plasma in all of the tube enclosures 150a-d.
  • FIG. 17A illustrates a pair of orthogonal tube enclosures 150-1 and 150-2 extending through respective ports in the ceiling 110 and excited by respective coil antennas 170-1 and 170-2. Individual cores 1015-1 and 1015- 2 are within the respective coil antennas 170-1 and 170-2.
  • This embodiment creates two mutually orthogonal torroidal plasma current paths over the wafer 120 for enhanced uniformity.
  • the two orthogonal torroidal or closed paths are separate and independently powered as illustrated, but intersect in the process region overlying the wafer, and otherwise do not interact .
  • the frequency of the respective RF generators 180a, 180b of FIG. 17 are different, so that the operation of the impedance match circuits 175a, 175b is decoupled.
  • the RF generator 180a may produce an RF signal at 11 MHz while the RF generator 180b may produce an RF signal at 12 MHz.
  • independent operation may be achieved by offsetting the phases of the two RF generators 180a, 180b.
  • FIG. 17B illustrates how radial vanes 181 may be employed to guide the torroidal plasma currents of each of the two conduits 150-1, 150-2 through the processing region overlying the wafer support.
  • the radial vanes 181 extend between the openings of each conduit near the sides of the chamber up to the edge of the wafer support .
  • the radial vanes 181 prevent diversion of plasma from one torroidal path to the other torroidal path, so that the two plasma currents only intersect within the processing region overlying the wafer support .
  • FIGS. 18 and 19 illustrate a hollow tube enclosure 1810 which is a wide flattened rectangular version 1850 of the hollow conduit 150 of FIG. 1 that includes an insulating gap 1852.
  • This version produces a wide "belt" of plasma that is better suited for uniformly covering a large diameter wafer such as a 12 -inch diameter wafer or workpiece.
  • the width W of the tube enclosure and of the pair of openings 1860, 1862 in the ceiling 110 preferably exceeds the wafer by about 5% or more. For example, if the wafer diameter is 10 inches, then the width W of the rectangular tube enclosure 1850 and of the openings 1860, 1862 is about 11 inches.
  • FIG. 20 illustrates a modified version 1850 ' of the rectangular tube enclosure 1850 of FIGS. 18 and 19 in which a portion 1864 of the exterior tube enclosure 1850 is constricted. However, the unconstricted version of FIGS. 18 and 19 is preferred.
  • FIG. 20 further illustrates the optional use of focusing magnets 1870 at the transitions between the constricted and unconstricted portions of the enclosure 1850.
  • the focusing magnets 1870 promote a better movement of the plasma between the constricted and unconstricted portions of the enclosure 1850, and specifically promote a more uniform spreading out of the plasma as it moves across the transition between the constricted portion 1864 and the unconstricted portion of the tube enclosure 1850.
  • FIG. 21 illustrates how plural cylindrical magnetic cores 2110 may be inserted through the exterior region 2120 circumscribed by the tube enclosure 1850.
  • the cylindrical cores 2110 are generally parallel to the axis of symmetry of the tube enclosure 1850.
  • FIG. 22 illustrates a modification of the embodiment of FIG. 21 in which the cores 2110 extend completely through the exterior region 2120 surrounded by the tube enclosure 1850 are replaced by pairs of shortened cores 2210, 2220 in respective halves of the exterior region 2120.
  • the side coils 165, 186 are replaced by a pair of coil windings 2230, 2240 surrounding the respective core pairs 2210, 2220.
  • the displacement D between the core pairs 2210, 2220 may be changed to adjust the ion density near the wafer center relative to the ion density at the wafer circumference.
  • a wider displacement D reduces the inductive coupling near the wafer center and therefore reduces the plasma ion density at the wafer center.
  • an additional control element is provided for precisely adjusting ion density spatial distribution across the wafer surface.
  • FIG. 23 illustrates a variation of the embodiment of FIG. 22 in which the separate windings 2230, 2240 are replaced by a single center winding 2310 centered with respect to the core pairs 2210, 2220.
  • FIGS. 24 and 25 illustrate an embodiment providing even greater uniformity of plasma ion density distribution across the wafer surface.
  • two torroidal plasma current paths are established that are transverse to one another and preferably are mutually orthogonal. This is accomplished by providing a second wide rectangular hollow enclosure 2420 extending transversely and preferably orthogonally relative to the first tube enclosure 1850.
  • the second tube enclosure 2420 communicates with the chamber interior through a pair of openings 2430, 2440 through the ceiling 110 and includes an insulating gap 2452.
  • a pair of side coil windings 2450, 2460 along the sides of the second tube enclosure 2420 maintain a plasma therein and are driven by a second RF power supply 2470 through an impedance match circuit 2480. As indicated in FIG. 24, the two orthogonal plasma currents coincide over the wafer
  • the second rectangular tube enclosure communicates with the chamber interior through respective openings through the side wall 105, including the opening 2720.
  • the tube enclosures 2650 and 2710 produce mutually orthogonal torroidal plasma currents that coincide over the wafer surface to provide superior uniformity over a broader wafer diameter.
  • Plasma source power is applied to the interior of the tube enclosures through the respective pairs of side coil windings 165, 185 and 2450, 2460.
  • FIG. 28A illustrates how the side coils 165, 185, 2450, 2460 may be replaced (or supplemented) by a pair of mutually orthogonal interior coils 2820, 2840 lying within the external region 2860 surrounded by the two rectangular tube enclosures 2650, 2710.
  • Each one of the coils 2820, 2840 produces the torroidal plasma current in a corresponding one of the rectangular tube enclosures 2650, 2710.
  • the coils 2820, 2840 may be driven completely independently at different frequencies or at the same frequency with the same or a different phase. Or, they may be driven at the same frequency but with a phase difference (i.e., 90 degrees) that causes the combined torroidal plasma current to rotate at the source power frequency.
  • the coils 2820, 2840 are driven with the sin and cosine components, respectively, of a common signal generator 2880, as indicated in FIG. 28A.
  • the advantage is that the plasma current path rotates azi uthally across the wafer surface at a rotational frequency that exceeds the plasma ion frequency so that non-uniformities are better suppressed than in prior art methods such as MERIE reactors in which the rotation is at a much lower frequency.
  • radial adjustment of plasma ion density may be generally provided by provision of a pair LO L t to
  • FIG. 30 is a top sectional view of the embodiment of FIG. 29.
  • FIGS. 31A and 3IB are front and side sectional views, respectively, corresponding to FIG. 30.
  • the pair of mutually orthogonal coils 2960, 2965 may be replaced by any number n of separately driven coils with their winding axes disposed at 360/n degrees apart.
  • FIG. 32 illustrates the case where the two coils 2960, 2965 are replace by three coils 3210, 3220, 3230 with winding axes placed at 120 degree intervals and driven by three respective RF supplies 3240, 3250, 3260 through respective impedance match circuits 3241, 3251, 3261.
  • the three windings 3210, 3220, 3230 are driven 120 degrees out of phase from a common power source 3310 as illustrated in FIG. 33.
  • the embodiments of FIGS. 32 and 33 are preferred over the embodiment of FIG. 29 having only two coils, since it is felt much of the mutual coupling between coils would be around rather than through the vertical conduit 2980.
  • FIG. 34 illustrates an embodiment in which the three coils are outside of the enclosed region 2950, while their inductances are coupled into the enclosed region 2950 by respective vertical magnetic cores 3410 extending through the conduit 2980.
  • Each core 3410 has one end extending above the conduit 2980 around which a respective one of the coils 3210, 3220, 3230 is wound. The bottom of each core
  • the horizontal legs of the three cores 3410 are oriented at 120 degree intervals to provide inductive coupling to the interior of the plenum 2910 similar to that provided by the three coils inside the enclosed region as in FIG 32.
  • the advantage of the flattened rectangular tube enclosures of the embodiments of FIGS. 18-28 is that the broad width and relatively low height of the tube enclosure forces the torroidal plasma current to be a wide thin belt of plasma that more readily covers the entire surface of a large diameter wafer.
  • the entirety of the tube enclosure need not be of the maximum width. Instead the outer section of the tube enclosure farthest from the chamber interior may be necked down, as discussed above with reference to the embodiment of FIG. 20.
  • the cross- sectional area of the narrow portion 1852 be at least nearly as great as the cross-sectional area of the wide portion 1851.
  • the narrow portion 1852 may be a passageway whose height and width are about the same while the wide portion 1851 may have a height that is less than its width.
  • the various embodiments described herein with air-core coils may instead employ magnetic-cores, which can be the open-magnetic-path type (Arod@ type cores) or the closed-magnetic-core type illustrated in the accompanying drawings.
  • magnetic-cores which can be the open-magnetic-path type (Arod@ type cores) or the closed-magnetic-core type illustrated in the accompanying drawings.
  • the various embodiments described herein having two or more torroidal paths driven with different RF frequencies may instead be driven with same frequenct, and with the same or different phases.
  • FIG. 35 is a version of the embodiment of FIG. 17 in which the mutually transverse hollow conduits are narrowed as in the embodiment of FIG. 20.
  • FIG. 36 is a version of the embodiment of FIG. 24 but employing a pair of magnetic cores 3610, 3620 with respective windings 3630, 3640 therearound for connection to respective RF power sources.
  • FIG. 37 is an embodiment corresponding to that of FIG. 35 but having three instead of two re-entrant conduits with a total of six re-entrant ports to the chamber. Having a number of symmetrically disposed conduits and re-entrant ports greater than two (as in the embodiment of FIG. 37) is believed to be particularly advantageous for processing wafers of diameter of 300 mm and greater.
  • FIG. 38 is an embodiment corresponding to that of FIG. 38 but having three instead of two re-entrant conduits with a total of six re-entrant ports to the chamber.
  • FIG. 39 is an embodiment corresponding to that of FIG. 35 in which the external conduits join together in a common plenum 3910.
  • FIG. 40 is an embodiment corresponding to that of FIG. 36 in which the external conduits join together in a common plenum 4010.
  • FIG. 41 is an embodiment corresponding to that of FIG. 37 in which the external conduits join together in a common plenum 4110.
  • FIG. 42 is an embodiment corresponding to that of FIG. 38 in which the external conduits join together in a common plenum 4210.
  • FIG. 43 is an embodiment corresponding to that of FIG. 17 in which the external conduits join together in a common plenum 4310.
  • the reactor of the invention affords numerous opportunities for increasing etch selectivity without sacrificing other performance features such as etch rate. For example, constricting the torroidal plasma current in the vicinity of the wafer not only improves etch selectivity but at the same time increases the etch rate by increasing the plasma ion density. It is believed no prior reactor has increased etch selectivity by the same mechanism that increases etch rate or plasma ion density over the workpiece .
  • Improving etch selectivity by constricting the torroidal plasma current in the vicinity of the wafer or workpiece can be achieved in the invention in any one of several ways.
  • One way is to reduce the pedestal-to-ceiling or wafer-to-ceiling height.
  • Another is to introduce a gas distribution plate or showerhead over the wafer that constricts the path of the torroidal plasma ion current.
  • Another way is to increase the RF bias power applied to the wafer or workpiece. Any one or any combination of the foregoing ways of improving etch selectivity may be chosen by the skilled worker in carrying out the invention.
  • Etch selectivity may be further improved in the invention by injecting the reactive process gases locally (i.e., near the wafer or workpiece) while injecting an inert diluent gas (e.g., Argon) remotely (i.e., into the conduit or plenum) .
  • an inert diluent gas e.g., Argon
  • This is preferably accomplished by providing a gas distribution plate or showerhead directly over and facing the workpiece support and introducing the reactive process gas exclusively (or at least predominantly) through the showerhead.
  • the diluent gas is injected into the conduit well away from the process region overlying the wafer or workpiece .
  • the torroidal plasma current thus becomes not only a source of plasma ions for reactive ion etching of materials on the wafer but, in addition, becomes an agent for sweeping away the reactive process gas species and their plasma-dissociated progeny before the plasma- induced dissociation process is carried out to the point of creating an undesirable amount of free fluorine.
  • the torroidal plasma currents flow in directions mutually offset from one another by 360/n.
  • Each of the torroidal plasma currents may be shaped as a broad belt of plasma to cover a very large diameter wafer.
  • Each one of the torroidal plasma currents may be powered by a separate coil antenna aligned along the direction of the one torroidal plasma current.
  • uniformity is enhanced by applying RF signals of different phases to the respective coil antennas so as to achieve a rotating torroidal plasma current in the process region overlying the wafer.
  • the optimum structure is one in which the torroidal plasma current flows in a circularly continuous plenum communicating with the main chamber portion through a circularly continuous annular opening in the ceiling or side wall. This latter feature allows the entire torroidal plasma current to rotate azimuthally in a continuous manner.

Abstract

A plasma reactor for processing a workpiece, including an enclosure defining a vacuum chamber, a workpiece support within the enclosure facing an overlying portion of the enclosure, the enclosure having at least first and second openings therethrough near generally opposite sides of the workpiece support. At least one hollow conduit is connected to the first and second openings. A closed torroidal path is provided through the conduit and extending between the first and second openings across the wafer surface. A process gas supply is coupled to the interior of the chamber for supplying process gas to the torroidal path. A coil antenna is coupled to an RF power source and inductively coupled to the interior of the hollow conduit and capable of maintaining a plasma in the torroidal path.

Description

EXTERNALLY EXCITED TORROIDAL PLASMA SOURCE
BACKGROUND OF THE INVENTION Technical Field:
The invention concerns plasma reactors used in processing workpieces in the manufacturing of items such as microelectronic circuits, flat panel displays and the like, and in particular plasma sources therefor.
Background Art : The trend in microelectronic circuits toward ever increasing densities and smaller feature sizes continues to make plasma processing of such devices more difficult . For example, the diameter of contact holes has been reduced while the hole depth has increased. During plasma-enhanced etching of a dielectric film on a silicon wafer, for example, the etch selectivity of the dielectric material (e.g. silicon dioxide) to photoresist must be sufficient to allow the etch process to etch a contact hole whose diameter is ten to fifteen times its depth, without appreciably disturbing the photoresist mask defining the hole. This task is made even more difficult because the recent trend toward shorter wavelength light for finer photolithography requires a thinner photoresist layer, so that the dielectric-to-photoresist etch selectivity must be greater than ever. This requirement is more readily met using processes having relatively low etch rates, such as dielectric etch processes employing a capacitively coupled plasma. The plasma density of a capacitively coupled plasma is relatively less than that of an inductively coupled plasma, and the capacitively coupled plasma etch process exhibits good dielectric-to-photoresist etch selectivity. The problem with the capacitively coupled process is that it is slow and therefore relatively less productive. Another problem that arises in such etch processes is non-uniform plasma distribution.
In order to increase productivity or etch rate, higher density plasmas have been employed. Typically, the high density plasma is an inductively coupled plasma. However, the process precursor gases tend to dissociate more rapidly in such a high density plasma, creating a higher plasma content of free fluorine, a species which reduces the etch selectivity to photoresist. To reduce this tendency, fluoro-carbon process gases such as CF2 are employed which dissociate in a plasma into fluorine-containing etchant species and one or more polymer species which tend to accumulate on non-oxide containing surfaces such as photoresist. This tends to increase etch selectivity. The oxygen in the oxygen-containing dielectric material promotes the pyrolization of the polymer over the dielectric, so that the polymer is removed, allowing the dielectric material to be etched while the non-oxygen containing material (e.g., the photoresist) continues to be covered by the polymer and therefore protected from the etchant . The problem is that the increase in contact opening depth and decrease in photoresist thickness to accommodate more advanced device designs has rendered the high density plasma process more likely to harm the photoresist layer during dielectric etching. As the plasma density is increased to improve etch rate, a more polymer-rich plasma must be used to protect the non-oxygen containing material such as photoresist, so that the rate of polymer removal from the oxygen-containing dielectric surfaces slows appreciably, particularly in small confined regions such as the bottom of a narrow contact opening. The result is that, while the photoresist may be adequately protected, the possibility is increased for the etch process to be blocked by polymer accumulation once a contact opening reaches a certain depth. Typically, the etch stop depth is less than the required depth of the contact opening so that the device fails. The contact opening may provide connection between an upper polysilicon conductor layer and a lower polysilicon conductor layer through an intermediate insulating silicon dioxide layer. Device failure occurs, for example, where the etch stop depth is less than the distance between the upper and lower polysilicon layers. Alternatively, the possibility arises of the process window for achieving a high density plasma without etch stop becoming too narrow for practical or reliable application to the more advanced device designs such as those having contact openings with aspect ratios of 10:1 or 15:1.
What would be desirable at present is a reactor that has the etch rate of an inductively coupled plasma reactor (having a high density plasma) with the selectivity of a capacitively coupled reactor. It has been difficult to realize the advantages of both types of reactors in a single machine led reactor.
One problem with high density inductively coupled plasma reactors, particularly of the type having an overhead coil antenna facing the wafer or workpiece, is that as the power applied to the coil antenna is increased to enhance the etch rate, the wafer-to-ceiling gap must be sufficiently large so that the power is absorbed in the plasma region well above the wafer. This avoids a risk of device damage on the wafer due to strong RF fields. Moreover, for high levels of RF power applied to the overhead coil antenna, the wafer-to-ceiling gap must be relatively large, and therefore the benefits of a small gap cannot be realized.
If the ceiling is a semiconductive window for the RF field of an inductively coupled reactor, or a conductive electrode of a capacitively coupled reactor, then one benefit of a small wafer-to-ceiling gap is an enhanced electric potential or ground reference that the ceiling could provide across the plane of the wafer at a relatively small gap distance (e.g., on the order of 1 or 2 inches) .
Therefore, it would be desireable to have a reactor not only having the selectivity of a capacitively coupled reactor with the ion density and etch rate of an inductively coupled reactor, but further having none of the conventional limitations on the wafer-to-ceiling gap length other than a fundamental limit, such as the plasma sheath thickness, for example. It would further be desireable to have a reactor having the selectivity of a capacitively coupled reactor and the etch rate of an inductively coupled reactor in which the ion density and etch rate can be enhanced without necessarily increasing the applied RF plasma source power.
SUMMARY OF THE DISCLOSURE A plasma reactor for processing a workpiece includes an enclosure, a workpiece support within the enclosure facing an overlying portion of the enclosure, the workpiece support and the overlying portion of said enclosure defining a .process region therebetween extending generally across the diameter of said wafer support . The enclosure has at least first and second openings therethrough near generally opposite sides of said workpiece support. At least one hollow conduit outside of said process region is connected to the first and second openings, providing a first torroidal path extending through the conduit and across the process region. A first coil antenna adapted to accept RF power is inductively coupled to the interior of the hollow conduit and is capable of maintaining a plasma in the torroidal path. BRIEF DESCRIPTION OF THE DRAWINGS FIG. 1 illustrates a first embodiment that maintains an overhead torroidal plasma current path.
FIG. 2 is a side view of an embodiment corresponding to the embodiment of FIG. 1.
FIG. 3 is a graph illustrating the behavior of free fluorine concentration in the plasma with variations in wafer-to-ceiling gap distance.
FIG. 4 is a graph illustrating the behavior of free fluorine concentration in the plasma with variations in RF bias power applied to the workpiece.
FIG. 5 is a graph illustrating the behavior of free fluorine concentration in the plasma with variations in RF source power applied to the coil antenna.
FIG. 6 is a graph illustrating the behavior of free fluorine concentration in the plasma with variations in reactor chamber pressure.
FIG. 7 is a graph illustrating the behavior of free fluorine concentration in the plasma with variations in partial pressure of an inert diluent gas such as Argon.
FIG. 8 is a graph illustrating the degree of dissociation of process gas as a function of source power for an inductively coupled reactor and for a reactor of the present invention.
FIG. 9 illustrates a variation of the embodiment of FIG. 1.
FIGS. 10 and 11 illustrate a variation of the embodiment of FIG. 1 in which a closed magnetic core is employed. FIG. 12 illustrates another embodiment of the invention in which a torroidal plasma current path passes beneath the reactor chamber.
FIG. 13 illustrates a variation of the embodiment of FIG. 10 in which plasma source power is applied to a coil wound around a distal portion the closed magnetic core.
FIG. 14 illustrates an embodiment that establishes two parallel torroidal plasma currents.
FIG. 15 illustrates an embodiment that establishes a plurality of individually controlled parallel torroidal plasma currents.
FIG. 16 illustrates a variation of the embodiment of FIG. 15 in which the parallel torroidal plasma currents enter and exit the plasma chamber through the vertical side wall rather than the ceiling.
FIG. 17A illustrates an embodiment that maintains a pair of mutually orthogonal torroidal plasma currents across the surface of the workpiece .
FIG. 17B illustrates the use of plural radial vanes in the embodiment of FIG. 17A.
FIGS. 18 and 19 illustrate an embodiment of the invention in which the torroidal plasma current is a broad belt that extends across a wide path suitable for processing large wafers .
FIG. 20 illustrates a variation of the embodiment of FIG. 18 in which an external section of the torroidal plasma current path is constricted. FIG. 21 illustrates a variation of the embodiment of FIG. 18 employing cylindrical magnetic cores whose axial positions may be adjusted to adjust ion density distribution across the wafer surface.
FIG. 22 illustrates a variation of FIG. 21 in which a pair of windings are wound around a pair of groups of cylindrical magnetic cores.
FIG. 23 illustrates a variation of FIG. 22 in which a single common winding is wound around both groups of cores .
FIG. 24 and 25 illustrate an embodiment that maintains a pair of mutually orthogonal torroidal plasma currents which are wide belts suitable for processing large wafers .
FIG. 26 illustrates a variation of the embodiment of FIG. 25 in which magnetic cores are employed to enhance inductive coupling.
FIG. 27 illustrates a modification of the embodiment of FIG. 24 in which the orthogonal plasma belts enter and exit the reactor chamber through the vertical side wall rather than through the horizontal ceiling.
FIG. 28A illustrates an implementation of the embodiment of FIG. 24 which produces a rotating torroidal plasma current .
FIG. 28B illustrates a version of the embodiment of FIG. 28A that includes magnetic cores.
FIG. 29 illustrates a preferred embodiment of the invention in which a continuous circular plenum is provided to enclose the torroidal plasma current . FIG. 30 is a top sectional view corresponding to FIG. 29.
FIGS. 31A and 31B are front and side sectional views corresponding to FIG. 30.
FIG. 32 illustrates a variation of the embodiment 29 employing three independently driven RF coils underneath the continuous plenum facing at 120 degree intervals.
FIG. 33 illustrates a variation of the embodiment of FIG. 32 in which the three RF coils are driven at 120 degree phase to provide an azimuthally rotating plasma.
FIG. 34 illustrates a variation of the embodiment of FIG. 33 in which RF drive coils are wound around vertical external ends of respective magnetic cores whose opposite ends extend horizontally under the plenum at symmetrically distributed angles.
FIG. 35 is an version of the embodiment of FIG. 17 in which the mutually transverse hollow conduits are narrowed as in the embodiment of FIG. 20.
FIG. 36 is a version of the embodiment of FIG. 24 but employing a pair of magnetic cores 3610, 3620 with respective windings 3630, 3640 therearound for connection to respective RF power sources.
FIG. 37 is an embodiment corresponding to that of FIG. 35 but having three instead of two re-entrant conduits with a total of six re-entrant ports to the chamber.
FIG. 38 is an embodiment corresponding to that of FIG. 38 but having three instead of two re-entrant conduits with a total of six re-entrant ports to the chamber. FIG. 39 is an embodiment corresponding to that of FIG. 35 in which the external conduits join together in a common plenum 3910.
FIG. 40 is an embodiment corresponding to that of FIG. 36 in which the external conduits join together in a common plenum 4010.
FIG. 41 is an embodiment corresponding to that of FIG. 37 in which the external conduits join together in a common plenum 4110.
FIG. 42 is an embodiment corresponding to that of FIG. 38 in which the external conduits join together in a common plenum 4210.
FIG. 43 is an embodiment corresponding to that of FIG. 17 in which the external conduits join together in a common plenum 4310.
DETAILED DESCRIPTION OF PREFERRED EMBODIMENTS Overview of the Plasma Reactor Chamber:
Referring to FIG. 1, a plasma reactor chamber 100 enclosed by a cylindrical side wall 105 and a ceiling 110 houses a. wafer pedestal 115 for supporting a semiconductor wafer or workpiece 120. A process gas supply 125 furnishes process gas into the chamber 100 through gas inlet nozzles 130a-130d extending through the side wall 105. A vacuum pump 135 controls the pressure within the chamber 100, typically holding the pressure below 0.5 milliTorr (mT) . A half-torroidal hollow tube enclosure or conduit 150 extends above the ceiling 110 in a half circle. The conduit 150, although extending externally outwardly from ceiling 110, is nevertheless part of the reactor and forms a wall of the chamber. Internally it shares the same evacuated atmosphere as exists elsewhere in the reactor. In fact, the vacuum pump 135, instead of being coupled to the bottom of the main part of the chamber as illustrated in FIG. 1, may instead be coupled to the conduit 150, although this is not presently preferred. The conduit 150 has one open end 150a sealed around a first opening 155 in the reactor ceiling 110 and its other end 150b sealed around a second opening 160 in the reactor ceiling 110. The two openings or ports 150, 160 are located on generally opposite sides of the wafer support pedestal 115. The hollow conduit 150 is re-entrant in that it provides a flow path which exits the main portion of the chamber at one opening and re-enters at the other opening. In this specification, the conduit 150 may be described as being half-torroidal, in that the conduit is hollow and provides a portion of a closed path in which plasma may flow, the entire path being completed by flowing across the entire process region overlying the wafer support pedestal 115. Notwithstanding the use of the term Atorroidal®, the trajectory of the path as well as the cross-sectional shape of the path or conduit 150 may be circular or non-circular, and may be square, rectangular or any other shape either a regular shape or irregular.
The external conduit 150 may be formed of a relatively thin conductor such as sheet metal, but sufficiently strong to withstand the vacuum within the chamber. In order to suppress eddy currents in the sheet metal of the hollow conduit 150 (and thereby facilitate coupling of an RF inductive field into the interior of the conduit 150) , an insulating gap 152 extends across and through the hollow conduit.150 so as to separate it into two tubular sections. The gap 152 is filled by a ring 154 of insulating material such as a ceramic in lieu of the sheet metal skin, so that the gap is vacuum tight. A second insulating gap 153 may be provided, so that one section of the conduit 150 is electrically floating. A bias RF generator 162 applies RF bias power to the wafer pedestal 115 and wafer 120 through an impedance match element 164. Alternatively, the hollow conduit 150 may be formed of a non-conductive material instead of the conductive sheet metal. The non-conductive material may be a ceramic, for example. In such an alternative embodiment, neither gap 152 or 153 is required.
An antenna 170 such as a winding or coil 165 disposed on one side of the hollow conduit 150 and wound around an axis parallel to the axis of symmetry of the half-torroidal tube is connected through an impedance match element 175 to an RF power source 180. The antenna 170 may further include a second winding 185 disposed on the opposite side of the hollow conduit 150 and wound in the same direction as the first winding 165 so that the magnetic fields from both windings add constructively.
Process gases from the chamber 100 fill the hollow conduit 150. In addition, a 'separate process gas supply 190 may supply process gases directly in to the hollow conduit 150 through a gas inlet 195. The RF field in the external hollow conduit 150 ionizes the gases in the tube to produce a plasma. The RF field induced by the circular coil antenna 170 is such that the plasma formed in the tube 150 reaches through the region between the wafer 120 and the ceiling 110 to complete a torroidal path that includes the half- torroidal hollow conduit 150. As employed herein, the term Atorroidal® refers to the closed and solid nature of the path, but does not refer or limit its cross-sectional shape or trajectory, either of which may be circular or non- circular or square or otherwise. Plasma circulates through the complete torroidal path or region which may be thought of as a closed plasma circuit. The torroidal region extends across the diameter of the wafer 120 and, in certain embodiments, has a sufficient width in the plane of the wafer so that it overlies the entire wafer surface.
The RF inductive field from the coil antenna 170 includes a magnetic field which itself is closed (as are all OJ υo t
<-M > o l o Ul o Λ
Ω 0 0 rr CQ i TJ TJ r O SU rt ϋ Hi SU Ω Ω < Ω μ- TJ 0 TJ Ω rt o i C P α ft) TJ ft) tr SU 3
0 rt l- tr s-J SU i _- tr s-J P O Φ O P O o SU c 03 0 Hi ft) I-J tr Hi Φ P (-J μ- tr -1 rt Φ PJ
P φ ii CQ 0 SU φ Ω i IQ H P. 3 P ii H ii rt ii Φ 3 μ- 3 Hi 03 ft) 0 CQ
Ω Φ rr Hi CQ Ω 03 tr rt μ- 3 Pi Pi μ- H φ rt rt _tr ϋ rt 03 Hi Hi 0 03 (Q μ- i-J P fD r $, SU Φ Φ 13 I-1 rt tr o Φ rt l-J c 0 Φ X μ- tr Φ Ω tr μ- O φ Φ ri 3 Φ φ IQ Φ
P CD su Ω CQ CQ su 0 su tr Φ P Pi tr μ- Ω P P ι-3 TJ O φ P (-J Φ rt ii ϋ ii TJ ft) P < rt rt fD Hi CD ω Ω 03 Φ rr ft ω rt tr P 03 rt ri < 3 Φ rt Φ φ rr H-
H CQ φ tr Ω SU TJ iQ O μ- Φ O Ω 0 i tα O P μ- μ- ri Pi tr Ω
OJ CD tr ii 0 Φ N ø H1 H J P Ω H <! Ω Hi μ- O 3 Φ ^ 3 ft) Hi rt O 0 SU Φ rt Ω CD Hi r 0 ri SU Φ φ H Φ σi Φ 0 Ω rt Hi 0 rt l-J i-J ft) l-1 P i-J I-1 rt Hi
CD rr pi Hi => P ϋ 03 03 03 SU O rt μ- σ P 0 Φ CQ tr CQ rt 03 *< O Hi (- 03 tr Ω μ-
P. ϋ rr ft) rt Φ φ Φ f- 13 CQ rt Pi tr 03 P ^ 0 rt Φ ft) rt μ- i-J ft) 0 ft) <; ft) φ
0 tr Ω tr Φ y ii SU Φ Φ P Φ μ- P s-: 03 03 ^ Pi rt μ- IQ IQ Ω Ω P P) rt 0 I-1
0 H- Φ P 0 rt Hi f i Ω CQ 3 rt li Ω φ μ- φ tr !=S P ft) rt ft) Si ϋ Φ 03 P.
< (D ^. P SU 03 Φ Φ iQ tr Hi rt μ- P ø TJ rt Φ ft) K rt 0 rt φ <j TJ Φ 03 fD — s: CQ Ω rt φ rt Ω tr 3 3 P. Φ SU μ- 03 TJ SU tr i-J <; tr H μ- ϋ Φ 0 i — ri H- Φ tr ii tr Φ Φ O O Ω O Ω <! rt rt su rr φ Ω Ω • Φ 03 0 ft) ω ri £ ^
H- rt rr H- Φ U ft) tr tr U o <J s; φ Φ r O IT rt tr o CD fu • P rt ^ φ rr ft) CQ tf J H ^ rt S-J rt μ- μ- CQ P φ P) CQ O ri Φ tr ft) t-J Pi TJ Ω 03 Φ P ri O ft)
Φ μ. rt H- i-J tr P^ μ. Hi 03 I-J ϋ μ- 3 03 TJ Hi Φ ii i-J
CO CQ i* P s; P TJ i μ- μ- rt Φ μ- £ P o μ- CQ o Φ tr l-1 tr 0 K ft) 0 ϋ o Hi ri i
3 i ^ LQ CO 0 iQ ft) Φ t rt rt tr Ω ^ ϋ P ii P. μ- P c ii ^ μ- μ- 03 0 Hi su Ω ri 0 ft) CD Su CQ ii su ^ ^ φ rt μ- Ω Hi Φ Pi <! iQ a <! φ 03 Φ ^ 0 rt ft) 0 μ- rt J t CQ Hi φ rt CQ 03 μ- P ^~. Su Pi su Φ 03 Φ rt Φ rt . Pi φ i-J TJ Φ rt 3 ϋ tr rt H- l tr π> ^ 0 O ii < iQ SU P Ω S a Pi tr Ω <! LQ J-1 i μ- ft) Φ
CD ft) α Ω φ φ CQ μ- su Hi Hi φ φ P φ σ rt ≤ Φ O Φ rt P TJ Φ ft) o rt I-1 H ft) H CQ H- H- Ω P P 03 rt P Φ 03 ^ TJ μ- μ- ri Hi ft) O H ft) ϋ rt 03 ft) P tr Φ rl CQ P rt s- rt LQ rt rt £ 03 tr SD 0 ft) ri ^d H rt » tr 3 l-1 Φ TJ Hi fD s; ft) rt IQ i Su tr φ tr tr (-" £ 0 rt r J rt 3 Ω 0 ^ rt φ tr φ l-" PJ SU 0 ft) i ϋ H- Hi li r Φ Φ rt ri H tr 0 tr S-J tr i-J rt Xi r ii
- CD Xi μ- rt Ω Φ 0 tr φ Hi 3 Φ SU rt tr •<: ii P 3 Φ rr tr Ω μ- ft) o Xi tr Φ ϋ \-> r tr li S-J Φ Ω tr O SU u rt P CQ rr O φ ii Pi 9} φ Φ I-1 o h-1 i-J
CQ CD H- s-; φ Hi IQ rr Φ 0 Hi Ω Hi tr 03 P. 3 tr rt φ (Q su ft) P 0 P 0 LQ μ- ϋ μ- f-I CD rr H- H- tr CQ li SU S Φ φ φ tr μ- SU ii (Q Pi tr P rt P P IQ Ω 03 P P φ P
Ω rt Oi H- 3 Φ CQ tr μ- < 1 rt 03 ii Φ P 0 ri φ φ rt tr Φ rr rt rt c φ CQ CQ rt Pi CQ Si t tr O P> I-1 rt Φ Ω ; 3 tr s- Φ tQ 03 I-J φ 03 a> rt Φ Φ tr ii P. Φ tr s-J Ω
CD r 3 Hi i Ω tr SD 1 SD Φ SU rt tr (Q su Ω CQ Hi μ- P ri i P rt φ Ω ri Ω
SU 0 φ CQ 0 φ rt Hi 13 03 ri Hi rt Φ tr rt ii SU Ω Ω P i-J Φ TJ Φ tr rt μ- Φ
CQ CD TJ ri P tr μ- Su 03 Ω Φ H φ 3 tr S μ- 3 O μ- ft) PJ u P ft) ii Φ Ω μ- tr 03
(- rr Xi oα TJ • φ 03 tr tr ri φ Φ rt Z s tr Φ s; H Hi rt rt rt ft) (- φ rr CD tr su ft) Φ rt ii 03 P su Ω φ rt tr tr Si Φ μ- Ω μ- μ1 Φ rt tr rt TJ 0 Φ Pi ft)
PJ Ω Φ rt 2 H H 0 φ li tr 03 SU TJ 03 μ- <! Pi su P fj φ -j 03 tr μ- μ- ft) 03
(D rr Φ P TJ μ- Ω h TJ IQ Q S TJ 3 h-1 SU Ω SU CQ IQ μ- -> o 0 Q Si O rt φ Hi tr TJ ri 3 • Φ Ω Φ tr TJ O S Φ ri h-1 TJ rt Hi tr i-J tr rt Pi • fu 3 Φ P tr Pi μ- Φ
£ H- 0 rt P rt CQ ø φ CQ ft IQ SU TJ O tr 0 rr Φ μ- μ- rt (Q iQ TJ φ μ ft)
Oi Ω ϋ IT P. Φ oi 03 H μ- μ- μ- φ 03 0 Hi ii rt SU P t3 O μ- tr φ Φ 3 TJ -> Φ CQ
Hi
■tf ι-3 Φ P- Pi - J rt < 3 1 3 ri 3 tr IQ 0 Hi 03 W rf P i-J PJ SU P. 3
CD Hi Xi tr Ω ii Φ μ- φ Q* Si SU rt rt Φ Φ Φ ^ ft) ft) 0 tr rt Φ Pi ^ ι-3 rt ft) ri H- H- Φ s-J tr Φ rt P <! su Φ tr H i . P P rt Ω ^ Φ tr H μ- tr tr μ-
"- CD Φ ø i tr i Φ Φ J CQ J Φ tr TJ ^ <5 tr φ Φ ft) P < Φ 03 Ω
1— ' Ω rt H S μ- φ μ- SU tr φ Φ SU rt φ o Hi h-1 IQ ft) rt ςj ft) & Φ tr Hi H ft) 0 Ω μ- Φ P Φ φ P. tr 03 rt SU tr O TJ 03 φ ri Ω H 03 ft) ri
P H- P P fα S-J O Ω Ω rt su Φ 0 Φ 03 μ- rt O Ω Φ ri Φ c •<: l-J ! rl 0 tr μ- Hi p. H- 0 Ω Ω rt i ^ -r P rt Φ Φ rt CQ _- rt 3 03 tr i l-1 Pi iP ri TJ 03 03 φ
CQ ii t Φ 0 0 SU 03 li Pi tr rt tr u Φ rt 0 ϋ O ft) TJ rt 0 P
H- P H ii • O - CQ SU o φ Hi 4 μ- 03 CQ φ φ p 3 0 tr hi rt
CQ Φ 0 rt P
Hi tr rt 03 Φ — ' z S O Φ rt μ- P P O £ ft) tr ra Ω 3
CQ φ P- tr 3 Ω rt ft) i-J Φ r φ
O rt Φ Ω ^ IQ H. P.
Φ
less in other locations such as the sheath covering the ceiling and the large adjoining chamber wall surfaces. Thus, the plasma sheath overlying the wafer is much thicker. The electric fields of the wafer and ceiling/gas distribution plate sheaths are generally parallel to each other and perpendicular to the direction of the RF plasma current flow in the process region.
When RF power is first applied to the coil antenna 170, a discharge occurs across the gap 152 to ignite a capacitively coupled plasma from gases within the hollow conduit 150. Thereafter, as the plasma current through the hollow conduit 150 increases, the inductive coupling of the RF field becomes more dominant so that the plasma becomes an inductively coupled plasma. Alternatively, plasma may be initiated by other means, such as by RF bias applied to the workpiece support or other electrode .
In order to avoid edge effects at the wafer periphery, the ports 150, 160 are separated by a distance that exceeds the diameter of the wafer. For example, for a 12 inch diameter wafer, the ports 150, 160 are about 16 to 22 inches apart. For an 8 inch diameter wafer, the ports 150, 160 are about 10 to 16 inches apart.
Advantages of the Invention:
A significant advantage is that power from the RF inductive field is absorbed throughout the relatively long closed torroidal path (i.e., long relative to the gap length between the wafer and the reactor ceiling) , so that RF power absorption is distributed over a large area. As a result, the RF power in the vicinity of the wafer-to-σeiling gap (i.e., the process region 121 best shown in FIG. 2, not to be confused with the insulating gap 152) is relatively low, thus reducing the liklihood of device damage from RF fields. In constrast, in prior inductively coupled reactors, all of the RF power is absorbed within the narrow wafer-to-ceiling gap, so that it is greatly concentrated in that region. Moreover, this fact often limits the ability to narrow the wafer-to-ceiling gap (in the quest of other advantages) or, alternatively, requires greater concentration of RF power in the region of the wafer. Thus, the invention overcomes a limitation of long standing in the art. This aspect enhances process performance by reducing residency time of the reactive gases through a dramatic reduction in volume of the process region overlying the wafer, as discussed previously herein.
A related and even more important advantage is that the plasma density at the wafer surface can be dramatically increased without increasing the RF power applied to the coil antenna 170 (leading to greater efficiency) . This is accomplished by reducing the cross-sectional area of the torroidal path in the vicinity of the pedestal surface and wafer 120 relative to the remainder of the torroidal path. By so constricting the torroidal path of the plasma current near the wafer only, the density of the plasma near the wafer surface is increased proportionately. This is because the torroidal path plasma current through the hollow conduit 150 must be at least nearly the same as the plasma current through the pedestal-to-ceiling (wafer-to-ceiling) gap.
A significant difference over the prior art is that not only is the RF field remote from the workpiece, and not only can ion density be increased at the wafer surface without increasing the applied RF field, but the plasma ion density and/or the applied RF field may be increased without increasing the minimum wafer-to-ceiling gap length.
Formerly, such an increase in plasma density necessitated an increase in the wafer-to-ceiling gap to avoid strong fields at the wafer surface. In contrast, in the present invention the enhanced plasma density is realized without requiring any increase in the wafer-to-ceiling gap to avoid a concomitant increase in RF magnetic fields at the wafer surface. This is because the RF field is applied remotely from the wafer and moreover need not be increased to realize an increase in plasma density at the wafer surface. As a result, the wafer-to-ceiling gap can be reduced down to a fundamental limit to achieve numerous advantages. For example, if the ceiling surface above the wafer is conductive, then reducing the wafer-to-ceiling gap improves the electrical or ground reference provided by the conductive ceiling surface. A fundamental limit on the minimum wafer-to-ceiling gap length is the sum of the thicknesses of the plasma sheaths on the wafer surface and on the ceiling surface.
A further advantage of the invention is that because the RF inductive field is applied along the entire torroidal path of the RF plasma current (so that its absorption is distributed as discussed above), the chamber ceiling 110, unlike with most other inductively powered reactors, need not function as a window to an inductive field and therefore may be formed of any desired material, such as a highly conductive and thick metal, and therefore may comprise a conductive gas distribution plate as will be described below, for example. As a result, the ceiling 110 readily provides a reliable electric potential or ground reference across the entire plane of the pedestal or wafer 120.
Increasing the Plasma Ion Density:
One way of realizing higher plasma density near the wafer surface by reducing plasma path cross-sectional area over the wafer is to reduce the wafer-to-ceiling gap length. This may be accomplished by simply reducing the ceiling height or by introducing a conductive gas distribution plate or showerhead over the wafer, as illustrated in FIG. 2. The gas distribution showerhead 210 of FIG. 2 consists of a gas distribution plenum 220 connected to the gas supply 125 and communicating with the process region over the wafer 120 through plural gas nozzle openings 230. The advantage of the conductive showerhead 210 is two-fold: First, by virtue of its close location to the wafer, it constricts the plasma path over the wafer surface and thereby increases the density of the plasma current in that vicinity. Second, it provides a uniform electrical potential reference or ground plane close to and across the entire wafer surface.
Preferably, in order to avoid arcing across the openings 230, each opening 230 is relatively small, on the order of a millimeter (preferred hole diameter is approximately 0.5 mm) . The spacing between adjacent openings may be on the order of a several millimeters.
The conductive showerhead 210 constricts the plasma current path rather than providing a short circuit through itself because a plasma sheath is formed around the portion of the showerhead surface immersed in the plasma. The sheath has a greater impedance to the plasma current than the space between the wafer 120 and the showerhead 210, and therefore all the plasma current goes around the conductive showerhead 210.
It is not necessary to employ a showerhead (e.g., the showerhead 210) in order to constrict the torroidal plasma current or path in the vicinity of the process region overlying the wafer. The path constriction and consequent increase in plasma ion density in the process region may be achieved without the showerhead 210 by similarly reducing the wafer-to-ceiling height. If the showerhead 210 is eliminated in this manner, then the process gases may be supplied into the chamber interior by means of conventional gas inlet nozzles (not shown) .
One advantage of the showerhead 210 is that different mixtures of reactive and inert process gas ratios may be introduced through different orifices 230 at different radii, in order to finely adjust the uniformity of plasma effects on photoresist, for example. Thus, for example, a greater proportion of inert gas to reactive gas may be supplied to the orifices 230 lying outside a median radius while a greater proportion of reactive gas to inert gas may be supplied to the orifices 230 within that median radius.
As will be described below, another way in which the torroidal plasma current path may be constricted in the process region overlying the wafer (in order to increase plasma ion density over the wafer) is to increase the plasma sheath thickness on the wafer by increasing the RF bias power applied to the wafer support pedestal. Since as described previously the plasma current across the process region is confined between the plasma sheath at the wafer surface and the plasma sheath at the ceiling (or showerhead) surface, increasing the plasma sheath thickness at the wafer surface necessarily decreases the cross-section of the portion of the torroidal plasma current within process region, thereby increasing the plasma ion density in the process region. Thus, as will be described more fully later in this specification, as RF bias power on the wafer support pedestal is increased, plasma ion density near the wafer surface is increased accordingly.
High Etch Selectivity at High Etch Rates:
The invention solves the problem of poor etch selectivity which sometimes occurs with a high density plasma. The reactor of FIGS. 1 and 2 has a silicon dioxide- to-photoresist etch selectivity as high as that of a capacitively coupled plasma reactor (about 7:1) while providing high etch rates approaching that of a high density inductively coupled plasma reactor. It is believed that the reason for this success is that the reactor structure of FIGS. 1 and 2 reduces the degree of dissociation of the reactive process gas, typically a fluorocarbon gas, so as to reduce the incidence of free fluorine in the plasma region over the wafer 120. Thus, the proportion of free fluorine in the plasma relative to other species dissociated from the fluorocarbon gas is desireably reduced. Such other species include the protective carbon-rich polymer precursor species formed in the plasma from the fluorocarbon process gas and deposited on the photoresist as a protective polymer
Figure imgf000019_0001
> UJ to to M o Ux o h O h φ PJ Ω ii rt tr PJ Pi ri CQ Φ μ> Hi ft) H μ- μ- rt 03 03 ϋ ft) Si Hi φ £ £ Ω TJ H ii Pi Ω
X Pi tr φ tr ^ μ μ- o φ TJ rt CO tr μ> 3 03 0 Φ μ- Φ CQ φ tr 3 ft) μ- 0 ii φ Φ Φ Φ
Ω < ft) ft) φ LQ 3 i Φ Ω σ ø 0 LΠ Ω Ω LQ ft) TJ Φ rt Hi rt Φ Hi 0 3 ri μ- ft) ^ Ω μ- 0 0 Φ 3 Ω tr 0 < ii rr i rr 3 Ω rt rt H μ- Φ tr £ 3
TJ 03 Φ μ- 03 rt r 3 o rt 03 3 3 φ Ω rt , , i Φ tr φ 0 φ μ- μ- rt 0 tr Φ ii μ μ- Φ ii Pi μ- ft) φ rt Φ μ- Φ (_l- 3 3 μ- m ri 03 ft) μ- ^ ft) ϋ 0 ι-3 Hi μ- Hi φ 3 Φ 3 ϋ φ rt μ-
Pi ft) ri <! Ω φ LQ rt φ 3 tr O Φ 3 3 03 μ- 3 3 tr μ- <J 03 o 0 tr i rt φ 3 •<: 3
LQ Φ 0 Ω ft) rt LQ Φ 03 P. Φ rt μ- 3 Ω φ Ω Φ μ- Hi ri Ω 3 rt Pi Ω
3 Φ 0 3 rt 03 LQ tr ii Ω Φ t ø1 3 Ω Φ ft) ri ft) LQ Φ I-1 rt tr ri μ- ^ 0 tr φ 0 3 TJ Pi μ- ft) 0 Hi Φ 0 Ω Ω Ω μ- Φ LQ h 3 φ 3 LQ 3 rt » 0 Φ Φ 3 rt < φ
3 3 (- ii 3 μ- 03 ϋ ϋ TJ rr 0 0 rt φ rt i rt ft) μ- tr 03 H ft) <! 0 rt Φ 3 rt 03 ^ 0 TJ CQ 03 Φ su μ- 3 3 tr XI rt ft) 2 ft) CQ Hi Φ rt Φ ft) Ω Ω Φ μ- ii Φ ii Ω ϋ 03 μ- Φ ii <! 03 rt Φ rr| - 03 Φ rt ϋ ft) Φ μ- tr Pi rt 0 rt 3 ft) 3 Hi ft) ft) rt Φ 0 μ- μ- 0 03 φ ^-, μ- Φ Φ ii Φ φ t φ Ci 03 Ω tϋ Φ tr 3 O rt r Φ rt μ-
H tr 03 Ω rt 3 Ω Hi o rt _Q 3 tr £ Φ <i ft) *τl rt Φ Hi li μ- 0 tr Ω
CQ ri ri 03 Φ rt tr rt r
^ 0 rt 3 μ- tr rt ft) ri rt ft) μ- 3 £ 0 ii μ- CQ O < Hi Φ μ- ft) 0 03 i li 0 3 tr CD Φ φ ft) μ- ø- Hi φ £ 3 3 rt μ- ft) ri 3 - 3 φ ft) ft) J 3 3 LQ CQ μ- 0 ft) 0 ii — 3 0 rt 03 ft) Φ φ Hi 0 rt rt 3 Hi ri TJ Φ - £ h-1 μ LQ LQ ft) H P. CQ μ- H φ ft) rt Hi tr CQ μ 0 ft) ii • ! Pi Φ 0 0 03 rt tr ft)
0 φ tr 03 LQ φ 0 3 μ- φ rr 03 0 TJ TJ I μ £ LQ 0 3 H μ- £ tr Φ rt 03 Hi φ
TJ 3 Φ S Ω Ω ft) rt 3 Φ μ- rt ϋ o Xi 1— ' rr Φ PJ Φ i ri Ω 1 Pi Φ 0 Φ Ω Φ Hi ft) Φ rt 03 03 rt Φ li ii Φ ft) Ω 0 3 ø1 ^ *τi ft) 0 *< 3 φ rr rt ft) ri Hi su Φ ft) ri Hi
LQ 3 tr Pi LQ 0 Pi tr tr Ω Φ H φ 03 1 rt 03 Ω Pi μ- 0 I-1 fd 3 03 φ ft) rt Φ _— ^ 03 ; o ϋ Ω Pi 3 03 ri Φ μ J 3 03 tr Φ 3 <! I Pi rt q 03 CQ Ω rt ^ Hi 3 o 3 ø 0 μ- μ- Φ Φ TJ 03 0 ft) tr Φ O Pi Ω φ Ω TJ Φ tr Φ 3 rr Φ rt
Φ CQ TJ μ- 0 Ω 3 rt (P ri ft) 3 LQ £ 0 Hi Φ Φ fu TJ Φ CQ - P) tr 3 ra rt tr 3 TJ 3 rt μ- Φ rt μ- ø < 03 ft) φ φ 03 £ <i μ- Hi μ- rt 0 0 l-1 Φ tr
£ tr 0 0 _- rt 03 3 φ O φ Φ Φ CQ rt 3 ii ør Φ 0 ii 3 rt μ- tr 03 TJ 3 3 su μ- Φ 3 ri ^ O μ- rt ft) 3 3 03 ϋ 3 03 φ φ ϋ Φ rt tr φ μ- μ- ϋ ϋ 3 < 3 rt Φ 0 Pi 0 rt ft) • μ- ft) ri ft) ft) tr ø ϋ 0 φ I-1 3 0 rt 0 Ω 0 Ω rrl tr su ϋ Ω rt Φ 3 3 3 μ- ft) TJ rt φ 3 0 Pi LQ Hi μ- Ω Φ μ- 0 μ- μ- μ- ri tr ft) D tr rt 0 rt 03 μ- 3 rt TJ tr ft) φ Ω rt li 0 φ tx μ-1 3 3 μ
3 LQ Φ μ o Φ ft) tr 3 0 3 μ- Pi 3 o l-1 P. μ- ø1 Φ LQ rt 3 03 TJ φ 3 3 LQ 03
O su tr > 3 Φ 1 Φ 3 μ- SU ϋ μ- rt O 3 Φ 03 ft) su tr 03 0 3 Φ rt rt 3 Si 0 3J ϋ Ω μ- rt LQ φ rt φ tr LQ < CQ μ- 3 TJ Φ μ- £ Ω φ φ ^ tr 3 £ 0 TJ tr M ør l-J tr ii I-1 μ- ft) φ TJ Ci 03 ϋ Φ 0 μ- rt
Φ μ- t tr I-1 ft) Φ TJ 0 0 φ Φ φ <Ά Ω TJ ii rt H φ Ω TJ φ μ 3 μ- 03 Ω μ-
O H LQ μ- tr ft) 3 ii ϋ TJ Ω Pi t rt X" tr 0 3 μ- ft) h-1 Pi LQ <i CQ tr rt rt 3 O ft) \-> 0 0 03 μ- 0 03 rr rt <i 0 O 3 P. rt Φ Ω Ω 3 3 su μ- μ- μ- φ ϋ
0 03 03 φ £ <i 3 Ω <! μ- H μ- Ω Φ μ- tr Φ ^ 03 03 o 03 3 3 Φ ii μ- i - φ Φ ft) P) Φ 0 Ω μ- Ω rt ft) rt 03 03 Φ TJ 03 l- tr 3 rt 3 rt ft) Ω ft) 3 ri 03 rt a " -> Hi Ω μ- μ- 03 ø- 03 rt 03 rt ft) φ ft) ϋ 3 μ- i μ rt Ω
0 3 ≤ — ' tr o rt I-1 Φ 1 3 0 Φ ft) £ PJ μ- Ω μ- 0 0 0 Φ Φ Φ ii μ- Φ μ- Φ 3 tr : rt rt rt μ- 3 tr 0 3 ft) 03 u 3 rt }-• Ω tr <i rt 3 u • φ
P. ø rt φ iτj Ω ø1 φ 0 rt ϋ £ <! Ω Hi 3 Φ φ φ 3
Ω ø Φ ft) TJ 03 ft) ft) rt tr 3 & Φ
3 ri ii tr Φ 3 1 •<_ μ- μ- ft) φ Φ Φ ft) LQ Ω 03 ri rt H P. o Φ 03 l-J ii rt tr μ- TJ Φ Φ μ- J 3 Φ Hi i • i μ- 0 ft) 03 ri Φ H Φ 03 Λ Φ ft) rt Φ Φ rt ri Hi ft) 03 μ- 03 tr 0 Hi Φ TJ 0 Hi 3 Φ P< • ! TJ μ- 03 Φ 03
TJ tr li 3 o Φ Ω φ 3 03 o Hi Hi μ rt μ1 ft) 3 rt 3 μ- O 3 μ- Ω su CQ μ- μ- μ> Ω ii rt <i μ- rt ri < tr H to rr rt tr tr rt ft) 3 03 Pi tr 0 J rt Q rt Ω LΠ Φ ft) μ- φ φ 0 O rt Φ TJ Φ tr o ø1 tr φ ft) . LQ μ- 3 l-1 3 t tr ft) σ 03 tr <! Ω 3 3 li ør Φ 3 φ Φ • PJ φ 3 0 rt Ω Φ ro i ft) ft) φ l-J 03 Φ rt rt Φ Φ Φ ϋ £ Ω 03 3 rt Φ rt 03
TJ 3 Hi ^ μ- μ- 03 3 Φ PJ o ϋ 0 ft) LQ tr ϋ μ- μ- 3 i * ; ii ri <i 0 μ- £ rt CQ Hi rt O O Φ <i 3 ft) ft) 0 Φ μ- 3 03 ft) μ- rt φ tr 3 03 CQ 03 rt φ
03 3 LQ rt rt rr Hi 0 ft) ϋ Φ Φ 03 3 ? ør rt
3 μ- tr < Hi Φ 3 ii 1 P) μ- Φ *< tr ft) 0 Φ O ri Φ tr μ- 3 Φ 3 ii 03
current and through the process region across the wafer surface to dilute the newly introduced reactive (e.g., fluorocarbon) gases and thereby effectively reduce their residency time over the wafer. Another method of reducing plasma free fluorine content is to reduce the chamber pressure. A further method is to reduce the RF source power applied to the coil antenna 170.
FIG. 3 is a graph illustrating a trend observed in the invention in which the free fluorine content of the plasma decreases as the wafer-to-showerhead gap distance is decreased. FIG. 4 is a graph illustrating that the free fluorine content of the plasma is decreased by decreasing the plasma bias power applied to the wafer pedestal 115. FIG. 5 is a graph illustrating that plasma free fluorine content is reduced by reducing the RF source power applied to the coil antenna 170. FIG. 6 is a graph illustrating that the free fluorine content is reduced by reducing chamber pressure. FIG. 7 is a graph illustrating that plasma free fluorine content is reduced by increasing the diluent (Argon gas) flow rate into the tubular enclosure 150. The graphs of FIGS. 3-7 are merely illustrative of plasma behavioral trends inferred from numerous OES observations and do not depict actual data.
Wide Process Window of the Invention:
Preferably, the chamber pressure is less than 0.5 T and can be as low as 1 mT. The process gas may be C4F8 injected into the chamber 100 through the gas distribution showerhead at a flow rate of about 15 cc/m with 150 cc/m of Argon, with the chamber pressure being maintained at about 20 mT. Alternatively, the Argon gas flow rate may be increased to 650 cc/m and the chamber pressure to 60 mT. The antenna 170 may be excited with about 500 Watts of RF power at 13 MHz. The wafer-to-showerhead gap may be about 0.3 inches to 2 inches. The bias RF power applied to the wafer pedestal may be 13 MHz at 2000 Watts. Other selections of frequency may be made. The source power applied to the coil antenna 170 may be as low as 50 KHz or as high as several times 13 MHz or higher. The same is true of the bias power applied to the wafer pedestal .
The process window for the reactor of FIGS. 1 and 2 is far wider than the process window for a conventional inductively coupled reactor. This is illustrated in the graph of FIG. 8, showing the specific neutral flux of free fluorine as a function of RF source power for a conventional inductive reactor and for the reactor of FIGS. 1 and 2. For the conventional inductively coupled reactor, FIG. 8 shows that the free fluorine specific flux begins to rapidly increase as the source power exceeds between 50 and 100 Watts. In contrast, the reactor of FIGS. 1 and 2 can accept source power levels approaching 1000 Watts before the free fluorine specific flux begins to increase rapidly. Therefore, the source power process window in the invention is nearly an order of magnitude wider than that of a conventional inductively coupled reactor, a significant advantage .
Dual Advantages of the Invention:
The constriction of the torroidal plasma current path in the vicinity of the wafer or workpiece produces two independent advantages without any significant tradeoffs of other performance criteria: (1) the plasma density over the wafer is increased without requiring any increase in plasma source power, and (2) the etch selectivity to photoresist or other materials is increased, as explained above. It is believed that in prior plasma reactors it has been impractical if not impossible to increase the plasma ion density by the same step that increases etch selectivity. Thus, the dual advantages realized with the torroidal plasma source of the present invention appear to be a revolutionary departure from the prior art .
Other Preferred Embodiments:
FIG. 9 illustrates a modification of the embodiment of FIG. 1 in which the side antenna 170 is replaced by a smaller antenna 910 that fits inside the empty space between the ceiling 110 and the hollow conduit 150. Preferably, the antenna 910 is a single coil winding centered with respect to the hollow conduit 150.
FIGS. 10 and 11 illustrate how the embodiment of FIG. 1 may be enhanced by the addition of a closed magnetically permeable core 1015 that extends through the space between the ceiling 110 and the hollow conduit 150. The core 1015 improves the inductive coupling from the antenna 170 to the plasma inside the hollow conduit 150.
Impedance match may be achieved without the impedance match circuit 175 by using, instead, a secondary winding
1120 around the core 1015 connected across a tuning capacitor 1130. The capacitance of the tuning capacitor
1130 is selected to resonate the secondary winding 1120 at the frequency of the RF power source 180. For a fixed tuning capacitor 1130, dynamic impedance matching may be provided by frequency tuning and/or by forward power servoing.
FIG. 12 illustrates an embodiment of the invention in which a hollow tube enclosure 1250 extends around the bottom of the reactor and communicates with the interior of the chamber through a pair of openings 1260, 1265 in the bottom floor of the chamber. A coil antenna 1270 follows along side the torroidal path provided by the hollow tube enclosure 1250 in the manner of the embodiment of FIG. 1. While FIG. 12 shows the vacuum pump 135 coupled to the bottom of the main chamber, it may just as well be coupled instead to the underlying conduit 1250.
FIG. 13 illustrates a variation of the embodiment of
FIGS. 10 and 11, in which the antenna 170 is replaced by an inductive winding 1320 surrounding an upper section of the core 1015. Conveniently, the winding 1320 surrounds a section of the core 1015 that is above the conduit 150 (rather than below it) . However, the winding 1320 can surround any section of the core 1015.
FIG. 14 illustrates an extension of the concept of FIG.
13 in which a second hollow tube enclosure 1450 runs parallel to the first hollow conduit 150 and provides a parallel torroidal path for a second torroidal plasma current. The tube enclosure 1450 communicates with the chamber interior at each of its ends through respective openings in the ceiling 110. A magnetic core 1470 extends under both tube enclosures 150, 1450 and through the coil antenna 170.
FIG. 15 illustrates an extension of the concept of FIG.
14 in which an array of parallel hollow tube enclosures 150a, 150b, 150c, 150d provide plural torroidal plasma current paths through the reactor chamber. In the embodiment of FIG. 15, the plasma ion density is controlled independently in each individual hollow conduit 150a-d by an individual coil antenna 170a-d, respectively, driven by an independent RF power source 180a-d, respectively. Individual cylindrical open cores 1520a-1520d may be separately inserted within the respective coil antennas 170a-d. In this embodiment, the relative center-to-edge ion density distribution may be adjusted by separately adjusting the power levels of the individual RF power sources 180a-d.
FIG. 16 illustrates a modification of the embodiment of FIG. 15 in which the array of tube enclosures 150a-d extend through the side wall of the reactor rather than through the ceiling 110. Another modification illustrated in FIG. 16 is the use of a single common magnetic core 1470 adjacent all of the tube enclosures 150a-d and having the antenna 170 wrapped around it so that a single RF source excites the plasma in all of the tube enclosures 150a-d. FIG. 17A illustrates a pair of orthogonal tube enclosures 150-1 and 150-2 extending through respective ports in the ceiling 110 and excited by respective coil antennas 170-1 and 170-2. Individual cores 1015-1 and 1015- 2 are within the respective coil antennas 170-1 and 170-2. This embodiment creates two mutually orthogonal torroidal plasma current paths over the wafer 120 for enhanced uniformity. The two orthogonal torroidal or closed paths are separate and independently powered as illustrated, but intersect in the process region overlying the wafer, and otherwise do not interact . In order to assure separate control of the plasma source power applied to each one of the orthogonal paths, the frequency of the respective RF generators 180a, 180b of FIG. 17 are different, so that the operation of the impedance match circuits 175a, 175b is decoupled. For example, the RF generator 180a may produce an RF signal at 11 MHz while the RF generator 180b may produce an RF signal at 12 MHz. Alternatively, independent operation may be achieved by offsetting the phases of the two RF generators 180a, 180b.
FIG. 17B illustrates how radial vanes 181 may be employed to guide the torroidal plasma currents of each of the two conduits 150-1, 150-2 through the processing region overlying the wafer support. The radial vanes 181 extend between the openings of each conduit near the sides of the chamber up to the edge of the wafer support . The radial vanes 181 prevent diversion of plasma from one torroidal path to the other torroidal path, so that the two plasma currents only intersect within the processing region overlying the wafer support .
Embodiments Suitable for Large Diameter Wafers :
In addition to the recent industry trends toward smaller device sizes and higher device densities, another trend is toward greater wafer diameters. For example, 12- inch diameter wafers are currently entering production, and perhaps larger diameter wafers will be in the future. The advantage is greater throughput because of the large number of integrated circuit die per wafer. The disadvantage is that in plasma processing it is more difficult to maintain a uniform plasma across a large diameter wafer. The following embodiments of the present invention are particularly adapted for providing a uniform plasma ion density distribution across the entire surface of a large diameter wafer, such as a 12-inch diameter wafer.
FIGS. 18 and 19 illustrate a hollow tube enclosure 1810 which is a wide flattened rectangular version 1850 of the hollow conduit 150 of FIG. 1 that includes an insulating gap 1852. This version produces a wide "belt" of plasma that is better suited for uniformly covering a large diameter wafer such as a 12 -inch diameter wafer or workpiece. The width W of the tube enclosure and of the pair of openings 1860, 1862 in the ceiling 110 preferably exceeds the wafer by about 5% or more. For example, if the wafer diameter is 10 inches, then the width W of the rectangular tube enclosure 1850 and of the openings 1860, 1862 is about 11 inches. FIG. 20 illustrates a modified version 1850 ' of the rectangular tube enclosure 1850 of FIGS. 18 and 19 in which a portion 1864 of the exterior tube enclosure 1850 is constricted. However, the unconstricted version of FIGS. 18 and 19 is preferred.
FIG. 20 further illustrates the optional use of focusing magnets 1870 at the transitions between the constricted and unconstricted portions of the enclosure 1850. The focusing magnets 1870 promote a better movement of the plasma between the constricted and unconstricted portions of the enclosure 1850, and specifically promote a more uniform spreading out of the plasma as it moves across the transition between the constricted portion 1864 and the unconstricted portion of the tube enclosure 1850.
FIG. 21 illustrates how plural cylindrical magnetic cores 2110 may be inserted through the exterior region 2120 circumscribed by the tube enclosure 1850. The cylindrical cores 2110 are generally parallel to the axis of symmetry of the tube enclosure 1850. FIG. 22 illustrates a modification of the embodiment of FIG. 21 in which the cores 2110 extend completely through the exterior region 2120 surrounded by the tube enclosure 1850 are replaced by pairs of shortened cores 2210, 2220 in respective halves of the exterior region 2120. The side coils 165, 186 are replaced by a pair of coil windings 2230, 2240 surrounding the respective core pairs 2210, 2220. In this embodiment, the displacement D between the core pairs 2210, 2220 may be changed to adjust the ion density near the wafer center relative to the ion density at the wafer circumference. A wider displacement D reduces the inductive coupling near the wafer center and therefore reduces the plasma ion density at the wafer center. Thus, an additional control element is provided for precisely adjusting ion density spatial distribution across the wafer surface. FIG. 23 illustrates a variation of the embodiment of FIG. 22 in which the separate windings 2230, 2240 are replaced by a single center winding 2310 centered with respect to the core pairs 2210, 2220.
FIGS. 24 and 25 illustrate an embodiment providing even greater uniformity of plasma ion density distribution across the wafer surface. In the embodiment of FIGS. 24 and 25, two torroidal plasma current paths are established that are transverse to one another and preferably are mutually orthogonal. This is accomplished by providing a second wide rectangular hollow enclosure 2420 extending transversely and preferably orthogonally relative to the first tube enclosure 1850. The second tube enclosure 2420 communicates with the chamber interior through a pair of openings 2430, 2440 through the ceiling 110 and includes an insulating gap 2452. A pair of side coil windings 2450, 2460 along the sides of the second tube enclosure 2420 maintain a plasma therein and are driven by a second RF power supply 2470 through an impedance match circuit 2480. As indicated in FIG. 24, the two orthogonal plasma currents coincide over the wafer
Figure imgf000028_0002
Figure imgf000028_0001
being identical to the rectangular tube enclosure 2650 of FIG. 26 except that the rectangular tube enclosures 2650, 2710 are mutually orthogonal (or at least transverse to one another) . The second rectangular tube enclosure communicates with the chamber interior through respective openings through the side wall 105, including the opening 2720. Like the embodiment of FIG. 25, the tube enclosures 2650 and 2710 produce mutually orthogonal torroidal plasma currents that coincide over the wafer surface to provide superior uniformity over a broader wafer diameter. Plasma source power is applied to the interior of the tube enclosures through the respective pairs of side coil windings 165, 185 and 2450, 2460.
FIG. 28A illustrates how the side coils 165, 185, 2450, 2460 may be replaced (or supplemented) by a pair of mutually orthogonal interior coils 2820, 2840 lying within the external region 2860 surrounded by the two rectangular tube enclosures 2650, 2710. Each one of the coils 2820, 2840 produces the torroidal plasma current in a corresponding one of the rectangular tube enclosures 2650, 2710. The coils 2820, 2840 may be driven completely independently at different frequencies or at the same frequency with the same or a different phase. Or, they may be driven at the same frequency but with a phase difference (i.e., 90 degrees) that causes the combined torroidal plasma current to rotate at the source power frequency. In this case the coils 2820, 2840 are driven with the sin and cosine components, respectively, of a common signal generator 2880, as indicated in FIG. 28A. The advantage is that the plasma current path rotates azi uthally across the wafer surface at a rotational frequency that exceeds the plasma ion frequency so that non-uniformities are better suppressed than in prior art methods such as MERIE reactors in which the rotation is at a much lower frequency.
Referring now' to FIG. 28B, radial adjustment of plasma ion density may be generally provided by provision of a pair LO L t to
Lh o o SJ o Lh
Ω Ω ft) Ω rt r 03 rt Ω rt μ- to ft) LQ 3 to ϋ rt Ω 0J 3 μ- DO Qi μ- o o ft) Ω tr ft) 3 0 μ- μ- 03 TJ 0 0 ^ 0 tr tr tr 3 LO 3 ft) TJ so 0 ø- 0 cn φ 3 00 φ 03 Hi μ 3 O Φ a 0 Hi ii fi ϋ 3 3 Φ ft) ft) rt ω TJ J to 3 φ a o ri SD 0 rt 0 μ- i <!
Ω Ω μ- Φ Pi rt ft) ii 1- " Φ o μ- Φ o Φ 03 LQ Φ cn 03 CQ rt tr rt 3 Φ 3
3 3 3 Hi 3 tr Ω Ω H • 3 to ϋ . TJ rt Pi Φ ø μ- Φ rt ø- 0 tr X 3 Pi 3 φ μ- Φ TJ Φ Φ Hi φ φ μ- CQ so 3 μ-1 μ- Φ rt i 3 φ LQ φ to μ- LQ
Figure imgf000030_0001
ft) ft) rt li rt ft) μ- tr ii X 0 3 to 3 TJ φ rt LQ μ- H ro ; φ 0 ii oo ft) TJ rt 3 rt rt tr ft) Ω μ- TJ φ rt ii μ1 3 Hi TJ 3 3 ϋ rt O Ω oo ii Ω 3 •» φ. H 3 0 Φ μ- μ- Φ tr to 0 ii 0 μ- Φ μ] ft) ϋ tr Φ 3 rt φ 0 3 LD 3 3 0 3 o μ- £ rt
3 3 vo μ- 3 3 TJ 3 rt tr rt 3 φ li 3 Φ φ LQ oo pi ri h-1 μ- • li 3 μ- Q CQ Φ oo
^ 0 Φ CQ ϋ tr Φ μ- 3 03 03 Φ μ- t\J < ri 3 μ. Ω o 03 Hi ii Φ 03 ϋ 3 •<: 0 TJ £ to rt 3 uo ^ Φ J i 3 H1 0 ø- 3 0 Pi
£ rt ti μ1 3 0 TJ LQ £ I-1 3 LO 3 3 tr t > 0 Hi Ω μ- 0 0 rt J to 3 μ- H 3 ω 3 H Φ Φ φ li Φ £ μ- φ φ rt 3 Ω 03 <! o ^ rt Pi øf ft) LD 3 03 o 3 cn LQ Φ LQ X li 3 o 03 0 u tr μ-1 3 - 03 rt Φ 3 ϋ tr μ μ- Φ ra en rt • o tr 3 ft) rt 3 μ- 3 μ- Ω tr 3 μ- Φ Pi 3 μ- μ- 0 3 03 o 3 Ω t 3 TJ Φ tr 3 to tr 3 3 μ- Ω 3 0 su 03 ft) <i ft) LQ su 3
3 μ- φ Ω μ- - ft) 0 LO P. ft) 3 0 ft) LD ft) LQ Pi 3 tr 01 3 3 0 Φ Ω rt 3 £ fi
P. 3 0 3 3 H μ1 Φ 3 t ϋ l-1 to ω 03 rt rt rt Ω Ω ør 0 Φ ft) ri rt ft) rt μ- LQ t l-J TJ 3 o LQ t LO Hi ω o φ rt 0 rt ri H φ rt 3 Ω £ rt : μ- tr LO ^ μ ft) UJ U> ft) 1 μ» ft) tr tr ft) O i tr ri 0 TJ ft) μ- Ω
Φ 0 03 rr cn Φ rt Ω Φ 3 μ> H Hi Pi o LQ TJ φ ft) Φ rt su i 3 ft) ii Ω Hi ft) __, TJ Hi - LΠ 0 Pi 3^ 0 Φ 3 o 0 0 φ tr l-J Φ rt φ TJ μ- ϋ H I-1
M li H Φ 03 3 3 3 3 μ- 3 3 φ Ω 03 rt 03 Φ 3 ri Ω 0 ft) xi IO 0 μ- rt μ- TJ Ω 3 3 φ 03 Φ 3 φ μ- £ P. Hi TJ rt μ- 0 <! 3 Ω ft) 03 H 3 03 tr 3 φ SU ft) 0 ■•<_ ϋ H Hi 3 3 3 o ft) " 03 3 O ti 0
3 3 Ω o LQ 0 rt Φ ri ii 3 £ rt 3 1 3 rt LQ 3 tr 0 ft) LQ Hi μ- 0 ri
Φ ft) •> tr TJ LQ 0 u rt 0 3 Φ rr ft) tr Pi Φ rt ^ 03 03 μ- ft) 3 3 Φ ti 0 0 Φ 3 0 3 X tr φ 0 fi φ ø 3 - 3 03 3 Ω £ Si φ 03
0 Ω to to rt 0 3 rt Si 3 J 3 rt Φ μ> ^ 3 tr 3 ft) φ O ft) ii
Hi 3 oo <£> tr <i ft) r μ- ^ Si φ μ- Φ 03 Φ Φ H ft) φ Φ rt o rt φ ft) μ <! μ- ft) to ϋ en Φ μ- φ 3 3 Ω 3 3 Hi Ω 3 X 3 Φ < tr fi 3 ϋ φ Ω a oo rt ri rt LΠ ϋ φ Φ rt μ- ft) i TJ to 0 0 μ- Ω Φ μ. μ- Φ Φ ør CQ Hi 3 0 LO øf Φ 0 Ω • φ Ω μ- 3 3 ø- 3 rt TJ LO μ 3 0 rt CQ 3 3 3 3 ft) rt μ rt DO
Φ 3 ft) Φ ϋ 0 3 rt Ω Φ LQ Φ ft) Φ t Φ Q £ ør 0 Ω 3 LQ Ω 03 3 f) μ ø μ- o tr •» rt t 3 μ- rt 03 u ϋ O ti φ Φ 0 03 rt Φ μ- Ω φ 03 3 Ω Φ
£ Ω φ rt rr Φ 0 O ft) DO 0 •> rr 3 ϋ Hi 3 o μ- rt 3 rt φ 0 ri to ft) _~^ tr Φ tr 03 ri Hi 03 X LO £ 3 fi tr Φ Φ ti 03 <i tr rt ^ i Ω 3 0 ti oo
Hi μ- μ- ϋ li μ- Φ μ- DO μ- 3 0 CO Φ 3 rt Φ 3 Φ Φ Φ φ 0 3 φ £ o
Φ • φ ϋ 0 to 0 xi 03 03 Π rt 3 LO rt 3 &1 ii ii 3 μ. 0 < Φ 03 μ- φ. μ φ <i μ- 0 3 o ii H tr Φ ω 03 o Φ t Φ Φ Ω 1 rt Φ rt Φ rt
• φ <! Hi LQ cn Ω ft) 0 μ- rt o TJ £ I-1 P SO 03 3 0 rt Φ t) DO t
• Pi f r rt
Φ ø" o 0 • Hi 3 rt tr £ su μ- μ- μ M Q* ri 0 rt 3 H tr su rt 3 oo μ-
3 - Hi μ tr Φ 3 Ω rt to f) to 3 Φ o to 0 φ 1 tr rt rt m 0 o 3 3 ft) 3 tr t φ 03 rt Φ l-J φ tr O Φ 3 cn CQ Φ Φ μ- 0 0 rt cn rt tr H- φ to rt <£> LQ ^ tr H 3 to 3 Ω rt LΠ μ- Pi <i Hi £ tr ^ rt
Φ TJ ft) 3 < vo tr - μ- 3 Φ Ω 0 tr rt o rt rt tr o
N J 3 IO LQ £ Φ 3 Φ tr 3
Φ cn Φ o 3 tr £ to μ- Φ tr O ft) φ CO Φ 3 Φ ri ti M Φ 3 ii ft) μ- ti LΠ TJ 3 φ Ω su Φ LD
3 ø 3 rt Φ 3 0 ri rt t • 3 O Hi rt 3 Pi 00 o <!
03 Φ r TJ rt Φ 3 μ DO CQ £ 3 to rt to TJ Φ
^ O Ω £ O rt o
3 3 ft) 3 μ- !- ft) CO H μ- O"1 O 3 φ Pi rt φ ι I-1 ri tr O μ- 00 su ft) 0 rt tr
Pi 3 Ω φ 03 LO ^ Φ i φ 0 Xi tr X μ> 0 ft) rt 3 rt μ- Φ rt tr ii 3 ft) 3 3 LΠ μ- H 0 3 3 3 £ 3 H 3 rt o Hi CO CQ Ω tr 0 Φ tr rt H μ- Ω ft) ft) M Ω 3 ft) o 0 3 3 3 rt Φ Ω rt Φ CO
Ω 3 φ φ Hi μ- tr
0 ft)
3 rt to 3 Hi LQ Φ μ- rt ri tr 3 3 rt LO ft) 3 3 ft) to
3 ii 3 LO Φ ft) X
3 f) P. r t 1 t i tr Φ- rt φ rt rt oo μ- ii "<_ ti H 03 to tr r μ1 £ O LQ Φ £ to CQ φ Φ ~ μ- Φ r P-
Φ o 03 o ø? rt IO 3
Φ 0 H ft) μ> 3 Hi LO 0 Hi LQ Φ øf
3 • ø
Φ o 1 >. 3 o
3 Φ Φ rt 3 o Φ ^ *<
frequency is the frequency of the applied RF power. Alternatively, the coils 2960, 2965 may be driven separately at different frequencies. FIG. 30 is a top sectional view of the embodiment of FIG. 29. FIGS. 31A and 3IB are front and side sectional views, respectively, corresponding to FIG. 30.
The pair of mutually orthogonal coils 2960, 2965 may be replaced by any number n of separately driven coils with their winding axes disposed at 360/n degrees apart. For example, FIG. 32 illustrates the case where the two coils 2960, 2965 are replace by three coils 3210, 3220, 3230 with winding axes placed at 120 degree intervals and driven by three respective RF supplies 3240, 3250, 3260 through respective impedance match circuits 3241, 3251, 3261. In order to produce a rotating torroidal plasma current, the three windings 3210, 3220, 3230 are driven 120 degrees out of phase from a common power source 3310 as illustrated in FIG. 33. The embodiments of FIGS. 32 and 33 are preferred over the embodiment of FIG. 29 having only two coils, since it is felt much of the mutual coupling between coils would be around rather than through the vertical conduit 2980.
FIG. 34 illustrates an embodiment in which the three coils are outside of the enclosed region 2950, while their inductances are coupled into the enclosed region 2950 by respective vertical magnetic cores 3410 extending through the conduit 2980. Each core 3410 has one end extending above the conduit 2980 around which a respective one of the coils 3210, 3220, 3230 is wound. The bottom of each core
3410 is inside the enclosed region 2950 and has a horizontal leg. The horizontal legs of the three cores 3410 are oriented at 120 degree intervals to provide inductive coupling to the interior of the plenum 2910 similar to that provided by the three coils inside the enclosed region as in FIG 32.
The advantage of the flattened rectangular tube enclosures of the embodiments of FIGS. 18-28 is that the broad width and relatively low height of the tube enclosure forces the torroidal plasma current to be a wide thin belt of plasma that more readily covers the entire surface of a large diameter wafer. The entirety of the tube enclosure need not be of the maximum width. Instead the outer section of the tube enclosure farthest from the chamber interior may be necked down, as discussed above with reference to the embodiment of FIG. 20. In this case, it is preferable to provide focusing magnets 1870 at the transition corners between the wide portion 1851 and the narrow section 1852 to force the plasma current exiting the narrow portion 1852 to spread entirely across the entire width of the wide section 1851. If it is desired to maximize plasma ion density at the wafer surface, then it is preferred that the cross- sectional area of the narrow portion 1852 be at least nearly as great as the cross-sectional area of the wide portion 1851. For example, the narrow portion 1852 may be a passageway whose height and width are about the same while the wide portion 1851 may have a height that is less than its width.
The various embodiments described herein with air-core coils (i.e., coils without a magnetic core) may instead employ magnetic-cores, which can be the open-magnetic-path type (Arod@ type cores) or the closed-magnetic-core type illustrated in the accompanying drawings. Furthermore, the various embodiments described herein having two or more torroidal paths driven with different RF frequencies may instead be driven with same frequenct, and with the same or different phases.
FIG. 35 is a version of the embodiment of FIG. 17 in which the mutually transverse hollow conduits are narrowed as in the embodiment of FIG. 20.
FIG. 36 is a version of the embodiment of FIG. 24 but employing a pair of magnetic cores 3610, 3620 with respective windings 3630, 3640 therearound for connection to respective RF power sources.
FIG. 37 is an embodiment corresponding to that of FIG. 35 but having three instead of two re-entrant conduits with a total of six re-entrant ports to the chamber. Having a number of symmetrically disposed conduits and re-entrant ports greater than two (as in the embodiment of FIG. 37) is believed to be particularly advantageous for processing wafers of diameter of 300 mm and greater.
FIG. 38 is an embodiment corresponding to that of FIG. 38 but having three instead of two re-entrant conduits with a total of six re-entrant ports to the chamber.
FIG. 39 is an embodiment corresponding to that of FIG. 35 in which the external conduits join together in a common plenum 3910.
FIG. 40 is an embodiment corresponding to that of FIG. 36 in which the external conduits join together in a common plenum 4010.
FIG. 41 is an embodiment corresponding to that of FIG. 37 in which the external conduits join together in a common plenum 4110.
FIG. 42 is an embodiment corresponding to that of FIG. 38 in which the external conduits join together in a common plenum 4210.
FIG. 43 is an embodiment corresponding to that of FIG. 17 in which the external conduits join together in a common plenum 4310.
Advantageous Features of the Invention:
The reactor of the invention affords numerous opportunities for increasing etch selectivity without sacrificing other performance features such as etch rate. For example, constricting the torroidal plasma current in the vicinity of the wafer not only improves etch selectivity but at the same time increases the etch rate by increasing the plasma ion density. It is believed no prior reactor has increased etch selectivity by the same mechanism that increases etch rate or plasma ion density over the workpiece .
Improving etch selectivity by constricting the torroidal plasma current in the vicinity of the wafer or workpiece can be achieved in the invention in any one of several ways. One way is to reduce the pedestal-to-ceiling or wafer-to-ceiling height. Another is to introduce a gas distribution plate or showerhead over the wafer that constricts the path of the torroidal plasma ion current. Another way is to increase the RF bias power applied to the wafer or workpiece. Any one or any combination of the foregoing ways of improving etch selectivity may be chosen by the skilled worker in carrying out the invention.
Etch selectivity may be further improved in the invention by injecting the reactive process gases locally (i.e., near the wafer or workpiece) while injecting an inert diluent gas (e.g., Argon) remotely (i.e., into the conduit or plenum) . This is preferably accomplished by providing a gas distribution plate or showerhead directly over and facing the workpiece support and introducing the reactive process gas exclusively (or at least predominantly) through the showerhead. Concurrently, the diluent gas is injected into the conduit well away from the process region overlying the wafer or workpiece . The torroidal plasma current thus becomes not only a source of plasma ions for reactive ion etching of materials on the wafer but, in addition, becomes an agent for sweeping away the reactive process gas species and their plasma-dissociated progeny before the plasma- induced dissociation process is carried out to the point of creating an undesirable amount of free fluorine. This Φ TJ 3 φ Φ
CQ CQ 3 J Φ Φ Φ ^~. i Xi JJ CQ
3 -H O -3 _3 3 > φ J J JJ rH 3 CQ tn 3 Φ J Φ 3 CQ CQ
© φ 3 Φ H 0 φ 3 Φ tn _3 TJ 3 -H -H JJ £ rH 4H 0 XI 3
03 CD rH 03 3 JJ -. -H TJ CQ Φ Xi rd 0 3 0 u
03 rti r-l i JJ O 0 Φ • CQ • Φ O _3 JJ Φ JJ Φ U 3 TJ 3 3 . rd Φ
© φ JJ 3 rH XI 3 rH r-l CQ ,3 tn 3 JJ rd CQ r-\ JJ Φ A A rti .3 0 4H -H Φ Φ u 3 Φ TJ 3 rd o (ti JJ jJ • 0 -3 0 J ε 3 3
3 ■3 Φ u JJ Xi JJ r O tn 4H Λ 03 Φ 0
O rd A -H υ JJ 3 3 Φ υ tn rH 3 -. H JJ A 3 JJ Φ 3 tti rti (ti 4H JJ £
H 3 !> JJ 0 -3 3 -H cπ TJ Φ 3 — ' 4-1 3 υ Φ 3 JJ 03 TJ 3 Φ JJ 3 3 & JJ rA rti -H U ft 0 TJ 3 α. a Φ £ 3 3 ε Φ Φ o -3 3 O rd 3 Φ 0 A 0 0 Φ 3 ft £ CQ 3
JJ rd 3 3 3 o -H φ -H Φ Φ X) _> Φ 3 -H • -H JJ Φ 3 3 £ JJ > 3 Φ 3 0
Φ -3 0 JJ 3 Tj Φ JJ TJ 5 3 φ 3 Φ tn 3 3 3 0 JJ Φ 0 Φ ε TJ Φ Φ i> Φ JJ JJ 3 φ Λ X O JJ 3 >i TJ ,3 Φ Φ 0 Φ 0 TJ U 3 3 3 Φ υ JJ -H rd Xi TJ 0
-H > 3 03 υ i-l φ Φ CQ rd -H _3 § 3 -rl TJ CJ Φ Φ ε XI Φ TJ 0 JJ &
JJ -H 3 JJ Φ -3 ft < A 0 ε 03 03 JJ 3 CQ Φ 3 3 Φ 3 >* JJ ε ε 0 3 3 JJ ϋ JJ ϋ -H ,3 3 XI !>ι Φ rA tn JJ Xi J 3 rH -ri H 0 A 3 rd rA 3 rd η X! 4H 0 crj (ti -3 i-i JJ 3 ε (ti (ti TJ u rti 3 3 Xi υ Φ φ ft TJ rH JJ 3 3 Φ 0 o -H g O -3 tn
Φ r-1 H -rl Φ 03 3 ε ε 3 Φ 3 3 O Φ tn CQ J 3 3 & υ 3 3 JJ 4H TJ Φ rd 3
3 Φ -H Λ 0 & 3 3 3 Φ CQ Φ 3 iH £ 3 0 0 X 0 • £ 4H Φ -H -H JJ 3 -3
3 3 -H JJ O ri Φ 3 ITS Xi 0 Φ tϋ -H 0 A rA Φ υ Φ JJ φ 0 3 J JJ JJ 3 Φ Φ CQ 3 £ TJ
Φ tn X Pi ft JJ φ 3 J-> H 3 JJ J 3 (ti υ A -H £ 3 3 -H 3 tn 3 rd xi CQ -3
Xi _ . -H Φ 3 3 rH 3 0 υ O 3 0 O _3 ε 0 CQ !>ι 3 CQ 3 CQ (ti Φ 3 0 Jj 3
JJ JJ CQ rA Φ ^ rA rd ft Φ 3 JJ rd rd o JJ Φ - rH JJ TJ -H O rd tn 03 rti JJ rH 0
-H 4-1 .5 φ rd JJ 3 Φ υ ,3 3 Φ Φ Φ 0 3 -3 rH Φ 3 3 • φ rA 3 3 J) ft 3
4H i> rd 0 > Tj Φ 3 3 -H re Φ JJ Φ tn XJ Φ 3 3 0 Φ JJ ft Xi 0 -H Φ TJ -H Φ 3 ft o -H JJ ft O -H Xi O rd 3 Φ Xi 3 JJ xi 0 -H υ 3 CJ JJ -H rH 3 -H >i 3 -3 4H
JJ ., <rj Λ O JJ 0 3 JJ 3 ι -H JJ O JJ TJ O Φ rA J ft O > 3 TJ 3 TJ 0 φ r CQ φ Φ 3 3 JJ H 3 -H Φ Λ TJ Tj υ Φ Φ U CQ 3 «. 3 3 CJ 0 Φ Φ 3 Φ XI ε Φ rA 3 O 3 -. -H -H Φ tn 3 -. _3 3 3 J Φ 3 Φ A J JJ υ 0 3 i> i υ Φ i
-H i-l rti Cn 3 TJ O CQ 3 O Φ -H Φ JJ TJ Φ rd 3 i J 3 JJ CJ Φ -H CJ 0 CJ J ft CQ υ JJ TJ
JJ Φ -H 3 Φ JJ JJ TJ υ Φ 3 rd CQ rd 3 J O JJ 3 -H A 0 Φ rA -H rti -H rd X -3 Φ
03 03 O CQ 3 3 _s ε rd 3 O X JJ -H Φ 3 JJ JJ 3 4H JJ 3 rH ε φ £ >
Φ φ Φ CQ CQ Φ Φ O 3 0 Φ O 4-1 φ -H Φ T 3 -H φ 3 4H 3 rH Φ 0 4H & 03 3 Φ
CJ ,3 JJ Tj 3 Xi ε U K _3 Φ JJ 4-1 3 _P 3 03 0 3 TJ 0 Φ Φ 3 -H 0 ε (ti O -3
3 CJ rd -H cd u JJ -H -. JJ i 3 -H rti ^^ TJ 3 -3 4H JJ tn 3 JJ CQ JJ tn JJ 0 rA rA 4H xi
Φ J 3 t 3 CQ j rH φ JJ Φ 3 CQ 3 3 0 φ 3 rd 3 3 3 0 rti 3 Φ U ft A -3 u
Ti Φ 0 03 -H o 0 (ti r-l • 0 JJ TJ CQ Φ O O 3 rd 3 Φ £ O Φ -rl ε ε Φ υ U <ϋ 3 rd
-H 3 3 rd TJ JJ 3 a ε jJ Tj J 3 -H 3 υ -3 3 3 Φ 3 3 A Xi Φ > (ti rd rH 3 3
CQ Φ Φ ft H 3 3 B 3 3 rd o 0 CQ 3 A 3 Tj rd J -. υ 3 rti 3 4H rti Φ CQ
Φ ,3 Xi Pi CQ TJ φ Φ rd 3 Tj rd rH CJ >ϊ U Φ 3 TJ Φ JJ 3 Φ Φ 3 -H 3 CQ Tj 4H • -H
3 jJ jJ 3 < , Φ JJ X φ Φ JJ Xi Φ 3 JJ CJ 03 TJ £ 3 03 rd 3 -H -H φ JJ
0 0 P rA X Φ ri 3 ε Φ Φ -H 3 J JJ 3 3 TJ 0 Φ 0 0 XI -H Φ 03 0 3 3 Φ
Φ CQ -3 cϋ ft 3 Φ ft -3 3 Xi Xi rH O X 0 3 3 rd rA ft 3 4H ^ > Xi CQ 3 ft Φ u
_3 φ TJ JJ • 3 rd 3 4-1 3 JJ JJ -H — 3 Φ ? 3 £ υ ft 4H Φ Φ - JJ φ -3 3 £ 3
JJ u 3 3 4-1 JJ O ε φ 0 3 3 Φ _3 Φ Φ 03 (ti 3 3 3 JJ A Xi 0 Xi -3 4H
3 rd Φ O 3 O _3 Cu 0 O rH 3 = -H Φ φ 3 tn A Φ (ti (ti 3 Φ Φ Φ 3 -. JJ H JJ jJ TJ 3
3 3 !> Φ 3 JJ O ft H 3 X 3 _5 3 3 JJ 3 rH £ (TJ A A Φ >i J 0 3
-H ,3 JJ 3 3 3 . jJ Φ Φ 0 JJ -3 0 ft & ϊri IS i 3 rH 3 Φ -H _q 03
3 CQ -H O 3 rH O JJ -H CQ 3 TJ rH υ Φ tn Φ JJ CJ JJ 03 rd rd 3 r Φ . X! £ £
3 Φ -H -H 3 Φ JJ -H 3 -H 0 3 4-1 _P 3 XX 3 rH 3 -H 3 xi 3 rd > φ JJ φ 3
0 03 Φ JJ υ i> . TJ .. — . 3 J *^-' -3 Φ υ (ti φ JJ • 3 o J 3 0 CJ 3 Φ
© -H CQ Φ Xi 3 -3 (ti TJ 0 3 3 JJ tn 3 -H ! 3 ε -H TJ ε 3 03 JJ -H φ tn 3 4H
JJ Φ 3 EH U rd JJ 3 φ JJ 0 3 -3 3 φ JJ 3 rd 3 -H JJ -H -H 3 Φ H JJ 3 rd pr-, rd -H 3 tn Φ 3
U -3 0 -3 3 U 3 rA o 3 3 -H Φ JJ φ O _3 3 TJ Φ 0 TJ 3 A _5 ra ε ε £
JJ Φ TJ r-l 03 A a -3 3 i &
3 ϋ JJ i-H CQ 3 Φ ft X3. rd 3 -H Φ 0 3 3 o Φ JJ Tj O CQ 03 i ft -H 4J
TJ φ 0 Pi rd TJ JJ 3 X φ jJ 3 -H Φ tn tn TJ jJ n tn 3 π JJ 3 3 ε rti rti rd 3 (ti i 0 φ
© Φ Pi Xi Pi r-l 3 3 0 φ _3 3 0 φ O 3 3 Φ 3 3 £ 3 0 £ 3 3 0 rH rA rH rA 0 X! 3 3 xi
O 3 CQ PI rd Pi -H (ti CJ 3 jJ 3 U u JJ tn £ 3 (ti 3 Φ £ JJ φ 3 4H u 3 ft ft ft 5 i 3 JJ
Figure imgf000035_0001
mutually transverse or orthogonal torroidal plasma currents that intersect in the process region over the wafer. The torroidal plasma currents flow in directions mutually offset from one another by 360/n. Each of the torroidal plasma currents may be shaped as a broad belt of plasma to cover a very large diameter wafer. Each one of the torroidal plasma currents may be powered by a separate coil antenna aligned along the direction of the one torroidal plasma current. In one preferred embodiment, uniformity is enhanced by applying RF signals of different phases to the respective coil antennas so as to achieve a rotating torroidal plasma current in the process region overlying the wafer. In this preferred embodiment, the optimum structure is one in which the torroidal plasma current flows in a circularly continuous plenum communicating with the main chamber portion through a circularly continuous annular opening in the ceiling or side wall. This latter feature allows the entire torroidal plasma current to rotate azimuthally in a continuous manner.
While the invention has been described in detail by specific reference to preferred embodiments, it is understood that variations and modifications thereof may be made without departing from the true spirit and scope of the invention.

Claims

What is claimed is :
1. A plasma chamber defining an evacuated interior environment for processing a substrate, said chamber comprising: a substrate support ; an apertured gas distribution plate in spaced facing relationship to the substrate support, and adapted to flow process gases into the chamber interior environment adjacent the substrate support, said gas distribution plate and substrate support defining a substrate processing region therebetween; a hollow conduit having respective ends opening into said substrate processing region on opposite sides of said gas distribution plate, with the interior of said conduit sharing the interior environment; said conduit being adapted to accept irradiation by an RF field of processing gases within the conduit to sustain a plasma in a path extending around the conduit interior and across the substrate processing region within said chamber interior environment .
2. The chamber of claim 1, in which said path is re- entrant .
3. The chamber of claim 1, in which said path is torroidal .
4. The chamber of claim 1, in which a plasma current circulates around said path.
5. The plasma chamber of Claim 1 wherein the cross sectional area of the conduit substantially exceeds that of the substrate processing region.
6. The plasma chamber of Claim 1 wherein the plasma ion density is substantially uniform across the substrate support .
7. The plasma chamber of Claim 1 wherein induced electric field lines of the plasma extend across the substrate plasma processing region from one of the conduit ends to the other.
8. The plasma chamber of Claim 7 wherein the electric field lines are generally parallel.
9. The plasma chamber of Claim 8 wherein the electric field strength is evenly distributed across the substrate plasma processing region.
10. The plasma chamber of Claim 1 wherein the conduit includes an insulating gap generally midway between said respective ends to preclude the conduit from presenting a continuous conductive path between said ends.
11. The plasma chamber of Claim 1 wherein said conduit has an outer diameter which is a significant fraction of the diameter of the chamber.
12. The plasma chamber of Claim 1 wherein each end of said conduit has a transverse dimension which is at least as great as that of said substrate support .
13. The plasma chamber of Claim 1 further comprising an RF power applicator.
14. The plasma chamber of Claim 3 wherein said applicator comprises an RF inductive source.
15. The plasma chamber of Claim 14 wherein said inductive source is adjacent said conduit.
16. The plasma chamber of Claim 1 further comprising a bias RF power source coupled to said substrate support.
17. The plasma chamber of Claim 1 further comprising a gas injection inlet to said conduit.
18. The plasma chamber of claim 17 in which said gas injection inlet is adapted to flow diluent gases, and said gas distribution plate is adapted to flow primarily reactive process gases.
19. The plasma chamber of Claim 1 wherein said gas distribution plate is adapted to flow different mixtures of inert and reactive process gases at different radial locations .
PCT/US2001/025505 2000-08-11 2001-08-13 Externally excited torroidal plasma source WO2002015650A2 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2002519385A JP5204941B2 (en) 2000-08-11 2001-08-13 Toroidal plasma chamber excited from outside
KR1020037002020A KR100809889B1 (en) 2000-08-11 2001-08-13 Plasma chamber with externally excited torroidal plasma source
EP01964028A EP1307896A2 (en) 2000-08-11 2001-08-13 Externally excited torroidal plasma source

Applications Claiming Priority (14)

Application Number Priority Date Filing Date Title
US09/637,174 2000-08-11
US09/637,174 US6551446B1 (en) 2000-08-11 2000-08-11 Externally excited torroidal plasma source with a gas distribution plate
US09/636,700 2000-08-11
US09/636,435 US6494986B1 (en) 2000-08-11 2000-08-11 Externally excited multiple torroidal plasma source
US09/636,436 2000-08-11
US09/636,699 US6348126B1 (en) 2000-08-11 2000-08-11 Externally excited torroidal plasma source
US09/636,435 2000-08-11
US09/638,075 US7094316B1 (en) 2000-08-11 2000-08-11 Externally excited torroidal plasma source
US09/636,700 US6453842B1 (en) 2000-08-11 2000-08-11 Externally excited torroidal plasma source using a gas distribution plate
US09/636,434 2000-08-11
US09/636,436 US6410449B1 (en) 2000-08-11 2000-08-11 Method of processing a workpiece using an externally excited torroidal plasma source
US09/636,699 2000-08-11
US09/638,075 2000-08-11
US09/636,434 US6468388B1 (en) 2000-08-11 2000-08-11 Reactor chamber for an externally excited torroidal plasma source with a gas distribution plate

Publications (2)

Publication Number Publication Date
WO2002015650A2 true WO2002015650A2 (en) 2002-02-21
WO2002015650A3 WO2002015650A3 (en) 2002-06-20

Family

ID=27569863

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2001/025505 WO2002015650A2 (en) 2000-08-11 2001-08-13 Externally excited torroidal plasma source

Country Status (4)

Country Link
EP (1) EP1307896A2 (en)
JP (1) JP5204941B2 (en)
KR (1) KR100809889B1 (en)
WO (1) WO2002015650A2 (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2003105182A2 (en) * 2002-06-05 2003-12-18 Applied Materials, Inc. Externally excited torroidal plasma source with magnetic control of ion distribution
WO2004042773A2 (en) * 2002-10-30 2004-05-21 Applied Materials Inc. Resonant structure with spatially distinct plasma secondaries using a single generator and switching elements
JP2004165594A (en) * 2002-11-11 2004-06-10 Samsung Electronics Co Ltd Method and device for gas plasma production, gas composition for plasma production, and semiconductor device manufacturing method utilizing it
US7744721B2 (en) 2004-04-13 2010-06-29 Hitachi High-Technologies Corporation Plasma processing apparatus

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7223676B2 (en) * 2002-06-05 2007-05-29 Applied Materials, Inc. Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer
KR101246869B1 (en) * 2005-03-15 2013-03-25 베리안 세미콘덕터 이큅먼트 어소시에이츠, 인크. Profile adjustment in plasma ion implantation
FR2886051B1 (en) * 2005-05-20 2007-08-10 Commissariat Energie Atomique METHOD FOR DETACHING THIN FILM
ATE543199T1 (en) * 2005-05-23 2012-02-15 New Power Plasma Co Ltd PLASMA CHAMBER WITH DISCHARGE INDUCING BRIDGE
JP5257917B2 (en) * 2006-04-24 2013-08-07 株式会社ニューパワープラズマ Inductively coupled plasma reactor with multiple magnetic cores
US7732309B2 (en) * 2006-12-08 2010-06-08 Applied Materials, Inc. Plasma immersed ion implantation process
US7871828B2 (en) * 2007-02-06 2011-01-18 Applied Materials, Inc. In-situ dose monitoring using optical emission spectroscopy
RU2007105087A (en) * 2007-02-12 2008-08-20 Борис Федорович Полторацкий (RU) PLASMA ENERGY CONVERTER AND ELECTROMAGNETIC VORTEX REACTOR FOR ITS IMPLEMENTATION
US7989329B2 (en) * 2007-12-21 2011-08-02 Applied Materials, Inc. Removal of surface dopants from a substrate
US7968439B2 (en) * 2008-02-06 2011-06-28 Applied Materials, Inc. Plasma immersion ion implantation method using a pure or nearly pure silicon seasoning layer on the chamber interior surfaces
US7713757B2 (en) * 2008-03-14 2010-05-11 Applied Materials, Inc. Method for measuring dopant concentration during plasma ion implantation
US8742665B2 (en) * 2009-11-18 2014-06-03 Applied Materials, Inc. Plasma source design
JP2011040786A (en) * 2010-10-25 2011-02-24 Hitachi High-Technologies Corp Plasma treatment apparatus
KR101241049B1 (en) 2011-08-01 2013-03-15 주식회사 플라즈마트 Plasma generation apparatus and plasma generation method
US20140272108A1 (en) * 2013-03-15 2014-09-18 Plasmability, Llc Toroidal Plasma Processing Apparatus
JP2015215942A (en) * 2014-05-07 2015-12-03 国立大学法人金沢大学 Plasma generator and plasma generation method
KR20180000721A (en) 2015-05-21 2018-01-03 플라즈마빌리티, 엘엘씨 A toroidal plasma processing apparatus having a shaped workpiece support
JP2022007611A (en) * 2020-06-26 2022-01-13 東京エレクトロン株式会社 Device for forming plasma, device for processing substrate, and method for forming plasma

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4431898A (en) * 1981-09-01 1984-02-14 The Perkin-Elmer Corporation Inductively coupled discharge for plasma etching and resist stripping
EP0546852A1 (en) * 1991-12-13 1993-06-16 Hughes Aircraft Company Methods and apparatus for generating a plasma for "downstream" rapid shaping of surfaces of substrates and films
US5542559A (en) * 1993-02-16 1996-08-06 Tokyo Electron Kabushiki Kaisha Plasma treatment apparatus
WO1999000823A1 (en) * 1997-06-26 1999-01-07 Applied Science And Technology, Inc. Toroidal low-field reactive gas source

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11135297A (en) * 1997-10-31 1999-05-21 Kumagai Hiromi Plasma generator
WO2001011650A1 (en) * 1999-08-06 2001-02-15 Advanced Energy Industries, Inc. Inductively coupled ring-plasma source apparatus for processing gases and materials and method thereof

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4431898A (en) * 1981-09-01 1984-02-14 The Perkin-Elmer Corporation Inductively coupled discharge for plasma etching and resist stripping
EP0546852A1 (en) * 1991-12-13 1993-06-16 Hughes Aircraft Company Methods and apparatus for generating a plasma for "downstream" rapid shaping of surfaces of substrates and films
US5542559A (en) * 1993-02-16 1996-08-06 Tokyo Electron Kabushiki Kaisha Plasma treatment apparatus
WO1999000823A1 (en) * 1997-06-26 1999-01-07 Applied Science And Technology, Inc. Toroidal low-field reactive gas source

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2003105182A2 (en) * 2002-06-05 2003-12-18 Applied Materials, Inc. Externally excited torroidal plasma source with magnetic control of ion distribution
WO2003105182A3 (en) * 2002-06-05 2004-03-04 Applied Materials Inc Externally excited torroidal plasma source with magnetic control of ion distribution
WO2004042773A2 (en) * 2002-10-30 2004-05-21 Applied Materials Inc. Resonant structure with spatially distinct plasma secondaries using a single generator and switching elements
WO2004042773A3 (en) * 2002-10-30 2004-07-29 Applied Materials Inc Resonant structure with spatially distinct plasma secondaries using a single generator and switching elements
CN100466155C (en) * 2002-10-30 2009-03-04 应用材料有限公司 Method to drive spatially separate resonant structure with spatially distinct plasma secondaries using a single generator and switching elements
KR101038165B1 (en) 2002-10-30 2011-05-31 어플라이드 머티어리얼스, 인코포레이티드 Resonant structure with spatially distinct plasma secondaries using a single generator and switching elements
JP2004165594A (en) * 2002-11-11 2004-06-10 Samsung Electronics Co Ltd Method and device for gas plasma production, gas composition for plasma production, and semiconductor device manufacturing method utilizing it
US7744721B2 (en) 2004-04-13 2010-06-29 Hitachi High-Technologies Corporation Plasma processing apparatus
US8231759B2 (en) 2004-04-13 2012-07-31 Hitachi High-Technologies Corporation Plasma processing apparatus

Also Published As

Publication number Publication date
KR100809889B1 (en) 2008-03-06
JP2004506339A (en) 2004-02-26
JP5204941B2 (en) 2013-06-05
EP1307896A2 (en) 2003-05-07
KR20030029130A (en) 2003-04-11
WO2002015650A3 (en) 2002-06-20

Similar Documents

Publication Publication Date Title
US6348126B1 (en) Externally excited torroidal plasma source
US6410449B1 (en) Method of processing a workpiece using an externally excited torroidal plasma source
US6551446B1 (en) Externally excited torroidal plasma source with a gas distribution plate
WO2002015650A2 (en) Externally excited torroidal plasma source
US6453842B1 (en) Externally excited torroidal plasma source using a gas distribution plate
US6468388B1 (en) Reactor chamber for an externally excited torroidal plasma source with a gas distribution plate
US6494986B1 (en) Externally excited multiple torroidal plasma source
US6939434B2 (en) Externally excited torroidal plasma source with magnetic control of ion distribution
US5938883A (en) Plasma processing apparatus
US7094316B1 (en) Externally excited torroidal plasma source
US5683548A (en) Inductively coupled plasma reactor and process
US5824605A (en) Gas dispersion window for plasma apparatus and method of use thereof
US6806437B2 (en) Inductively coupled plasma generating apparatus incorporating double-layered coil antenna
JP4216243B2 (en) Helical resonator type plasma processing equipment
JP3701390B2 (en) Plasma enhanced chemical treatment reactor
US7430984B2 (en) Method to drive spatially separate resonant structure with spatially distinct plasma secondaries using a single generator and switching elements
JP2011029645A (en) Tunable multi-zone gas injection system
MXPA97000586A (en) Plasma reactor inductively coupled process to manufacture a semiconduc device
JPH05206072A (en) Apparatus and method for plasma working using inductive rf coupling
US20070017897A1 (en) Multi-frequency plasma enhanced process chamber having a toroidal plasma source
JP2012049376A (en) Plasma processing apparatus and plasma processing method
WO2002097937A1 (en) Inductively coupled high-density plasma source
US6136140A (en) Plasma processing apparatus
US20160225584A1 (en) Plasma processing systems including side coils and methods related to the plasma processing systems
USRE40963E1 (en) Method for plasma processing by shaping an induced electric field

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): JP KR SG

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE TR

121 Ep: the epo has been informed by wipo that ep was designated in this application
AK Designated states

Kind code of ref document: A3

Designated state(s): JP KR SG

AL Designated countries for regional patents

Kind code of ref document: A3

Designated state(s): AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE TR

DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
WWE Wipo information: entry into national phase

Ref document number: 1020037002020

Country of ref document: KR

WWE Wipo information: entry into national phase

Ref document number: 2002519385

Country of ref document: JP

WWE Wipo information: entry into national phase

Ref document number: 2001964028

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 1020037002020

Country of ref document: KR

WWP Wipo information: published in national office

Ref document number: 2001964028

Country of ref document: EP