CN102134709A - 成膜装置 - Google Patents

成膜装置 Download PDF

Info

Publication number
CN102134709A
CN102134709A CN2010106218100A CN201010621810A CN102134709A CN 102134709 A CN102134709 A CN 102134709A CN 2010106218100 A CN2010106218100 A CN 2010106218100A CN 201010621810 A CN201010621810 A CN 201010621810A CN 102134709 A CN102134709 A CN 102134709A
Authority
CN
China
Prior art keywords
mentioned
gas
treatment zone
universal stage
reactant gases
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2010106218100A
Other languages
English (en)
Other versions
CN102134709B (zh
Inventor
加藤寿
本间学
竹内靖
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN102134709A publication Critical patent/CN102134709A/zh
Application granted granted Critical
Publication of CN102134709B publication Critical patent/CN102134709B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45538Plasma being used continuously during the ALD cycle
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Manufacturing & Machinery (AREA)
  • General Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本发明提供一种成膜装置。该成膜装置在真空容器内使载置有多个晶圆的旋转台旋转,晶圆依次与供给到第1和第2处理区域中的第1和第2反应气体接触,在晶圆的表面形成薄膜,设有进行使第1反应气体吸附于晶圆表面的处理的第1处理区域、及面积大于该第1处理区域的面积的、进行使第2反应气体与吸附在晶圆表面的第1反应气体发生化学反应的处理的第2处理区域,与吸附相比,能够将化学反应的处理时间确保得较长,即使提高旋转台的转速,也能够充分地进行与金属吸附相比需要更长时间的化学反应而进行良好的成膜处理。

Description

成膜装置
技术领域
本发明涉及一种在真空容器内使载置有多个基板的旋转台旋转而上述基板依次与供给到多个不同的处理区域中的反应气体接触、在上述基板的表面形成薄膜的成膜装置。
背景技术
在半导体工艺中,作为对半导体晶圆(以下称作“晶圆”)等基板进行成膜处理、蚀刻处理等真空处理的装置的一个例子,公知有以下装置。该装置是沿着真空容器的周向设置晶圆的载置台、在载置台的上方侧设置多个处理气体供给部、将多个晶圆载置在旋转台上一边使其公转一边进行真空处理的所谓的批量式的装置。该装置在进行向晶圆交替供给第1反应气体和第2反应气体来层叠原子层或分子层的、例如被称作ALD(Atomic Layer Deposition)、MLD(Molecular Layer Deposition)等的方法的情况下较为适合。
在该装置中,为了使第1和第2反应气体在晶圆上不混合,要求分离这些反应气体。例如在专利文献1(韩国公开编号10-2009-0012396号,下同)中记载有以下构造。在该构造中,在与基座相对地设置的簇射头状的气体喷射部分别设置第1原料气体用和第2原料气体用的气体供给区域(气体供给孔)。并且,为了防止这些原料气体混合,自第1和第2原料气体的气体供给区域之间和气体喷射部的中心供给吹扫气体。另外,以围着上述基座的方式设置的排气槽部被分隔壁划分为两个,第1原料气体和第2原料气体分别从互不相同的排气槽部被排出。
另外,在专利文献2(日本特表2008-516428号,下同)中还记载有以下构造。在该构造中,在与基板保持件相对地设置的腔室上部,呈放射状设置有供给第1前体用的气体的吸气区域、排出该第1前体用的气体的排气区域、供给第2前体用的气体的吸气区域、排出该第2前体用的气体的排气区域。在该例子中,通过具有分别与第1和第2前体用的气体的吸气区域相对应的排气区域,来分离第1和第2前体用的气体。另外,通过向相邻的前体区域的排气区域相互之间吸入吹扫气体,来实现分离第1和第2前体用的气体。
但是,如上所述,在将基板载置于基座等并使该基座等旋转的构造中,在基座的转速恒定的情况下,处理区域的面积越大,处理时间越长。因而,在第1和第2反应气体相互之间反应速度不同的情况下,若各自的处理区域的面积相同,反应速度较快的反应气体则会充分地进行反应。但是,反应速度较慢的反应气体有可能以处理时间不足、反应不充分的状态移动到下一个处理区域。在ALD、MLD的方法中,第1反应气体吸附于基板表面的吸附反应、吸附的第1反应气体被第2反应气体氧化的氧化反应交替重复多次,但与第1反应气体的吸附反应相比,氧化反应花费时间。因此,在氧化反应未充分进行的状态下,执行下一个第1反应气体的吸附反应时,结果有可能导致所得到的薄膜的膜质降低。
为了使反应速度较慢的气体也充分地进行反应,通过减小转速或者增多反应气体的流量,能够改良该状况。但是,从生产率和反应气体的节省化的方面考虑,该方法并非上策。另外,在上述专利文献1、专利文献2的构造中,也未考虑使用反应速度不同的多种气体而在使基板的转速为高速的状态下形成膜质良好的薄膜。因而,利用专利文献1、专利文献2的构造也难以解决后述的本发明的课题。
另外,在这些专利文献1、专利文献2的装置中,自与基座、基板保持件相对地设置的气体供给部将原料气体、前体用的气体与吹扫气体一起朝向下方侧的基板供给。在此,在欲采用吹扫气体将不同的原料气体等相互分离时,该吹扫气体和原料气体会在基板的表面混合,原料气体被吹扫气体稀释。因此,在使基座、基板保持件高速旋转时,第1反应气体的浓度降低,有可能无法使第1反应气体可靠地吸附于晶圆。另外,第2反应气体的浓度降低,无法使第1反应气体充分地氧化而形成杂质较多的膜,结果有可能无法形成膜质良好的薄膜。
在专利文献3(国际公开WO2009/017322A1,下同)的构造中,如该文献中的图4所示,从原料气体簇射头270a供给第1反应气体。而且,通过设置在与该原料气体簇射头270a相对的位置、且与原料气体簇射头270a相同面积的簇射头270b供给第2反应气体。另外,从被簇射头270a和簇射头270b夹着的面积较大的相对区域270c供给非活性气体。这些气体经由在隔板中均等地配置在其整个一周上的多个开口236a、236b而从图5所示的排气通路238a、238b被排出,该隔板围着载置有该文献中的图3所示的6张晶圆W而旋转的旋转台的外周。通过采取这样的构造,在相对地配置有簇射头270a、270b的相同面积的处理空间中,第1、第2反应气体进行反应。
在专利文献4(美国专利6,932,871号,下同)的构造中,如该文献中的图2所示,载置有6张基板的旋转台802在与基板相对地配置的簇射头的下方旋转来执行工艺。另外,进行处理的空间被非活性气体的气帘204A、B、C、D、E、F分割为均等的面积大小的处理空间。
在专利文献5(美国公开专利2006/0073276A1,下同)的构造中,如该文献中的图8所示,两种不同的反应气体从相对地配置的两个狭缝200、210被导入到相同面积尺寸的处理区域中。上述反应气体自包围这些相同面积的处理区域的排气区域220、230连通于设置在装置上方的真空排气部件而被排出。
在专利文献6(美国公开专利2008/0193643A1,下同)的构造中,公开有利用4张分隔板72、74、68、70的位置来决定真空室的内部空间的技术。作为第1发明实施例,表示有这些分隔板通过旋转中心并呈直线状相对配置的实施例。如表示第1发明的该文献中的图2和图4所示,第1反应气体90经由气体导入管112、116被导入到将真空室内一分为四而成的空间76的内部。而且,气体从第2反应气体供给***92被导入到与该空间76相对地配置的相同面积的四分之一的空间80中。另外,被这些相对地配置且面积相等的处理空间夹着的空间82、84成为导入有非活性气体的空间。另外,如图3A所示,该真空室内经由朝上地设置在旋转中心上方的排气通路42被真空泵46排出。
另一方面,根据上述专利文献6的说明书的表示第2发明实施例的图8,分隔壁在真空室内部的处理空间中自一分为四的位置移动到不均等分割的位置。结果,成为相对地配置的空间80a、76a的面积较大、空间82a、78a的面积较小的空间构造。
另外,根据专利文献6的图9,成为相对地配置的空间80b的面积较小、空间76a的面积较大的空间构造。均是使分隔板移动来改变空间面积的实施例。在该构造中,为了将供给到多个处理空间中的反应气体分离而不使两者混合,用非活性气体将被相邻的分隔板围成的空间内填满。
根据与专利文献6的这些附图相对应的说明书的详细说明中的段落0061~段落0064,使分区68b、70b、72b、74b移动而构成适合工艺的面积的空间。但是,通过整个专利文献6可以证明以下方面。即,(1)真空室内的空间构造是利用物理的分区制作壁,向由该壁围成的空间中流入并填满反应气体、非活性气体的方式。(2)排气方法是位于旋转中心的上方排气。(3)并不是高速旋转所需的、防止反应气体相互反应的技术,而是能够适于低速(20~30rpm)的技术。
因此,利用上述专利文献3~专利文献6的技术,也无法解决以下的本发明的课题。即,利用上述专利文献3~专利文献6的技术,在提高旋转台的转速的情况下,无法抑制第1和第2反应气体混合、且无法充分地进行第1反应气体的吸附反应和第2反应气体的氧化反应来进行良好的成膜处理。
发明内容
本发明提供一种促进每旋转一周的ALD成膜反应而使每旋转一周的膜厚较大的成膜装置。并且,本发明提供一种即使高速旋转也能够维持该每旋转一周的膜厚的生长速度、得到与转速相应的膜厚而能够进行品质更高的成膜的成膜装置。
本发明是一种成膜装置,该成膜装置在真空容器内使载置有多个基板的旋转台旋转,上述基板依次与供给到多个不同的处理区域中的反应气体接触,在上述基板的表面形成薄膜。
该成膜装置具有以下构造。即,设有反应气体供给部,该反应气体供给部与上述旋转过程中的基板附近相对地设置在上述处理区域中,用于朝向上述基板的方向供给反应气体。还设有分离气体供给部,该分离气体供给部向设置在上述多个处理区域之间的分离区域内供给用于防止上述不同的反应气体互相反应的分离气体。还设有排气机构,在上述多个处理区域的各自外侧,该排气机构在与上述旋转台的外周方向相对应的范围中设有排气口,将供给到上述处理区域的反应气体和供给到上述分离区域的分离气体经由上述处理区域引导到上述排气口,该排气机构连通于上述排气口而进行排气。上述多个处理区域还包括进行使第1反应气体吸附于基板表面的处理的第1处理区域。上述多个处理区域还包括第2处理区域,该第2处理区域的面积大于该第1处理区域的面积,在该第2处理区域中进行使吸附在基板表面的上述第1反应气体和第2反应气体发生反应而在上述基板表面成膜的处理。
采用本发明,将使该基板表面的第1反应气体和第2反应气体发生反应而成膜的第2处理区域的面积设定得大于进行使第1反应气体吸附于基板表面的处理的第1处理区域。结果,与第1和第2反应气体的反应区域均等(两者的处理面积相同)的情况相比,能够将成膜处理的处理时间确保得较长。因此,每旋转一周的膜厚生长变厚,通过在维持该每旋转一周的成膜膜厚的状态下提高旋转台的转速,能够确保较高的成膜速度,而且,能够进行膜质良好的成膜处理。
附图说明
图1是表示本发明实施方式的成膜装置的纵截面的图3中的I-I′剖视图。
图2是表示上述成膜装置的内部的概略构造的立体图。
图3是上述成膜装置的横剖俯视图。
图4A、4B是表示上述成膜装置中的处理区域和分离区域的纵剖视图。
图5是表示上述成膜装置的一部分的纵剖视图。
图6是表示上述成膜装置的一部分的俯视图。
图7是表示分离气体或吹扫气体的流动情形的说明图。
图8是上述成膜装置的局部剖立体图。
图9是表示第1反应气体和第2反应气体被分离气体分离而被排出的情形的说明图。
图10是表示本发明的另一例子的成膜装置的横剖俯视图。
图11是表示上述成膜装置所采用的等离子体产生机构的立体图。
图12是表示上述等离子体产生机构的剖视图。
图13是表示本发明的又一例子的成膜装置的横剖俯视图。
图14A、图14B是表示本发明的又一例子的成膜装置的一部分的剖视图。
图15A、图15B是表示上述成膜装置所采用的喷嘴罩的立体图和俯视图。
图16A、图16B是用于说明上述喷嘴罩的作用的剖视图。
图17是表示设有喷头和隔板的例子的图。
图18是表示采用本发明的成膜装置的基板处理***的一个例子的概略俯视图。
图19A、图19B、图20A、图20B、21A、21B是表示为了确认本发明的效果而进行的评价实验的结果的特性图。
具体实施方式
如图1(图3中的I-I′的剖视图)所示,本发明的实施方式的成膜装置包括平面(俯视)形状为大致圆形的扁平的真空容器1。该成膜装置还包括设置在该真空容器1内且在该真空容器1的中心具有旋转中心的旋转台2。真空容器1的顶板11能够自容器主体12分离。顶板11利用内部的减压状态夹着密封构件、例如O型密封圈13被压靠向容器主体12侧而维持气密状态,但在使顶板11自容器主体12分离时,利用未图示的驱动机构将顶板11向上方抬起。
旋转台2的中心部被固定于圆筒形状的芯部21,该芯部21被固定在沿着铅垂方向延伸的旋转轴22的上端。旋转轴22贯穿真空容器1的底面部14,其下端安装于驱动部23,该驱动部23使该旋转轴22绕铅垂轴线、在该例子中顺时针方向旋转。旋转轴22和驱动部23被收纳在上表面开口的筒状的壳体20内。该壳体20的设置在其上表面的凸缘部分被气密地安装在真空容器1的底面部14的下表面,维持壳体20的内部气氛与外部气氛的气密状态。
如图2及图3所示,在旋转台2的表面部沿着旋转方向(周向)设有圆形的凹部24,该凹部24用于载置多张、例如5张作为基板的晶圆。另外,为了方便起见,在图3中仅在1个凹部24绘有晶圆W。在此,图4A、4B是沿着同心圆剖切旋转台2并将其横向展开来表示的展开图,如图4A所示,凹部24的直径比晶圆的直径稍大例如4mm。其深度被设定为与晶圆的厚度相等的尺寸。因而,在将晶圆落入到凹部24时,晶圆的表面与旋转台2的表面(未载置有晶圆的区域)对齐。在晶圆表面与旋转台2的表面之间的高度差较大时,利用该台阶部分降低气体的吹扫效率,改变气体的滞留时间。结果,由于气体的浓度存在梯度,因此,从使膜厚的面内均匀性一致的方面考虑,优选使晶圆表面和旋转台2的表面的高度一致。使晶圆表面和旋转台2的表面的高度一致是指相同高度或者两表面之差在5mm以内,但优选与加工精度等相应地使两表面的高度差尽量趋近零。在凹部24的底面形成有通孔(未图示),该通孔供用于支承晶圆的背面而使该晶圆升降的例如后述的3根升降销16(参照图7)贯穿。
该凹部24用于将晶圆定位,使晶圆不会因随着旋转台2的旋转产生的离心力而飞出。但是,基板载置区域(晶圆载置区域)并不限定于凹部,例如也可以是在旋转台2的表面沿着晶圆的周向排列有多个引导晶圆周缘的引导构件的构造。或者,在利用静电吸盘等吸盘机构将晶圆吸附于旋转台2侧的情况下,利用该吸附而载置有晶圆的区域成为基板载置区域。
如图2及3所示,在真空容器1中,在分别与旋转台2中的凹部24的通过区域相对的位置延伸有第1反应气体喷嘴31和第2反应气体喷嘴32与两根分离气体喷嘴41、42。第1反应气体喷嘴31和第2反应气体喷嘴32及两根分离气体喷嘴41、42在真空容器1的周向(旋转台2的旋转方向)上互相隔开间隔地自中心部呈放射状延伸。这些反应气体喷嘴31、32和分离气体喷嘴41、42例如安装在真空容器1的侧周壁上。另外,作为反应气体喷嘴31、32和分离气体喷嘴41、42的基端部的气体导入件31a、32a、41a、42a贯穿该侧周壁。气体喷嘴31、32、41、42在图示的例子中从真空容器1的周壁部导入到真空容器1内,但也可以从后述的环状的突出部5导入。在这种情况下,可以采用以下的构造。即,设置在突出部5的外周面和顶板11的外表面开口的L字形的导管。而且,在真空容器1内,将气体喷嘴31(32、41、42)连接于L字形导管的一个开口。另外,在真空容器1的外部,将气体导入件31a(32a、41a、42a)连接于L字形导管的另一个开口。
反应气体喷嘴31、32分别连接于作为第1反应气体的BTBAS(双叔丁基氨基硅烷)气体的气体供给源和作为第2反应气体的O3(臭氧)气体的气体供给源(均未图示)。分离气体喷嘴41、42均连接于作为分离气体的N2气体(氮气)的气体供给源(未图示)。在该例子中,第2反应气体喷嘴32、分离气体喷嘴41、第1反应气体喷嘴31和分离气体喷嘴42按照该顺序向顺时针方向排列。
用于向下方侧喷出反应气体的喷出孔33沿着喷嘴长度方向隔开间隔地排列在反应气体喷嘴31、32中。在该例子中,各气体喷嘴的喷出口的口径为0.5mm,沿着各喷嘴的长度方向隔开例如10mm间隔地排列。反应气体喷嘴31、32分别相当于第1反应气体供给部和第2反应气体供给部,其下方区域分别成为用于使BTBAS气体吸附于晶圆的第1处理区域P1和用于使O3气体吸附于晶圆的第2处理区域P2。这样,各气体喷嘴31、32、41、42朝向上述旋转台2的旋转中心地配置,构成以直线状排列有多个气体喷出孔(喷出口)的喷射器。
而且,这些反应气体喷嘴31、32分别自处理区域P1、P2中的顶部离开地设置在上述旋转台2上的附近,分别对旋转台2上的晶圆W供给反应气体。在此,所谓反应气体喷嘴31、32分别自处理区域P1、P2的顶部离开并设置在上述旋转台2上的附近包括以下构造。即,是在反应气体喷嘴31、32的上表面与处理区域P1、P2的顶部之间形成有供气体流动的空间的构造即可。更具体地讲,包含反应气体喷嘴31、32的上表面与处理区域P1、P2的顶部之间的间隔大于反应气体喷嘴31、32的下表面与旋转台2表面之间的间隔的构造。此外,也包含两个间隔大致相同的构造。并且,也包含反应气体喷嘴31、32的上表面与处理区域P1、P2的顶部之间的间隔小于反应气体喷嘴31、32的下表面与旋转台2的表面之间的间隔的构造。
用于向下方侧喷出分离气体的喷出孔40沿着长度方向隔开间隔地穿设在上述分离气体喷嘴41、42中。在该例子中,各气体喷嘴的喷出口的口径为0.5mm,沿着各喷嘴的长度方向隔开例如10mm间隔地排列。这些分离气体喷嘴41、42形成分离气体供给部。分离气体供给部向设置在上述第1处理区域P1与第2处理区域P1之间的分离区域D中供给用于防止第1反应气体和第2反应气体互相反应的分离气体。
如图2~图4B所示,在该分离区域D中的真空容器1的顶板11中设有凸状部4。凸状部4具有在周向上分割以旋转台2的旋转中心为中心且沿着真空容器1的内周壁附近描画的圆而成的构造。另外,凸状部4具有平面形状为扇形且向下方突出的构造。在该例子中,分离气体喷嘴41、42被收容在槽部43内,该槽部43形成为在上述凸状部4的上述圆的周向中央沿着该圆的径向延伸。即,从分离气体喷嘴41(42)的中心轴线到作为凸状部4的扇形两缘(旋转方向上游侧的缘及下游侧的缘)的距离被设定为相同的长度。另外,槽部43在本实施方式中形成为将凸状部4二等分。另一方面,在其他实施方式中,例如也可以将槽部43形成为从槽部43看来凸状部4中的旋转台2的旋转方向上游侧宽于上述旋转方向下游侧。因而,在分离气体喷嘴41、42的上述周向两侧存在作为上述凸状部4的下表面的例如平坦且较低的顶面44(第1顶面)。在该顶面44的上述周向两侧存在比该顶面44高的顶面45(第2顶面)。该凸状部4的作用在于,在该凸状部4与旋转台2之间形成用于阻止第1反应气体和第2反应气体进入而阻止这些反应气体混合的狭窄的空间、即分离空间。
即,以分离气体喷嘴41为例,凸状部4阻止O3气体从旋转台2的旋转方向上游侧进入。另外,凸状部4阻止BTBAS气体从旋转方向下游侧进入。下面,对“阻止气体进入”进行说明。从分离气体喷嘴41喷出的分离气体、即N2气体扩散到第1顶面44与旋转台2的表面之间。在该例子中吹入到与该第1顶面44相邻的第2顶面45的下方侧空间中,由此,来自该相邻空间的气体无法进入。而且,“气体无法进入”并不仅意味着完全无法从相邻空间进入到凸状部4的下方侧空间的情况。即,也意味着虽然进入一些、但能够确保从两侧分别进入的O3气体和BTBAS气体在凸状部4内不会混合的状态的情况。只要能获得该作用,就能够发挥分离区域D的作用、即第1处理区域P1的气氛和第2处理区域P2的气氛的分离作用。因而,狭窄的空间的狭窄程度被设定为狭窄的空间(凸状部4的下方空间)与相邻于该空间的区域(在该例子中是第2顶面45的下方空间)的压力差能够确保“气体无法进入”作用那样程度的大小。其具体的尺寸可以说由于凸状部4的面积等的不同而不同。另外,吸附于晶圆的气体当然能够在分离区域D内通过,阻止气体进入是指阻止气相中的气体进入。
这样,在该例子中,第1处理区域P1和第2处理区域P2被分离区域D互相划分。具有第1顶面44的凸状部4的下方侧区域成为分离区域,凸状部4的周向两侧的具有第2顶面45的区域成为处理区域。在该例子中,第1处理区域P1形成在分离气体喷嘴41中的、与旋转台2的旋转方向下游侧相邻的区域中。第2处理区域P2形成在分离气体喷嘴41中的、与旋转台2的旋转方向上游侧相邻的区域中。
在此,第1处理区域P1是使金属吸附于晶圆W表面的区域,在该例子中,利用BTBAS气体吸附作为金属的硅。另外,第2处理区域P2是引起上述金属的化学反应的区域。化学反应中包含例如金属的氧化反应、氮化反应,但在该例子中利用O3气体进行硅的氧化反应。另外,这些处理区域P1、P2也可以是指反应气体扩散的扩散区域。
另外,第2处理区域P2的面积被设定得大于第1处理区域P1的面积。这一点如上所述,在第1处理区域P1中,利用第1反应气体吸附金属(硅),在第2处理区域P2中,利用第2反应气体对在第1处理区域P1中形成的金属进行化学反应。而且,这些第1反应气体和第2反应气体的反应形态存在差异,其原因在于吸附反应的反应速度快于化学反应的反应速度。
第1反应气体供给部的特征是一种喷射器,该喷射器包括朝向旋转台2上的晶圆W表面喷出第1反应气体、同时作为气体供给装置的呈直线状排列的喷出孔。
另外,在配置有第1反应气体供给部并将扇形的扇轴作为轴线展开的扇形的第1处理区域P1中,第1反应气体到达晶圆W表面时立即吸附于晶圆W表面。因此,能够将该第1处理区域P1形成为面积较小的空间。相对于此,第2处理是以存在预先附着于晶圆W表面的第1反应气体为前提的处理。作为具体的实施例,能够列举出氧化工艺、氮化工艺、High-K膜的成膜工艺。这些反应的共同点是第2处理在晶圆W表面上的各个反应中需要时间的工艺。因而,在第2处理区域P2中,在旋转台2的旋转方向的前半部分供给来的第2反应气体遍布整个第2处理区域P2、在面积较大的区域P2的全长中继续进行反应是很重要的。这样,在面积大于供给有上述第1反应气体的第1处理区域的、供给有上述第2反应气体的第2处理区域中,上述晶圆W会在上述第2反应气体中花费很长时间进行表面反应的同时通过。
在此,本发明人等发现,越进行第2处理,结果得到的成膜膜厚越厚,结果,每旋转一周的膜厚越厚,实现了本发明。相反,在使第1和第2处理区域P1、P2的面积相等时,在第2处理区域P2中的成膜反应未充分进行的状态下,随着旋转台2的旋转,晶圆W进入到相邻的分离区域D中,在该分离区域D中,到达晶圆W表面的第2反应气体会被分离气体扫除。因此,不进行进一步的成膜、氧化(氮化)工艺。即,会在每旋转一周的晶圆W上的成膜膜厚较薄的状态下一点一点地反复成膜来积攒膜厚,与以往的成膜装置相同。
这样,在本发明中,通过弄清楚第1和第2反应气体各自所起的作用和有助于反应的特性,为了增厚每旋转一周的成膜厚度而形成为更高效的面积比,从而能够增加每旋转一周的成膜量。因而,在增厚每旋转一周的成膜膜厚,使旋转台2以120rpm~140rpm这样的高速旋转的情况下,也能够维持该成膜膜厚。因而,能够形成为越使旋转台2高速旋转、成膜速度越高这样的适合批量生产的成膜装置。相对于此,在以往的批量式旋转式的成膜装置中,通常20rpm~30rpm是转速的极限,难以进行更高速的旋转。
另外,本发明人为了获得本发明的效果,将供给有分离气体的分离区域D中的旋转台2的外周侧和与其相对应的真空容器的侧壁之间的间隙实质上抑制成气体无法流动那样的程度。结果,在分离区域D中供给的分离气体沿着旋转方向横穿相邻的处理区域内部,朝向设置在处理区域的旋转台外周方向上的排气口形成气流,从连通于排气口的真空泵被真空排出。
另外,形成了如下结构:即使在高速旋转的状态下也能够维持防止多种不同的反应气体互相反应的分离气体的分离区域D。并且,通过自旋转台2的旋转中心供给分离气体,在分离区域D的旋转中心方向上,分离气体横穿旋转中心,形成横贯真空容器的所谓的气帘。于是,成功地开发出一种在高速旋转的状态下也能够维持多种不同的反应气体分离的技术。下面,对这些方面也进行说明。
如上所述,在进行第1反应气体的吸附的第1处理区域P1中,即使面积没有那么大,也能够充分地进行吸附处理。另一方面,为了充分地进行化学反应,需要处理时间,因此,使第2处理区域的面积大于第1处理区域P1的面积,需要花费处理时间。另外,在第1处理区域P1过大时,高价的第1反应气体在该区域P1中扩散,未吸附就被排出的量增多,必须增加气体的供给量。从这个方面考虑,在第1处理区域P1中面积较小的方式比较有利。
另外,在第1和第2处理区域P1、P2中,反应气体喷嘴31、32最好分别设置在旋转方向的中央部、或者比该中央部靠近沿着该旋转方向的前半部分(旋转方向上游侧)。其目的是为了使供给到晶圆W的反应气体的成分充分地吸附于晶圆W、或者使已经吸附于晶圆W的反应气体的成分与新供给到晶圆W的反应气体充分地反应。在该例子中,第1反应气体喷嘴31设置在第1处理区域P1中的上述旋转方向的大致中央部,第2反应气体喷嘴32设置在第2处理区域P2中的上述旋转方向上游侧。
另一方面,在顶板11的下表面,与比旋转台2的芯部21靠外周侧的部位相对地且沿着该芯部21的外周设置有突出部5。该突出部5与凸状部4中的上述旋转中心侧的部位连续地形成,该突出部5的下表面如图5所示那样形成为稍稍低于凸状部4的下表面(顶面44)。这样将突出部5的下表面形成得低于凸状部4的下表面是为了在旋转台2的中心部确保压力平衡,并且上述中心部的驱动间隙小于旋转台2的周缘侧的驱动间隙即可。图2及图3在比上述顶面45低且比分离气体喷嘴41、42高的位置将顶板11水平切断来表示。另外,突出部5和凸状部4并不必限定为一体,也可以彼此独立。
对于凸状部4和分离气体喷嘴41(42)的组合构造的制作方法,并不限于在形成凸状部4的1张扇形板的中央形成槽部43而在该槽部43内配置分离气体喷嘴41(42)的构造。也可以是使用两张扇形板,在分离气体喷嘴41(42)的两侧位置利用螺栓固定等固定于顶板主体的下表面的构造等。
真空容器1的顶板11的下表面、即从旋转台2的晶圆载置区域(凹部24)看到的顶面如上所述那样在周向上存在第1顶面44和比该顶面44高的第2顶面45。在图1中表示了设有高的顶面45的区域的纵截面,在图5中表示了设有低的顶面44的区域的纵截面。如图2及图5所示,扇形的凸状部4的周缘部(真空容器1的外缘侧部位)与旋转台2的外端面相对地弯曲成L字形而形成弯曲部46。由于扇形的凸状部4设置于顶板11侧并能够自容器主体12拆卸,因此,在上述弯曲部46的外周面与容器主体12之间存在微小的间隙。该弯曲部46也是以与凸状部4同样地出于防止反应气体从两侧进入而防止两种反应气体混合的目的而设置的。考虑到旋转台2的热膨胀,弯曲部46的内周面和旋转台2的外端面之间的间隙被设定为约10mm。另一方面,弯曲部46的外周面和容器主体12之间的间隙被设定为顶面44距旋转台2的表面的高度h1相同的尺寸。考虑到热膨胀等,为了确保防止两种反应气体混合这样的目的,它们优选被设定在适当的范围内。在该例子中,能够从旋转台2的表面侧区域看到弯曲部46的内周面构成真空容器1的侧壁(内周壁)。
容器主体12的内周壁在分离区域D中如图5所示那样接近上述弯曲部46的外周面地形成为垂直面。另一方面,在处理区域P1、P2中,如图1所示那样成为例如从与旋转台2的外端面相对的部位到整个底面部14而其纵截面形状被切削掉矩形并向外方侧凹陷的构造。即,上述分离区域D中的旋转台2和上述真空容器的内周壁之间的间隙SD被设定得小于上述处理区域P1、P2中的旋转台2和上述真空容器的内周壁之间的间隙SP。在此,在分离区域D中,由于弯曲部46的内周面如上所述那样构成真空容器1的内周壁,因此,如图5所示,上述间隙SD相当于弯曲部46的内周面和旋转台2之间的间隙。另外,若将上述凹陷的部分称作排气区域6,则上述间隙SP如图1及图7所示那样相当于排气区域6和旋转台2之间的间隙。另外,在上述分离区域D中的上述间隙SD被设定得小于上述处理区域P1、P2中的上述间隙SP的情况下,如图6所示,也包含凸状部4的一部分进入到排气区域6侧的情况。另外,在该例子中,在分离区域D中,上述弯曲部46的内周面构成真空容器1的内周壁。但是,该弯曲部46并不是必需的。在未设置弯曲部46的情况下,分离区域D中的旋转台2和真空容器1的内周壁之间的间隙被设定得小于处理区域P1、P2中的旋转台2和真空容器1的内周壁之间的间隙。
如图1及图3所示,在上述排气区域6的底部例如设有两个排气口(第1排气口61和第2排气口62)。这些第1和第2排气口61、62分别经由排气管63连接于作为真空排气机构的例如共用的真空泵64。另外,在图1中,附图标记65是压力调整机构,其既可以设置在每个排气口61、62中,也可以被排气口61、62共用。
上述第1排气口61在第1处理区域P1的外侧被设置在旋转台2的外方侧的、与旋转台2的外周方向对应的范围中。上述第1排气口61例如被设置在第1反应气体喷嘴31和在上述旋转方向下游侧与该反应气体喷嘴31相邻的分离区域D之间。另外,上述第2排气口62在第2处理区域P2的外侧设置在旋转台2的外方侧的、与旋转台2的外周方向对应的范围中。上述第2排气口62例如被设置在第2反应气体喷嘴32和在上述旋转方向下游侧与该反应气体喷嘴32相邻的分离区域D之间。这是为了更可靠地发挥分离区域D的分离作用,俯视看来在上述分离区域D的上述旋转方向两侧设有排气口61、62。第1排气口61专用于排出第1反应气体,第2排气口62专用于排出第2反应气体。
在此,如图3所示,第1和第2排气口61、62优选分别设置在处理区域中的旋转方向下游侧。第2反应气体喷嘴32设置在第2处理区域P2中的旋转台2的旋转方向上游侧。结果,从该反应气体喷嘴32供给来的反应气体在该处理区域P2内从旋转台2的旋转方向上游侧朝向下右侧流动。这样,反应气体就会没有遗漏地遍布在该处理区域P2内。由此,晶圆W在面积较大的第2处理区域P2内通过时,能够使该晶圆W表面充分地与第2反应气体接触而进行化学反应。
另外,第1处理区域P1比第2处理区域P2狭窄。因而,即使像该实施方式这样使第1反应气体喷嘴31处于处理区域P1的旋转台2的旋转方向大致中央,反应气体也会充分遍布在处理区域P1内,能够充分地进行金属层的吸附反应。另外,该第1反应气体喷嘴31也可以设置在旋转台2的旋转方向上游侧。
排气口的设置数量并不限定于两个。例如也可以还在包含分离气体喷嘴42的分离区域D和在上述旋转方向下游侧与该分离区域D相邻的第2反应气体喷嘴32之间设置排气口,既可以为3个,也可以是4个以上。在该例子中,排气口61、62通过设置在比旋转台2低的位置而从真空容器1的内周壁与旋转台2的周缘之间的间隙进行排气。但是,排气口61、62并不限于设置在真空容器1的底面部,也可以设置在真空容器1的侧壁上。另外,在排气口61、62设置于真空容器1的侧壁上的情况下,也可以设置在比旋转台2高的位置。通过这样设置排气口61、62,旋转台2上的气体能朝向旋转台2的外侧流动,因此,相比于从与旋转台2相对的顶面进行排气的情况,从抑制微粒扬起这样的方面考虑较为有利。
如图1和图5所示,在上述旋转台2与真空容器1的底面部14之间的空间中设有作为加热部件的加热器单元7。加热器单元7隔着旋转台2将旋转台2上的晶圆加热到由工艺制程程序决定的温度。在上述旋转台2的周缘附近的下方侧,以整周围着加热器单元7的方式设有罩构件71。该罩构件71是为了划分从旋转台2的上方空间到排气区域6的气氛和放置有加热器单元7的气氛而设置的。如图5所示,在分离区域D中,上述罩构件71由块构件71a、71b形成。这样,在分离区域D中,减小块构件71a、71b的上表面与旋转台2的下表面之间的间隙,抑制气体从外方进入到旋转台2的下方侧。另外,通过这样在弯曲部46的下方侧设置块构件71b,能够进一步抑制分离气体流到旋转台2的下方侧,因此更加理想。另外,如图5所示,也可以在块构件71a的整个上表面和加热器单元7的整个上表面载置用于保持加热器单元7的保护板7a。由此,假使BTBAS气体、O3气体流入到设有加热器单元7的空间中,也能够保护加热器单元7。该加热器单元7例如优选由石英制成。另外,在其他图中省略描画保护板7a。
比配置有加热器单元7的空间靠近旋转中心的部位中的底面部14在旋转台2的下表面的中心部附近接近芯部21,在底面部14和芯部21之间形成狭小的空间。另外,对于贯穿该底面部14的旋转轴22的通孔,其内周面与旋转轴22的间隙也变窄,这些狭小的空间与上述壳体20内连通。而且,在上述壳体20内设有用于向上述狭小的空间内供给作为吹扫气体的N2气体来进行吹扫的吹扫气体供给管72。在真空容器1的底面部14上,在加热器单元7的下方侧位置中的周向的多个部位还设有用于吹扫加热器单元7的配置空间的吹扫气体供给管73。
如图7中以箭头表示吹扫气体的流动所示,通过这样设置吹扫气体供给管72、73,从壳体20内到加热器单元7的配置空间的空间被N2气体吹扫。该吹扫气体自旋转台2与罩构件71之间的间隙经由排气区域6被排气口61、62排出。由此,防止BTBAS气体或O3气体从上述第1处理区域P1和第2处理区域P2中的一个经由旋转台2的下方蔓延到另一个中。因此,该吹扫气体也能够起到分离气体的作用。
在真空容器1的顶板11的中心部还连接有分离气体供给管51,其能够向顶板11与芯部21之间的空间52中供给作为分离气体的N2气体。供给到该空间52中的分离气体经由上述突出部5与旋转台2的狭小的间隙50沿着旋转台2的晶圆载置区域侧的表面朝向周缘被喷出。由于在由该突出部5围成的空间中充满了分离气体,因此,能够防止反应气体(BTBAS气体或O3气体)在第1处理区域P1和第2处理区域P2之间经由旋转台2的中心部混合。即,该成膜装置为了将第1处理区域P1和第2处理区域P2的气氛分离而被旋转台2的旋转中心部和真空容器1划分。而且,包括中心部区域C,该中心部区域C被分离气体所吹扫,并且沿着上述旋转方向形成有向该旋转台2的表面喷出分离气体的喷出口。另外,这里所说的喷出口相当于上述突出部5和旋转台2的狭小的间隙50。该中心部区域C相当于从旋转台2的旋转中心向真空容器内供给分离气体的旋转中心供给用的分离气体供给部。
如图2、图3及图8所示,在真空容器1的侧壁上,面向第2处理区域P2地还形成有用于在外部的输送臂10与旋转台2之间交接作为基板的晶圆的输送口15。该输送口15能够利用设置在输送路径中的未图示的闸阀打开或关闭。另外,作为旋转台2中的晶圆载置区域的凹部24能够在面向该输送口15的位置在其与输送臂10之间交接晶圆W。因而,在旋转台2的下方侧的与该交接位置相对应的部位设有用于贯穿凹部24而从背面抬起晶圆的交接用的升降销16的升降机构(未图示)。
该实施方式的成膜装置还设有用于控制整个装置的动作的、由计算机构成的控制部100,在该控制部100的存储器内存储有用于运行装置的程序。该程序为了执行后述的装置动作而编有步骤组,其能够自硬盘、光盘、光磁盘、存储卡、软盘等存储介质安装于控制部100内。
在此,对于成膜装置的各部分尺寸的一个例子,以将直径300mm的晶圆W作为被处理基板、采用BTBAS气体作为第1反应气体、采用O3气体作为第2反应气体的情况为例进行说明。另外,旋转台2的转速例如设定为1rpm~500rpm左右。例如旋转台2的直径为
Figure BSA00000411369800211
另外,在与自旋转中心离开140mm的突出部5之间的边界部位,凸状部4的周向长度(与旋转台2同心的圆的圆弧长度)例如为146mm。在晶圆的载置区域(凹部24)的最外侧部位,凸状部4的周向长度例如为502mm。另外,如图4A所示,若在该外侧部位自分离气体喷嘴41(42)的两侧分别位于左右的凸状部4的周向长度看作L,则长度L为246mm。
而且,第1处理区域P1和第2处理区域P2的尺寸根据凸状部4的配置来调整。例如对于第1处理区域P1,在与自旋转中心离开140mm的突出部5之间的边界部位,第1处理区域P1的周向长度(与旋转台2为同心圆的圆弧长度)例如为146mm。在晶圆的载置区域(凹部24)的最外侧部位,第1处理区域P1的周向长度例如为502mm。对于第2处理区域P2,在与自旋转中心离开140mm的突出部5之间的边界部位,第2处理区域P2的周向长度(与旋转台2同心的圆的圆弧长度)例如为438mm。在晶圆的载置区域(凹部24)的最外侧部位,第2处理区域P2的周向长度例如为1506mm。
另外,如图4A所示,凸状部4的下表面、即顶面44距旋转台2表面的高度h1例如也可以为0.5mm~10mm,优选为约4mm。上述分离区域D中的旋转台2和上述真空容器的内周壁之间的间隙SD优选更窄。但是,考虑到旋转台2的旋转间隙、加热旋转台2时的热膨胀,例如也可以为0.5mm~20mm,优选为约10mm。
另外,如图4A所示,处理区域P1、P2的顶面45距旋转台2表面的高度h2例如为15mm~100mm,例如为32mm。并且,处理区域P1、P2中的反应气体喷嘴31、32分别自处理区域P1、P2的顶面45离开,设置在上述旋转台2上的附近。此时的反应气体喷嘴31、32的上表面距顶面45的高度h3例如为10mm~70mm。处理区域P1、P2中的反应气体喷嘴31、32的下表面距旋转台2的高度h4例如为0.2mm~10mm。该反应气体喷嘴31、32例如其前端位于突出部5附近,为了向处理区域P1、P2的整个径向喷出反应气体而形成有喷出孔33。
实际上,根据反应气体的种类和流量、旋转台2的转速的使用范围等工艺条件,第1处理区域P1和第2处理区域P2的尺寸、用于确保充分的分离功能的分离区域D的尺寸有所不同。因此,与上述工艺条件相应地,例如根据实验等设定以下数值。在此设定的数值是凸状部4的尺寸、用于决定第1处理区域P1和第2处理区域P2的凸状部4的设置部位、凸状部4的下表面(第1顶面44)距旋转台2表面的高度h1、处理区域P1、P2的旋转台2的表面距第2顶面45的高度h2、反应气体喷嘴31、32的上表面距第2顶面45的高度h3、反应气体喷嘴31、32的下表面距旋转台2的高度h4、上述分离区域D中的旋转台2和上述真空容器的内周壁之间的间隙SD。
另外,也可以将第2处理区域P2的旋转台2的表面距第2顶面45的高度h2设定得大于第1处理区域P1的旋转台2的表面距第2顶面45的高度h2。并且,反应气体喷嘴31、32的上表面距第2顶面45的高度h3、反应气体喷嘴31、32的下表面距旋转台2的高度h4也可以设定为在第1处理区域P1和第2处理区域P2之间互不相同的高度。
另外,分离气体并不限定于N2气体,可以采用Ar气体等惰性气体。分离气体并不限定于非活性气体,也可以是氢气等,只要不影响成膜处理,气体的种类就没有特别的限定。
接着,说明上述实施方式的作用。首先,打开未图示的闸阀,利用输送臂10将晶圆从外部经由输送口15交接到旋转台2的凹部24内。该交接通过在凹部24停止在面向输送口15的位置时升降销16如图8所示那样从真空容器的底面侧穿过凹部24底面的通孔升降来进行。使旋转台2间断地旋转来进行该晶圆W的交接,将晶圆W分别载置于旋转台2的5个凹部24内。接着,利用真空泵64将真空容器1内抽真空成预先设定的压力,并且一边使旋转台2顺时针旋转、一边利用加热器单元7加热晶圆W。详细地讲,旋转台2被加热器单元7预先加热至例如300℃,晶圆W通过载置于该旋转台2而被加热。在利用未图示的温度传感器确认到晶圆W的温度成为设定温度之后,分别从第1反应气体喷嘴31和第2反应气体喷嘴32喷出BTBAS气体和O3气体,并从分离气体喷嘴41、42喷出作为分离气体的N2气体。
晶圆W利用旋转台2的旋转而交替通过设有第1反应气体喷嘴31的第1处理区域P1和设有第2反应气体喷嘴32的第2处理区域P2。因此,BTBAS气体吸附而形成硅的分子层,接着,O3气体吸附而硅层被氧化,形成有1层或者多层氧化硅的分子层。这样,氧化硅的分子层依次层叠,形成规定膜厚的氧化硅膜。
此时,也从分离气体供给管51供给作为分离气体的N2气体,由此,N2气体从中心部区域C、即从突出部5和旋转台2的中心部之间沿着旋转台2的表面喷出。在该例子中,在沿着配置有反应气体喷嘴31、32的、第2顶面45的下方侧空间的容器主体12的内周壁上,如上所述,内周壁被切掉而扩宽,排气口61、62位于该宽阔空间的下方。结果,第2顶面45的下方侧的空间压力低于第1顶面44的下方侧的狭窄的空间和上述中心部区域C的各压力。图9示意性地表示从各部位喷出气体时的气流状态。
在第1处理区域P1中,从第1反应气体喷嘴31向下方侧喷出的BTBAS气体碰到旋转台2的表面(晶圆W的表面和晶圆W的非载置区域的表面这两者)而沿着该表面朝向第1排气口61流动。此时,BTBAS气体与从邻接于该旋转方向上游侧和下游侧的扇形的凸状部4喷出的N2气体和从中心部区域C喷出的N2气体一同自旋转台2的周缘和真空容器1的内周壁之间的间隙SP经由排气区域6被第1排气口61排出。这样供给到第1处理区域P1的第1反应气体和N2气体经由第1处理区域P1并经由第1排气口61被排出。
另外,从第1反应气体喷嘴31向下方侧喷出的、遇到旋转台2的表面而沿着该表面朝向旋转方向下游侧的BTBAS气体利用从中心部区域C喷出的N2气体的流动和第1排气口61的吸引作用而欲朝向该排气口61。但是,其一部分朝向在下游侧与其相邻的分离区域D,欲流入到扇形的凸状部4的下方侧。但是,该凸状部4的顶面44的高度和周向长度在包含各气体流量等的运行时的工艺参数中被设定为能够防止气体进入到该顶面44的下方侧那样的尺寸。因此,也如图4B所示,BTBAS气体即使几乎无法流入或者少量流入到扇形的凸状部4的下方侧,也无法到达分离气体喷嘴42附近。BTBAS气体被从分离气体喷嘴42喷出的N2气体被吹回到旋转方向上游侧、即第1处理区域P1侧。然后,BTBAS气体与从中心部区域C喷出的N2气体一同自旋转台2的周缘和真空容器1的内周壁之间的间隙SP经由排气区域6被第1排气口61排出。这样从中心部区域C喷出的分离气体经由第1处理区域P1并从第1排气口61被排出。
在第2处理区域P2中,从第2反应气体喷嘴32向下方侧喷出的O3气体沿着旋转台2的表面朝向第2排气口62流动。此时,O3气体与从邻接于该旋转方向上游侧和下游侧的扇形的凸状部4喷出的N2气体和从中心部区域C喷出的N2气体一同流入到旋转台2的周缘和真空容器1的内周壁之间的排气区域6,被第2排气口62排出。这样供给到第2处理区域P2的第2反应气体和N2气体经由第2处理区域P2并被第2排气口62排出。
在第2处理区域P2中,O3气体即使几乎无法流入或者少量流入到扇形的凸状部4的下方侧,也无法到达分离气体喷嘴41附近。O3气体被从分离气体喷嘴41喷出的N2气体吹回到旋转方向上游侧、即第2处理区域P2侧。然后,O3气体与从中心部区域C喷出的N2气体一同自旋转台2的周缘和真空容器1的内周壁之间的间隙经由排气区域6被第2排气口62排出。这样从中心部区域C喷出的分离气体经由第2处理区域P2并从第2排气口62被排出。
这样,在各分离区域D中,阻止在气氛中流动的作为反应气体的BTBAS气体或O3气体进入。另一方面,吸附于晶圆的气体分子保持原样地通过分离区域、即扇形的凸状部4的低的顶面44的下方,有助于成膜。另外,第1处理区域P1的BTBAS气体(第2处理区域P2的O3气体)欲进入到中心部区域C内。但是,如图7及图9所示,分离气体从该中心部区域C朝向旋转台2的周缘被喷出。因此,利用该分离气体,能够阻止第1处理区域P1的BTBAS气体(第2处理区域P2的O3气体)进入、或者即使进入一些也会被吹回。因而,能够阻止第1处理区域P1的BTBAS气体(第2处理区域P2的O3气体)通过该中心部区域C而流入到第2处理区域P2(第1处理区域P1)。
而且,在分离区域D中,扇形的凸状部4的周缘部向下方弯曲,弯曲部46和旋转台2的外端面之间的间隙SD如上所述那样变窄,实质上阻止气体通过。因此,也能够阻止第1处理区域P1的BTBAS气体(第2处理区域P2的O3气体)经由旋转台2的外侧而流入到第2处理区域P2(第1处理区域P1)。因而,利用两个分离区域D能够将第1处理区域P1的气氛和第2处理区域P2的气氛完全分离,BTBAS气体被第1排气口61排出,O3气体被第2排气口62排出。结果,两种反应气体、该例子中是BTBAS气体和O3气体无论在气氛中还是在晶圆上都不会混合。另外,在该例子中,由于利用N2气体吹扫旋转台2的下方侧,因此,完全不用担心流入到排气区域6的气体穿过旋转台2的下方侧、例如完全不用担心BTBAS气体流入到O3气体的供给区域。
另外,第1和第2反应气体喷嘴31、32从各自的处理区域P1、P2的顶部分离开地设置在上述基板的附近。因此,如图4B所示,从分离气体喷嘴41、42喷出的N2气体也流动到反应气体喷嘴31、32的上方侧和各处理区域P1、P2的顶面45之间、反应气体喷嘴31、32的下方侧。此时,由于分别从反应气体喷嘴31、32喷出反应气体,因此,反应气体喷嘴31、32的上方侧的压力低于下方侧的压力。因此,N2气体利用压力较低的反应气体喷嘴31、32的上方侧和各处理区域P1、P2的顶面45之间易于流动。由此,即使N2气体从分离区域D侧流入到处理区域P1、P2侧,N2气体也难以流向反应气体喷嘴31、32的下方侧。因此,从反应气体喷嘴31、32喷出的反应气体并没有怎么被N2气体稀释就供给到晶圆W表面。这样,成膜处理结束时,各晶圆利用与搬入动作相反的动作按顺序被输送臂10搬出。
在此,对处理参数的一个例子有所记载。在将300mm直径的晶圆W作为被处理基板的情况下,旋转台2的转速例如为1rpm~500rpm,工艺压力例如为1067Pa(8Torr),晶圆W的加热温度例如为350℃,BTBAS气体和O3气体的流量例如分别为100sccm和10000sccm。来自分离气体喷嘴41、42的N2气体的流量例如为20000sccm,来自真空容器1的中心部的分离气体供给管51的N2气体的流量例如为5000sccm。另外,针对1张晶圆的反应气体供给的循环数、即晶圆分别通过处理区域P1、P2的次数与目标膜厚相应地改变,但该循环数为多次、例如为600次。
采用上述实施方式,在旋转台2的旋转方向上配置多个晶圆W、使旋转台2旋转而使多个晶圆W依次通过第1处理区域P1和第2处理区域P2而进行所谓的ALD(或者MLD)。因此,能够以高生产率进行成膜处理。而且,在上述旋转方向上,在第1处理区域P1和第2处理区域P2之间设置分离区域D,从该分离区域D朝向处理区域P1、P2喷出分离气体。在第1处理区域P1中,将第1反应气体与分离气体一同经由旋转台2的周缘和真空容器的内周壁之间的间隙SP而从第1排气口61排出。在第2处理区域P2中,将第2反应气体与分离气体一同经由旋转台2的周缘和真空容器的内周壁之间的间隙SP而从第2排气口62排出。由此,能够防止两种反应气体混合,结果,能够进行良好的成膜处理。另外,完全不会在旋转台2上产生反应生成物或者极力抑制在旋转台2上产生反应生成物,能够抑制产生微粒。另外,本发明也能够应用于在旋转台2上载置1个晶圆W的情况。
另外,进行使吸附于晶圆W表面的硅发生氧化反应的处理的第2处理区域P2的面积被设定得大于进行使硅吸附于晶圆W表面的处理的第1处理区域P1的面积。因此,能够将与硅的吸附反应相比更花费时间的硅的氧化反应的处理时间确保得较长。因此,即使提高旋转台2的转速,也能够充分地进行硅的氧化反应。另外,能够形成杂质较少且膜质良好的薄膜,从而能够进行良好的成膜处理。另外,由于BTBAS气体吸附于晶圆W的吸附力较大,因此,即使减小第1处理区域P1的面积,BTBAS气体也能够利用其与晶圆W的接触立即吸附在晶圆W表面。因此,即使不合理地增大处理区域P1,也不会有助于反应而只会使排出的BTBAS气体的量增加,从节省BTBAS气体的方面考虑,减小第1处理区域P1的面积的做法也更为有效。
并且,在上述实施方式中,通过设置凸状部4而形成分离区域D,因此,能够划分第1处理区域P1和第2处理区域P2,从而能够进一步提高第1反应气体和第2反应气体的分离效果。
并且,分离区域D中的旋转台2和真空容器1的内周壁之间的间隙SD被设定得小于处理区域P1、P2中的旋转台2和真空容器1的内周壁之间的间隙SP。另外,由于排气口61、62设置于处理区域P1、P2,因此,该间隙SP的压力低于上述间隙SD的压力。因此,从分离区域D供给来的分离气体的大部分流动到处理区域P1、P2,剩余的极少的分离气体朝向上述间隙SD流动。在此,分离气体的大部分是指从分离气体喷嘴41、42供给的分离气体的90%以上。由此,来自分离区域D的分离气体实质上朝向分离区域D两侧的处理区域P1、P2流动,几乎不会流动到旋转台2的外方侧。结果,分离区域D对第1和第2反应气体的分离作用变大。
并且,将用于向真空容器内搬入晶圆W或从真空容器内搬出晶圆W的输送口15面向第2处理区域P2地设置。结果,能够可靠地搬出进行了金属的氧化处理后的晶圆W。
接着,根据图10~图13说明本发明的第2实施方式。在该实施方式中,在上述第2处理区域P2中的、沿着上述旋转台2的旋转方向的后半部分(下游侧)设有等离子体产生机构200,该等离子体产生机构200利用等离子体对在第2处理区域P2内成膜后的晶圆W进行表面改性。如图10~图12所示,该等离子体产生机构200包括由沿着旋转台2的径向延伸地配置的壳体构成的喷射器主体201,该喷射器主体201配置在旋转台2上的晶圆W附近。在该喷射器主体201内形成有由分隔壁202在长度方向上划分出的、宽度不同的两个空间,其一侧是用于使上述等离子体产生用的气体等离子化(活化)的、作为气体活化用流路的气体活化室203,其另一侧是用于向该气体活化室203供给等离子体产生用的气体的、作为气体导入用流路的气体导入室204。
在图10~图12中,附图标记205是气体导入喷嘴,附图标记206是气孔,附图标记207是气体导入件,附图标记208是接头部,附图标记209是气体供给件。而且,等离子体产生用的气体从气体导入喷嘴205的气孔206被供给到气体导入室204内,上述气体经由形成在分隔壁202的上部的缺口部211流动到气体活化室203中。由两根电介质构成的例如陶瓷制的鞘管212从该气体活化室203的基端侧朝向顶端侧地沿着分隔壁202在气体活化室203内延伸。在这些鞘管212的管内贯穿有棒状的电极213。这些电极213的基端侧被引出到喷射器主体201的外部,在真空容器1的外部经由匹配器204连接于高频电源215。气体喷出孔221沿着喷射器主体201的长度方向排列在喷射器主体201的底面上,该气体喷出孔221用于向下方侧喷出在该电极213之间的区域、即等离子体产生部221等离子化而活化了的等离子体。该喷射器主体201以其顶端侧成为朝向旋转台2的中心部伸出的状态的方式配置。在图10中,附图标记231是用于向气体导入喷嘴205导入等离子体产生用的气体的气体导入通路,附图标记232是阀,附图标记233是流量调整部,附图标记234是存积有上述等离子体产生用的气体的气体源。等离子体产生用的气体可以采用氩(Ar)气体、氧(O2)气体和氮(N2)气体等。
在该实施方式中,也在同样的旋转台2上载置5张晶圆W,使旋转台2旋转,分别从各气体喷嘴31、32、41、42朝向晶圆W供给BTBAS气体、O3气体和N2气体,并且,如上所述那样向中心部区域C、旋转台2的下方区域供给吹扫气体。然后,对加热器单元7供电,向等离子体产生机构200供给等离子体产生用的气体、例如Ar气体,并且,自高频电源215向等离子体产生部220(电极213)供给高频电力。此时,由于真空容器1内成为真空气氛,因此,流入到气体活化室203的上方部的等离子体产生用的气体在上述高频电力的作用下成为等离子化(活化)的状态,经由气体喷出孔221被朝向晶圆W供给。这样,旋转台2上的晶圆W通过第2处理区域P时,将晶圆W表面直接暴露在从配置在该晶圆W附近的等离子体产生机构200供给来的等离子体中。
该等离子体通过第2处理区域P2而到达形成有上述氧化硅膜的晶圆W时,残留在该氧化硅膜内的碳成分、水分气化而被排出、或者硅和氧之间的结合增强。通过这样设置等离子体产生机构200,氧化硅膜被改性,能够形成杂质较少且结合强度较强的氧化硅膜。此时,通过将等离子体产生机构200设置在旋转台2的旋转方向下游侧,能够对充分地进行了第2反应气体的氧化反应的状态的薄膜照射等离子,因此,能够形成膜质更良好的氧化硅膜。
在该例子中,采用Ar气体作为等离子体产生用的气体,但也可以替代该气体、或者将该气体与O2气体和N2气体一同使用。在采用该Ar气体的情况下,制作膜中的SiO2结合,能够得到消除SiOH结合这样的效果,在采用O2气体的情况下,促进未反应部分的氧化,能够得到膜中的C(碳)减少而电特性提高这样的效果。
另外,上述例子是相对于第2反应气体喷嘴32另外设置等离子体产生机构200的构造,但如图13所示,该等离子体产生机构200也可以兼用作第2反应气体喷嘴。在该例子中,从第1反应气体喷嘴31供给DCS(二氯硅烷)气体作为第1反应气体,在第1处理区域P1中进行硅的吸附处理,接着,在第2处理区域P2中从等离子体产生机构200供给等离子化的NH3气体作为第2反应气体,在第2处理区域P2中,利用等离子化的NH3气体进行硅的氮化反应,并对利用该氮化反应得到的氮化硅膜(SiN膜)进行改性。另外,也可以从第1反应气体喷嘴31供给TiCl4气体作为第1反应气体,并且,从等离子体产生机构200供给等离子化的NH3气体作为第2反应气体,形成TiN膜。
接着,根据图14A~图16B说明本发明的第3实施方式。在该实施方式中,在第1反应气体喷嘴31和第2反应气体喷嘴32上设有喷嘴罩34。该喷嘴罩34具有沿着气体喷嘴31、32的长度方向延伸、其纵截面呈字形的基部35,利用该基部35包覆反应气体喷嘴31、32的上方及侧方。而且,整流板36A、整流板36B自基端35的下端的左右向水平方向、即旋转台2的旋转方向上游侧、下游侧突出。如图15A、15B所示,整流板36A、36B形成为,自旋转台2的中心部侧越朝向周缘部侧,其自基部35突出得越大,构成为俯视的扇形。在该例子中,整流板36A、36B相对于基部35左右对称地形成,图15B中以虚线所示的整流板36A、36B的轮廓线的延长线所成的角度(扇的打开角度)例如为10度。在此,θ通过考虑到供给有N2气体的分离区域D的周向尺寸、上述处理区域P1、P2的周向尺寸而适当地设计,但例如大于等于5度、小于90度。
如图15A、图15B所示,喷嘴罩34被设置成,整流板36A、36B的前端侧(宽度较窄一侧)接近突出部5,并且其后端部(宽度较宽一侧)朝向旋转台2的外缘。另外,喷嘴罩34被设置成,离开分离区域D,且作为气体流动空间的间隙R介于其与第2顶面45之间。在图16A、图16B中,用箭头表示旋转台2上的各气体的流动,如该图所示,间隙R形成自分离区域D朝向处理区域P1、P2的N2气体的流动通路。
图14A、图14B中h5所示的第1和第2处理区域P1、P2中的间隙R的高度例如为10~70mm。另外,图中h6所示的第1和第2处理区域P1、P2中的从晶圆W表面到第2顶面45的高度例如为15mm~100mm,例如为32mm。在此,间隙R的高度h5、高度h6能够根据气体种类、工艺条件来适当地变更其尺寸。间隙R的高度h5、高度h6被设定为利用喷嘴罩34将分离气体引导到间隙R而抑制其流入到处理区域P1、P2的整流效果尽量有效那样的尺寸。为了获得该整流效果,例如h5最好大于等于旋转台2与气体喷嘴31、32的下端之间的高度。另外,间隙R的高度也可以设定为第2处理区域P2的间隙R的高度大于第1处理区域P1的间隙R的高度。在该情况下,例如第1处理区域P1的间隙R的高度例如被设定为10mm~100mm,第2处理区域P2的间隙R的高度例如被设定为15mm~150mm。
另外,如图14A、图14B所示,喷嘴罩34的整流板36A、36B的下表面形成在与反应气体喷嘴31、32的喷出口33的下端大致相同的高度位置。在该图中,表示为h7的整流板36A、36B距旋转台2表面(晶圆W表面)的高度为0.5mm~4mm。另外,上述高度h7并不限定为0.5mm~4mm。高度h7只要设定为如上所述那样将N2气体引导到间隙R、能够将处理区域P1、P2中的反应气体浓度确保为能够对晶圆W进行处理的充分的浓度的高度即可。高度h7例如也可以为0.2mm~10mm。喷嘴罩34的整流板36A、36B如后所述那样具有减少从分离区域D进入的N2气体潜入到反应气体喷嘴31、32的下方侧的流量、并防止分别从反应气体喷嘴31、32供给来的BTBAS气体、O3气体自旋转台2扬起的作用。只要能够起到该作用,就不限定于这里表示的位置。
在图16A、图16B中,用实线箭头表示N2气体在第1和第2反应气体喷嘴31、32周边的流动。向反应气体喷嘴31、32的下方的第1和第2处理区域P1、P2中喷出BTBAS气体和O3气体,用虚线箭头表示其流动。喷出的BTBAS气体(O3气体)利用整流板36A、36B来限制其从整流板36A、36B的下方向上方扬起。因此,整流板36A、36B的下方区域的压力高于整流板36A、36B的上方区域的压力。对于自旋转方向上游侧朝向反应气体喷嘴31、32的N2气体,利用该压力差和向旋转方向上游侧突出的整流板36A来限制其流动。因此,防止其潜入到上述处理区域P1、P2而使其朝向下游侧。而且,上述N2气体通过设置在喷嘴罩34和顶面45之间的间隙R而在上述旋转方向上朝向反应气体喷嘴31、32的下游侧。即,上述整流板36A、36B被配置在这样的位置:对于自反应气体喷嘴31、32的上游侧朝向下游侧的N2气体,能够使其大部分迂回反应气体喷嘴31、32的下方侧而将其引导到间隙R。因而,能够抑制流入到第1和第2处理区域P1、P2的N2气体的量。
另外,接受气体的反应气体喷嘴31、32的下游侧(背面侧)的压力低于其上游侧(正面侧)的压力。因此,流入到第1处理区域P1的N2气体欲朝向该反应气体喷嘴31的下游侧位置上升。随之,从反应气体喷嘴31喷出而朝向旋转方向下游侧的BTBAS气体也欲自旋转台2扬起。但是,如图16A所示,利用设置在旋转方向下游侧的整流板36B,这些BTBAS气体和N2气体的扬起被抑制。BTBAS气体和N2气体在该整流板36B与旋转台2之间朝向下游侧。然后,BTBAS气体和N2气体与在处理区域P1的下游侧通过上述反应气体喷嘴31的上侧的间隙R而流到下游侧的N2气体合流。
然后,这些BTBAS气体和N2气体被自位于处理区域P1的下游侧的分离气体喷嘴朝向上游侧的N2气体吹,被抑制进入到设有该分离气体喷嘴的凸状部4的下方侧。然后,来自分离气体喷嘴41、42的N2气体和从中心部区域C喷出的N2气体一同经由排气区域6而从排气口61排出。
采用该实施方式,在设置于载置有晶圆W的旋转台2上的第1和第2反应气体喷嘴31、32的上方设有间隙R,该间隙R形成自分离区域D从旋转台2的旋转方向上游侧朝向下游侧的N2气体的流动通路。在第1和第2反应气体喷嘴31、32中还设有包括向上述旋转方向上游侧突出的整流板36A的喷嘴罩34。利用该整流板36A,从设有分离气体喷嘴41、42的分离区域D朝向第1和第2处理区域P1、P2侧流动的N2气体的大部分经由上述间隙R流向该第1和第2处理区域P1、P2的下游侧而流入到排气口61、62。因此,能够抑制该N2气体流入到第1和第2反应气体喷嘴31、32的下方侧。因而,能够抑制第1和第2处理区域P1、P2中的BTBAS气体、O3气体的浓度降低。结果,即使在提高旋转台2的转速的情况下,在第1处理区域P1中,也能够使BTBAS气体的分子可靠地吸附于晶圆而正常地进行成膜。另外,在第2处理区域P2中能够抑制O3气体的浓度降低,因此,能够使BTBAS充分地氧化,从而能够形成杂质较少的膜。因而,即使提高旋转台2的转速,也能够在晶圆W上均匀性较高地成膜,膜质也提高,能够进行良好的成膜处理。
该喷嘴罩34既可以设置于任一个反应气体喷嘴31、32,也可以设置于等离子体产生机构200。另外,喷嘴罩34的整流板36A、36B既可以仅设置于反应气体喷嘴31、32的旋转方向上游侧,也可以仅设置于反应气体喷嘴31、32的旋转方向下游侧。另外,在反应气体喷嘴31、32中,也可以不设置基部35,而将整流板设置为自反应气体喷嘴31、32的下端分别向旋转方向上游侧和下游侧突出。另外,整流板的平面形状并不限定于扇形。
作为本发明中应用的第1反应气体,除上述例子之外,还能够列举出DCS[二氯硅烷]、HCD[六氯乙硅烷]、TMA[三甲基铝]、3DMAS[三(二甲氨基)硅烷]、Ti(MPD)(THD)[(甲基戊二酮酸)双(四甲基庚二酮酸)钛]、单氨基硅烷等。另外,作为第2反应气体,在进行氧化处理的情况下,除O3气体之外还可以采用H2O2气体等,在进行氮化处理的情况下,除NH3气体之外还可以采用N2气体等。另外,在本发明中,也能够应用于采用TEMAZ[四(二乙基氨基)锆]、TEMAH[四(乙基甲基氨基)铪]、Sr(THD)2[双(四甲基庚二酮酸)锶]作为第1反应气体、采用O3气体和NH3气体作为第2反应气体来形成High-K膜(高介电常数层绝缘膜)的情况。并且,也能够应用于采用三甲基铝(TMA)、(甲基戊二酮酸)双(四甲基庚二酮酸)钛(Ti(MPD)(THD))作为第1反应气体、采用O3气体作为第2反应气体来形成氧化铝(Al2O3)、氧化钛(TiO)等金属膜的情况。另外,在本发明中,第1处理区域P1并不限定于1个,也可以是2个以上,第2处理区域P2也并不限定于1个,也可以是2个以上。并且,也可以相对于一个第1处理区域P1准备多个第2处理区域P2,此时,一个第2处理区域P2的面积小于第1处理区域P1的面积、但第2处理区域P2的合计面积大于第1处理区域P1的面积的情况也包含在本发明的范围内。
还优选为,在上述分离区域D的顶面44中,相对于上述分离气体喷嘴41、42靠旋转台2的旋转方向的上游侧部位越是位于外缘的部位,其上述旋转方向的宽度越大。其理由在于,利用旋转台2的旋转,自上游侧朝向分离区域D的气流越靠近外缘速度越快。从这个方面考虑,如上所述那样将凸状部4构成为扇形的做法是上策。
另外,在本发明中,分离气体供给部并不限定于在分离气体喷嘴41、42的两侧配置有凸状部4的上述构造。也可以采用形成为在凸状部4的内部使分离气体的流通室沿着旋转台2的直径方向延伸、在该流通室的底部沿着长度方向穿设有多个气体喷出孔的构造。
并且,在本发明中,作为反应气体供给部,也可以采用这样的喷头,该喷头具有以旋转台2的旋转中心为扇轴的扇形,该喷头被配置在彼此相邻的分离区域D相互之间,包括在载置于上述旋转台2的基板通过时覆盖上述基板的多个气体喷出孔。图17表示设有喷头和隔板(见后述)的例子。如图17所示,替代第1反应气体喷嘴31,设置具有多个气体喷出孔Dh的喷头301,这多个气体喷出孔Dh是为了对载置于旋转台2的晶圆W喷出BTBAS气体而设置的。另外,替代第2反应气体喷嘴32,设置具有多个气体喷出孔Dh的喷头302,这多个气体喷出孔Dh是为了对载置于旋转台2的晶圆W喷出O3气体而设置的。为了对喷头301、302分别供给BTBAS气体和O3气体,设有贯穿容器主体12的供给管31b、32b。BTBAS气体从供给管31b被供给到喷头301,由此,BTBAS气体被喷出到载置于旋转台2的晶圆W表面。O3气体从供给管32b被供给到喷头302,由此,O3气体被喷出到载置于旋转台2的晶圆W表面。
另外,也可以围着旋转台2的端部地设置隔板,并在该隔板上形成开口或狭缝。在图17所示的例子中,隔板60A、60B围着旋转台2的端部地设置,开口60h设置于隔板60A、60B。在图17的例子中,使在上述旋转台2的外周方向上从上述旋转台2的端部和上述真空容器1的侧壁之间的间隙排出的气体经由设置于隔板60A、60B的开口(或狭缝)60h,利用上述真空排气机构将该气体从设置于旋转台2的外方的排气口61、62排出。此时,通过使设置于上述隔板60A、60B的开口(或狭缝)60h开放得足够小,供给到上述分离区域D的分离气体实质上经由上述处理区域P1、P2的方向而向上述排气口61、62的方向流动。
并且,在本发明中,可以采用含有金属的反应前体作为上述第1反应气体,采用与上述第1反应气体反应而进行金属氧化物的成膜的氧化气体或者进行金属氮化物的成膜的含氮气体作为上述第2反应气体。
图17表示采用上述成膜装置的基板处理装置。在图17中,附图标记101是例如收纳有25张晶圆的、被称作前开式晶圆传送盒的密闭型的输送容器,附图标记102是配置有输送臂103的大气输送室。附图标记104、105是能够在大气气氛和真空气氛之间切换气氛的加载互锁真空室(预备真空室)。附图标记106是配置有两个输送臂107的真空输送室,附图标记108、109是本发明的成膜装置。输送容器101将晶圆从外部输送到具有未图示的载置台的搬入搬出部,在输送容器101连接于大气输送室102之后利用未图示的开闭机构打开盖,由输送臂10将晶圆从该输送容器101内取出。接着,将晶圆搬入到加载互锁真空室104(105)内,将该室内从大气气氛切换为真空气氛,之后,由输送臂107取出晶圆并将其搬入到成膜装置108、109中的一个,进行上述成膜处理。通过这样包括多个、例如两个例如5张处理用的本发明的成膜装置,能够以高生产率实施所谓的ALD(MLD)。
评价试验1
为了确认本发明的效果,利用计算机进行了模拟。首先,利用模拟设定了上述图1~图8所示的实施方式的成膜装置。此时,旋转台2的直径被设定为
Figure BSA00000411369800381
的尺寸,对于凸状部4,在其与自旋转中心离开140mm的突出部5之间的边界部位,其周向长度例如被设定为146mm的尺寸,在晶圆载置区域的最外侧部位,其周向长度例如被设定为502mm的尺寸。另外,对于第1处理区域P1,在其与自旋转中心离开140mm的突出部5之间的边界部位,将其周向长度设定为146mm,在晶圆载置区域的最外侧部位,将其周向长度设定为502mm。对于第2处理区域P2,在其与自旋转中心离开140mm的突出部5之间的边界部位,将其周向长度设定为438mm,在晶圆载置区域的最外侧部位,将其周向长度设定为1506mm。并且,凸状部4的下表面距旋转台2的表面的高度h1被设定为4mm,分离区域D中的旋转台2和上述真空容器的内周壁之间的间隙SD被设定为10mm。并且,处理区域P1、P2的顶面45距旋转台2的表面的高度h2例如为26mm。反应气体喷嘴31、32的上表面距顶面45的高度h3被设定为11mm,处理区域P1、P2中的反应气体喷嘴31、32的下表面距旋转台2的高度h4被设定为2mm。
另外,采用BTBAS气体作为第1反应气体,采用O3气体作为第2反应气体。它们的供给流量如下所述:BTBAS气体:300sccm。由于O3气体从臭氧发生器供给,因此被设定为,O2气体+O3气体:10slm,O3产生量:200g/Nm3。并且,在采用N2作为分离气体和吹扫气体时,它们的合计供给流量为89slm。其明细为,分离气体喷嘴41、42:各25slm,分离气体供给管51:30slm,吹扫气体供给管72:3slm,其他:6slm。而且,处理条件设定为,处理压力:1.33kPa(10Torr),处理温度:300℃,模拟了N2气体的浓度分布。
图18表示该模拟结果。实际的模拟结果利用计算机制图法,以分级显示N2气体的浓度分布(单位%)的方式在彩色画面中输出,但为了便于图示,在图18中表示了概略的浓度分布。因而,在这些图中,浓度分布实际上未必是跳跃的,而是指在这些图中由等浓度线划分的区域之间存在急剧的浓度梯度的意思。在该图18中,区域A1表示氮浓度95%以上的区域,区域A2表示氮浓度65%~95%的区域,区域A3表示氮浓度35%~65%的区域,区域A4表示氮浓度15%~35%的区域,区域A5表示氮浓度15%以下的区域。另外,在第1和第2反应气体喷嘴31、32的附近区域中表示了相对于各个反应气体的氮浓度。
从该结果能够确认,在反应气体喷嘴31、32附近,虽然氮浓度较低,但是在分离区域D中氮浓度为95%以上,利用该分离区域D,能够可靠地分离第1和第2反应气体。还能够确认,在第1和第2处理区域P1、P2中,在反应气体喷嘴31、32附近氮浓度较低,但朝向旋转台2的旋转方向下游侧而氮浓度升高,在与下游侧相邻的分离区域D中氮浓度为95%以上。由此,应理解为氮气与反应气体一同经由处理区域P1、P2被排出到排气口61、62。另外,在第2处理区域P2中,还能够确认气体从设置在该处理区域P2的旋转方向上游侧的第2反应气体喷嘴32朝向设置在该处理区域P2的旋转方向下游侧的排气口62流动的情形,能够确认反应气体遍布在面积较大的整个第2处理区域P2中。
评价试验2
使用上述图1~图8所示的实施方式的成膜装置实际进行成膜处理,测定了所形成的薄膜的膜厚。此时,成膜装置的构造与(评价试验1)中设定的相同。另外,成膜条件如下。
第1反应气体(BTBAS气体):100sccm。
第2反应气体(O3气体):10slm(约200g/Nm3)
分离气体和吹扫气体:N2气体(合计供给流量73slm。其明细为,分离气体喷嘴41:14slm,分离气体喷嘴42:18slm,分离气体供给管51:30slm,吹扫气体供给管72:5slm,其他:6slm)
处理压力:1.06kPa(8Torr)
处理温度:350℃
然后,在5个凹部24中分别载置晶圆W,不使旋转台2旋转地进行30分钟处理之后,对5张晶圆W分别测定了膜厚。结果表示于图19A、图19B中。另外,薄膜的初始膜厚为0.9nm。对于未设置凸状部4的构造也进行同样的处理。结果表示于图20A、图20B中。
在这些图19A、图19B及图20A、图20B中,表示各晶圆W1~W5的膜厚,并且,利用4个等级的分级简单地表示膜厚分布。膜厚最小的区域是A11,膜厚第二小的区域是A12,膜厚第三小的区域是A13,膜厚最大的区域是A14。由该结果推测出,在未设置凸状部4的构造中,能够在放置于BTBAS气体的供给区域的晶圆W4中确认到存在局部增膜的情况,O3气体蔓延到该BTBAS气体的供给区域中。相对于此,在设有凸状部4的构造中,未能确认到发生局部增膜等异常成膜,应理解为N2气体将BTBAS气体和O3气体分离。由此,推测出通过采用本发明的成膜装置,能够利用ALD法进行良好的成膜处理。
本发明基于2009年12月25日向日本专利厅提交的2O09-295226号的专利,主张该日本专利的优先权,并在此引用其全部内容。

Claims (12)

1.一种成膜装置,该成膜装置在真空容器内使载置有多个基板的旋转台旋转,上述多个基板依次与供给到多个处理区域中的多种反应气体接触,在上述多个基板的表面形成薄膜,其特征在于,
包括:
反应气体供给部,其与旋转过程中的上述多个基板附近相对地设置在上述多个处理区域中,用于朝向上述多个基板的方向分别供给上述多种反应气体;
分离气体供给部,其向设置在上述多个处理区域之间的分离区域内供给用于防止供给到上述多个处理区域中的上述多种反应气体发生反应的分离气体;
排气机构,在上述多个处理区域的各自外侧,该排气机构在与上述旋转台的外周方向相对应的范围中设有排气口,将供给到上述多个处理区域的多种反应气体和供给到上述分离区域的分离气体经由上述处理区域引导到上述排气口,该排气机构与上述排气口连通而进行排气;
上述多个处理区域包括:
第1处理区域,在该区域中,进行使第1反应气体吸附于上述多个基板的表面的处理;
第2处理区域,该第2处理区域的面积大于该第1处理区域的面积,在该区域中,进行使第2反应气体与吸附在上述多个基板的表面的上述第1反应气体发生反应而在上述多个基板表面成膜的处理。
2.根据权利要求1所述的成膜装置,其特征在于,
在上述第2处理区域中的、沿着上述旋转台的旋转方向的前半部分设有用于供给上述第2反应气体的反应气体供给部。
3.根据权利要求1所述的成膜装置,其特征在于,
在上述第2处理区域中的、沿着上述旋转台的旋转方向的后半部分设有利用等离子体对在上述第2处理区域内成膜后的上述多个基板进行表面改性的等离子体产生部。
4.根据权利要求3所述的成膜装置,其特征在于,
上述等离子体产生部被配置在载置于上述旋转台的上述多个基板的附近,在载置于上述旋转台的上述多个基板通过上述第2处理区域时,将上述多个基板的表面直接暴露于自上述等离子体产生部产生的等离子体。
5.根据权利要求1所述的成膜装置,其特征在于,
该成膜装置设有用于从上述旋转台的旋转中心向上述真空容器内供给分离气体的旋转中心供给用的分离气体供给部;
从上述旋转中心供给的分离气体经由上述多个处理区域而从上述排气口被排出。
6.根据权利要求1所述的成膜装置,其特征在于,
从上述分离区域流入到上述多个处理区域的分离气体分别经由离开上述处理区域的顶部地设置的上述多个反应气体供给部与上述顶部之间而被排出到上述排气口。
7.根据权利要求1所述的成膜装置,其特征在于,
上述旋转台和上述真空容器的侧壁之间的间隙在上述分离区域的旋转台的外周方向上、在上述分离区域的外侧被设定得比上述多个处理区域的外侧窄,从上述分离区域供给来的分离气体的大部分经由该分离区域朝向上述多个处理区域流动。
8.根据权利要求1所述的成膜装置,其特征在于,
将用于向上述真空容器内搬入上述多个基板并自上述真空容器搬出上述多个基板的输送口面向上述面积较大的第2处理区域地设置。
9.根据权利要求1所述的成膜装置,其特征在于,
上述多个反应气体供给部是朝向上述旋转台的旋转中心地配置且呈直线状排列有多个气体喷出孔的喷射器、或者上述多个反应气体供给部是具有以上述旋转台的旋转中心为扇轴的扇形的喷头,该喷头被配置在上述分离区域相互之间且包括在载置于上述旋转台的上述多个基板通过时覆盖上述多个基板的多个气体喷出孔。
10.根据权利要求1所述的成膜装置,其特征在于,
在上述旋转台的外周方向上,从上述旋转台的端部和上述真空容器的侧壁之间的间隙排出的气体经由设置于将上述旋转台的端部包围的隔板中的开口或狭缝而由上述排气机构排出,并且,通过将上述开口或狭缝开放得足够小,供给到上述分离区域的分离气体实质上向上述多个处理区域的方向流动之后,向上述排气口的方向流动。
11.根据权利要求1所述的成膜装置,其特征在于,
上述第1反应气体是含有金属的反应前体,上述第2反应气体是与上述第1反应气体发生反应而进行金属氧化物的成膜的氧化气体、或者进行金属氮化物的成膜的含氮气体。
12.根据权利要求1所述的成膜装置,其特征在于,
在面积比供给有上述第1反应气体的上述第1处理区域的面积大的、供给有上述第2反应气体的上述第2处理区域中,上述多个基板在上述第2反应气体中一边进行表面反应一边通过上述第2处理区域。
CN201010621810.0A 2009-12-25 2010-12-24 成膜装置 Active CN102134709B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2009-295226 2009-12-25
JP2009295226A JP5392069B2 (ja) 2009-12-25 2009-12-25 成膜装置

Publications (2)

Publication Number Publication Date
CN102134709A true CN102134709A (zh) 2011-07-27
CN102134709B CN102134709B (zh) 2015-01-21

Family

ID=44185904

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201010621810.0A Active CN102134709B (zh) 2009-12-25 2010-12-24 成膜装置

Country Status (5)

Country Link
US (1) US20110155056A1 (zh)
JP (1) JP5392069B2 (zh)
KR (1) KR101381066B1 (zh)
CN (1) CN102134709B (zh)
TW (1) TWI523970B (zh)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103215567A (zh) * 2012-01-18 2013-07-24 东京毅力科创株式会社 成膜装置
WO2013143241A1 (zh) * 2012-03-30 2013-10-03 理想能源设备(上海)有限公司 金属有机化合物化学气相沉积方法及其装置
CN103526180A (zh) * 2012-07-06 2014-01-22 东京毅力科创株式会社 成膜方法
CN105039929A (zh) * 2014-05-01 2015-11-11 东京毅力科创株式会社 成膜方法和成膜装置
CN105390372A (zh) * 2014-09-02 2016-03-09 东京毅力科创株式会社 基板处理方法和基板处理装置
CN105821393A (zh) * 2015-01-22 2016-08-03 应用材料公司 使用空间上分开的注入器腔室进行的对膜的原子层沉积
CN110416137A (zh) * 2018-04-30 2019-11-05 汉民科技股份有限公司 用于半导体工艺的基板传送机构及成膜***

Families Citing this family (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5423205B2 (ja) * 2008-08-29 2014-02-19 東京エレクトロン株式会社 成膜装置
US9416448B2 (en) * 2008-08-29 2016-08-16 Tokyo Electron Limited Film deposition apparatus, substrate processing apparatus, film deposition method, and computer-readable storage medium for film deposition method
JP5107185B2 (ja) 2008-09-04 2012-12-26 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体
JP5445044B2 (ja) * 2008-11-14 2014-03-19 東京エレクトロン株式会社 成膜装置
US9297072B2 (en) 2008-12-01 2016-03-29 Tokyo Electron Limited Film deposition apparatus
JP5131240B2 (ja) * 2009-04-09 2013-01-30 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP5257328B2 (ja) * 2009-11-04 2013-08-07 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
JP5310512B2 (ja) * 2009-12-02 2013-10-09 東京エレクトロン株式会社 基板処理装置
JP5553588B2 (ja) * 2009-12-10 2014-07-16 東京エレクトロン株式会社 成膜装置
JP5712874B2 (ja) * 2011-09-05 2015-05-07 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US20130192761A1 (en) * 2012-01-31 2013-08-01 Joseph Yudovsky Rotary Substrate Processing System
JP5803714B2 (ja) * 2012-02-09 2015-11-04 東京エレクトロン株式会社 成膜装置
JP5882777B2 (ja) * 2012-02-14 2016-03-09 東京エレクトロン株式会社 成膜装置
JP5857896B2 (ja) * 2012-07-06 2016-02-10 東京エレクトロン株式会社 成膜装置の運転方法及び成膜装置
JP5859927B2 (ja) * 2012-07-13 2016-02-16 東京エレクトロン株式会社 成膜方法及び成膜装置
JP5954202B2 (ja) * 2013-01-29 2016-07-20 東京エレクトロン株式会社 成膜装置
US9399228B2 (en) * 2013-02-06 2016-07-26 Novellus Systems, Inc. Method and apparatus for purging and plasma suppression in a process chamber
TWI627305B (zh) * 2013-03-15 2018-06-21 應用材料股份有限公司 用於轉盤處理室之具有剛性板的大氣蓋
TWI683382B (zh) * 2013-03-15 2020-01-21 應用材料股份有限公司 具有光學測量的旋轉氣體分配組件
WO2014148490A1 (ja) * 2013-03-22 2014-09-25 株式会社日立国際電気 基板処理装置、及び半導体装置の製造方法
JP6115244B2 (ja) * 2013-03-28 2017-04-19 東京エレクトロン株式会社 成膜装置
JP6134191B2 (ja) 2013-04-07 2017-05-24 村川 惠美 回転型セミバッチald装置
JP6123688B2 (ja) * 2014-01-29 2017-05-10 東京エレクトロン株式会社 成膜装置
JP6262115B2 (ja) 2014-02-10 2018-01-17 東京エレクトロン株式会社 基板処理方法及び基板処理装置
JP6221932B2 (ja) * 2014-05-16 2017-11-01 東京エレクトロン株式会社 成膜装置
JP5837962B1 (ja) * 2014-07-08 2015-12-24 株式会社日立国際電気 基板処理装置、半導体装置の製造方法およびガス整流部
JP6298383B2 (ja) 2014-08-19 2018-03-20 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
JP6305314B2 (ja) * 2014-10-29 2018-04-04 東京エレクトロン株式会社 成膜装置およびシャワーヘッド
US10954597B2 (en) * 2015-03-17 2021-03-23 Asm Ip Holding B.V. Atomic layer deposition apparatus
JP6479560B2 (ja) * 2015-05-01 2019-03-06 東京エレクトロン株式会社 成膜装置
JP6723135B2 (ja) * 2015-12-25 2020-07-15 東京エレクトロン株式会社 保護膜形成方法
KR102303066B1 (ko) * 2016-06-03 2021-09-16 어플라이드 머티어리얼스, 인코포레이티드 챔버 내부의 유동을 확산시키는 것에 의한 더 낮은 입자 수 및 더 양호한 웨이퍼 품질을 위한 효과적이고 새로운 설계
JP6767844B2 (ja) * 2016-11-11 2020-10-14 東京エレクトロン株式会社 成膜装置及び成膜方法
JP6733516B2 (ja) 2016-11-21 2020-08-05 東京エレクトロン株式会社 半導体装置の製造方法
JP6969234B2 (ja) * 2017-09-01 2021-11-24 日新電機株式会社 プラズマ処理装置及びプラズマ処理方法
JP7213787B2 (ja) * 2018-12-18 2023-01-27 芝浦メカトロニクス株式会社 成膜装置
US20220020615A1 (en) * 2020-07-19 2022-01-20 Applied Materials, Inc. Multiple process semiconductor processing system

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH063112A (ja) * 1992-06-24 1994-01-11 N S T:Kk 光学式距離測定方法
US6902620B1 (en) * 2001-12-19 2005-06-07 Novellus Systems, Inc. Atomic layer deposition systems and methods
CN1943019A (zh) * 2004-06-15 2007-04-04 株式会社日立国际电气 衬底处理装置以及半导体器件的制造方法
US20070218701A1 (en) * 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
US20080026162A1 (en) * 2006-07-29 2008-01-31 Dickey Eric R Radical-enhanced atomic layer deposition system and method
US20080226842A1 (en) * 2006-09-29 2008-09-18 Tokyo Electron Limited Lazy Susan Tool Layout for Light-Activated ALD
WO2009017322A1 (en) * 2007-07-30 2009-02-05 Ips Ltd. Reactor for depositing thin film on wafer

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5062386A (en) * 1987-07-27 1991-11-05 Epitaxy Systems, Inc. Induction heated pancake epitaxial reactor
JPH01297820A (ja) * 1988-03-04 1989-11-30 Emcore Inc 基体へのフィルム被着装置およびその方法
JP3181171B2 (ja) * 1994-05-20 2001-07-03 シャープ株式会社 気相成長装置および気相成長方法
JPH08181076A (ja) * 1994-10-26 1996-07-12 Fuji Xerox Co Ltd 薄膜形成方法および薄膜形成装置
JPH09256153A (ja) * 1996-03-15 1997-09-30 Anelva Corp 基板処理装置
JP3242333B2 (ja) * 1996-10-25 2001-12-25 シャープ株式会社 化合物半導体気相成長装置及びそれを用いた成長方法
US6812157B1 (en) * 1999-06-24 2004-11-02 Prasad Narhar Gadgil Apparatus for atomic layer chemical vapor deposition
JP4817210B2 (ja) * 2000-01-06 2011-11-16 東京エレクトロン株式会社 成膜装置および成膜方法
US6932871B2 (en) * 2002-04-16 2005-08-23 Applied Materials, Inc. Multi-station deposition apparatus and method
US6869641B2 (en) * 2002-07-03 2005-03-22 Unaxis Balzers Ltd. Method and apparatus for ALD on a rotary susceptor
US7153542B2 (en) * 2002-08-06 2006-12-26 Tegal Corporation Assembly line processing method
KR100497748B1 (ko) * 2002-09-17 2005-06-29 주식회사 무한 반도체소자 제조용 원자층 증착 장치 및 원자층 증착 방법
US20060073276A1 (en) * 2004-10-04 2006-04-06 Eric Antonissen Multi-zone atomic layer deposition apparatus and method
KR100558922B1 (ko) * 2004-12-16 2006-03-10 (주)퓨전에이드 박막 증착장치 및 방법
US20070218702A1 (en) * 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
US20070215036A1 (en) * 2006-03-15 2007-09-20 Hyung-Sang Park Method and apparatus of time and space co-divided atomic layer deposition
US8043432B2 (en) * 2007-02-12 2011-10-25 Tokyo Electron Limited Atomic layer deposition systems and methods
KR100967881B1 (ko) * 2007-07-30 2010-07-05 주식회사 아이피에스 박막증착장치
DE102008010041A1 (de) * 2007-09-28 2009-04-02 Osram Opto Semiconductors Gmbh Schichtabscheidevorrichtung und Verfahren zu deren Betrieb
KR100949914B1 (ko) * 2007-11-28 2010-03-30 주식회사 케이씨텍 원자층 증착 장치
US20100059182A1 (en) * 2008-09-05 2010-03-11 Jusung Engineering Co., Ltd. Substrate processing apparatus

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH063112A (ja) * 1992-06-24 1994-01-11 N S T:Kk 光学式距離測定方法
US6902620B1 (en) * 2001-12-19 2005-06-07 Novellus Systems, Inc. Atomic layer deposition systems and methods
CN1943019A (zh) * 2004-06-15 2007-04-04 株式会社日立国际电气 衬底处理装置以及半导体器件的制造方法
US20070218701A1 (en) * 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
US20080026162A1 (en) * 2006-07-29 2008-01-31 Dickey Eric R Radical-enhanced atomic layer deposition system and method
US20080226842A1 (en) * 2006-09-29 2008-09-18 Tokyo Electron Limited Lazy Susan Tool Layout for Light-Activated ALD
WO2009017322A1 (en) * 2007-07-30 2009-02-05 Ips Ltd. Reactor for depositing thin film on wafer

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103215567A (zh) * 2012-01-18 2013-07-24 东京毅力科创株式会社 成膜装置
CN103215567B (zh) * 2012-01-18 2016-05-11 东京毅力科创株式会社 成膜装置
WO2013143241A1 (zh) * 2012-03-30 2013-10-03 理想能源设备(上海)有限公司 金属有机化合物化学气相沉积方法及其装置
CN103361624A (zh) * 2012-03-30 2013-10-23 理想能源设备(上海)有限公司 金属有机化合物化学气相沉积方法及其装置
CN103361624B (zh) * 2012-03-30 2015-07-01 理想能源设备(上海)有限公司 金属有机化合物化学气相沉积方法及其装置
CN103526180A (zh) * 2012-07-06 2014-01-22 东京毅力科创株式会社 成膜方法
CN105039929A (zh) * 2014-05-01 2015-11-11 东京毅力科创株式会社 成膜方法和成膜装置
CN105039929B (zh) * 2014-05-01 2019-08-20 东京毅力科创株式会社 成膜方法和成膜装置
CN105390372A (zh) * 2014-09-02 2016-03-09 东京毅力科创株式会社 基板处理方法和基板处理装置
CN105821393A (zh) * 2015-01-22 2016-08-03 应用材料公司 使用空间上分开的注入器腔室进行的对膜的原子层沉积
CN110416137A (zh) * 2018-04-30 2019-11-05 汉民科技股份有限公司 用于半导体工艺的基板传送机构及成膜***
CN110416137B (zh) * 2018-04-30 2022-03-15 汉民科技股份有限公司 用于半导体工艺的基板传送机构及成膜***

Also Published As

Publication number Publication date
JP5392069B2 (ja) 2014-01-22
KR20110074714A (ko) 2011-07-01
KR101381066B1 (ko) 2014-04-04
TW201142070A (en) 2011-12-01
CN102134709B (zh) 2015-01-21
US20110155056A1 (en) 2011-06-30
TWI523970B (zh) 2016-03-01
JP2011134996A (ja) 2011-07-07

Similar Documents

Publication Publication Date Title
CN102134709B (zh) 成膜装置
JP5310283B2 (ja) 成膜方法、成膜装置、基板処理装置及び記憶媒体
CN103088319B (zh) 成膜装置和成膜方法
CN101665921B (zh) 成膜装置、基板处理装置及成膜方法
JP5375853B2 (ja) 成膜装置、成膜方法及び記憶媒体
US9677174B2 (en) Film deposition method for producing a reaction product on a substrate
TWI515323B (zh) 成膜裝置、成膜裝置之清潔方法及電腦可讀取記憶媒體
CN101994101B (zh) 成膜装置
CN1712560B (zh) 使用垂直cvd装置的cvd方法
TWI494464B (zh) 成膜裝置
CN101665922B (zh) 成膜装置、基板处理装置、成膜方法
CN102953047B (zh) 成膜装置
CN101736318A (zh) 成膜装置
US20100136795A1 (en) Film deposition apparatus, film deposition method, semiconductor device fabrication apparatus, susceptor for use in the same, and computer readable storage medium
CN102108496A (zh) 成膜装置及成膜方法
KR101373946B1 (ko) 성막 장치
KR20100028489A (ko) 성막 장치, 기판 처리 장치, 성막 방법 및 컴퓨터에 의해 판독 가능한 기억 매체
CN101748388A (zh) 成膜装置
JP2013258383A (ja) 成膜方法
KR101734779B1 (ko) 성막 방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant