WO2010140478A1 - 搬送モジュール - Google Patents

搬送モジュール Download PDF

Info

Publication number
WO2010140478A1
WO2010140478A1 PCT/JP2010/058497 JP2010058497W WO2010140478A1 WO 2010140478 A1 WO2010140478 A1 WO 2010140478A1 JP 2010058497 W JP2010058497 W JP 2010058497W WO 2010140478 A1 WO2010140478 A1 WO 2010140478A1
Authority
WO
WIPO (PCT)
Prior art keywords
transfer chamber
robot
transfer
lid
processed
Prior art date
Application number
PCT/JP2010/058497
Other languages
English (en)
French (fr)
Inventor
勤 廣木
Original Assignee
東京エレクトロン株式会社
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 東京エレクトロン株式会社 filed Critical 東京エレクトロン株式会社
Priority to US13/375,895 priority Critical patent/US20120087766A1/en
Priority to CN2010800346048A priority patent/CN102460676A/zh
Publication of WO2010140478A1 publication Critical patent/WO2010140478A1/ja

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J9/00Programme-controlled manipulators
    • B25J9/02Programme-controlled manipulators characterised by movement of the arms, e.g. cartesian coordinate type
    • B25J9/04Programme-controlled manipulators characterised by movement of the arms, e.g. cartesian coordinate type by rotating at least one arm, excluding the head movement itself, e.g. cylindrical coordinate type or polar coordinate type
    • B25J9/041Cylindrical coordinate type
    • B25J9/042Cylindrical coordinate type comprising an articulated arm
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J11/00Manipulators not otherwise provided for
    • B25J11/0095Manipulators transporting wafers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J9/00Programme-controlled manipulators
    • B25J9/10Programme-controlled manipulators characterised by positioning means for manipulator elements
    • B25J9/106Programme-controlled manipulators characterised by positioning means for manipulator elements with articulated links
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J9/00Programme-controlled manipulators
    • B25J9/10Programme-controlled manipulators characterised by positioning means for manipulator elements
    • B25J9/106Programme-controlled manipulators characterised by positioning means for manipulator elements with articulated links
    • B25J9/1065Programme-controlled manipulators characterised by positioning means for manipulator elements with articulated links with parallelograms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices

Definitions

  • the present invention is connected to a processing chamber for processing an object to be processed such as a semiconductor wafer, a liquid crystal substrate, an organic EL element, and the like, a transfer chamber that can be in a vacuum state, and a processing chamber provided in the transfer chamber.
  • the present invention relates to a transfer module provided with a robot that delivers an object to be processed between the transfer chamber and the transfer chamber.
  • various processes such as film formation, etching, oxidation, and diffusion are performed on objects to be processed such as semiconductor substrates and liquid crystal substrates. These processes are performed in the process chamber of the process module.
  • the inside of the processing chamber is kept in a vacuum.
  • the transfer chamber connected to the processing chamber is also evacuated so that the object to be processed can be replaced while the inside of the processing chamber is maintained at a vacuum.
  • a robot for delivering the object to be processed between the processing chamber and the transfer chamber is mounted in the transfer chamber.
  • a transfer module equipped with a robot for transferring a wafer is arranged in the center of the apparatus, and a plurality of processes for performing various processes on the wafer radially around the transfer module.
  • Module Process Module: PM
  • This transfer module is called a transfer module (TM).
  • the transfer module is connected to a load lock chamber for delivering an object to be processed to the outside under atmospheric pressure.
  • the load lock chamber is a small chamber that can be easily evacuated or returned to atmospheric pressure. A robot placed outside under atmospheric pressure transfers the wafer to the load lock chamber.
  • the transfer module robot After the load lock chamber is evacuated, the transfer module robot holds the wafer in the load lock chamber, pulls it into the transfer chamber, and passes it to the process chamber of the process module. When the processing in the process module is completed, the robot of the transfer module receives the wafer from the processing chamber of the process module and passes it to the load lock chamber.
  • the load lock chamber is returned to atmospheric pressure, and a robot arranged outside under atmospheric pressure carries the wafer out of the load lock chamber.
  • one process module that performs processing on the liquid crystal substrate is connected to one transport module on which a robot for transporting the substrate is mounted.
  • the transfer chamber also serves as a load lock chamber, and the inside of the transfer chamber is evacuated or returned to atmospheric pressure.
  • the robot of the transfer module is required to have a function of rotating the object to be processed in a horizontal plane so that the wafer can be transferred even in a narrow space in the transfer chamber and a function of moving the object to be processed in the radial direction.
  • a legged type robot As a robot having a turning function and an expansion / contraction function, a legged type robot (see Patent Document 1) having four links like a leg of a leg, and a scalar type in which a plurality of connected arms move in a horizontal direction.
  • a robot see Patent Document 2) and a cylindrical coordinate system robot (see Patent Document 3) in which an arm rotates in a horizontal plane and a slider attached to the arm slides in a radial direction with respect to the arm are known.
  • the size of the wafer has increased from, for example, a diameter of 300 mm to 450 mm.
  • the transfer chamber is also increased in size.
  • the transfer chamber is provided with an openable / closable lid for cleaning the transfer chamber and for maintenance of the robot. Since the inside of the transfer chamber is vacuum, a load in tons is applied to the lid by atmospheric pressure. Increasing the area of the lid also increases the load acting on the lid in proportion to the area.
  • the lid is required to have sufficient strength, so that a large measure such as increasing the thickness of the lid or reinforcing it with a beam is required.
  • an opening / closing assist mechanism such as a gas spring for assisting the opening / closing of the lid is also increased in size.
  • a measure leads to further cost increase of the transfer chamber.
  • Patent Document 1 describes that a rotatable shaft is provided between an upper wall and a lower wall of a transfer chamber (see Patent Document 1, page 9, FIG. 10).
  • thrust bearings that guide rotation of the shaft and load atmospheric pressure acting on the upper wall are provided at the upper and lower ends of the shaft. Since the thrust bearing which becomes the generation source of particles (particles) is disposed above the object to be processed, there is a problem that particles (particles) adhere to the object to be processed.
  • an object of the present invention is to provide a transfer module that can increase the rigidity of the transfer chamber and prevent particles from adhering to the object to be processed.
  • the lid of the transfer chamber is periodically opened to clean the inside and inspect the robot.
  • the inside of the transfer chamber must be returned to atmospheric pressure.
  • a gas such as nitrogen is supplied into the transfer chamber.
  • pressure adjusting gas may be supplied into the transfer chamber so that the process gas in the process module does not go to the transfer chamber.
  • Another object of the present invention is to provide a transfer module capable of uniformly distributing gas into the transfer chamber.
  • a transfer chamber that is connected to a processing chamber that processes an object to be processed and can be evacuated, and provided in the transfer chamber, the processing chamber And a robot for delivering the object to be processed between the transfer chamber and the transfer chamber, wherein the transfer chamber has an openable / closable lid, and the robot is part of a mechanism for transferring the object to be processed.
  • the conveyance module has a hollow rotating shaft, and a pillar supporting the lid in a closed state is disposed in the hollow rotating shaft.
  • Another aspect of the present invention is a transfer chamber that is connected to a processing chamber that processes an object to be processed and can be evacuated, and is provided in the transfer chamber, between the processing chamber and the transfer chamber. And a robot for delivering the object to be processed, wherein the transfer chamber has an openable / closable lid, and the robot has a hollow rotating shaft in a part of the mechanism for transferring the object to be processed.
  • the column becomes an obstacle when the robot turns the object to be processed around the rotating shaft or moves in a radial direction.
  • the column applies a load acting on the lid due to the atmospheric pressure, the thickness of the lid can be reduced, and the manufacturing cost can be reduced.
  • the rotating shaft does not support the lid, a bearing is not disposed above the object to be processed, and particles (particles) can be prevented from adhering to the object to be processed.
  • the gas can be blown out from the approximate center of the transfer chamber by disposing the column for blowing out the gas in the hollow rotation shaft of the robot. Gas can be distributed evenly.
  • FIG. 1 shows an example in which the transfer module of the present invention is applied to a transfer module of a semiconductor device manufacturing apparatus called a cluster type platform.
  • This semiconductor device manufacturing apparatus is mainly classified into an inlet transport system 1 and a processing system system 2.
  • the entrance transfer system 1 is provided with an entrance transfer chamber 3 formed in a vertically long shape.
  • a cassette container for storing a plurality of wafers as processing objects is installed.
  • a positioning device 5 for recognizing a notch of the wafer and positioning the wafer is provided.
  • an articulated robot 7 that carries a wafer between the entrance port 4 and the load lock chamber 6 is mounted.
  • the articulated robot 7 has a slide shaft 8 so that it can slide in the longitudinal direction of the entrance transfer chamber 3.
  • the pickup for holding the wafer of the articulated robot 7 can move in the vertical direction and the horizontal direction so that the wafer can be delivered.
  • a transfer module 10 formed in a polygonal shape is arranged.
  • a plurality of process modules 11 are arranged radially around the transfer module 10.
  • Each process module 11 performs various processes such as film formation, etching, oxidation, and diffusion on a wafer in a vacuumed processing chamber.
  • a load lock chamber 6 is connected to the transfer module 10.
  • the load lock chamber 6 is a small chamber in which evacuation and return to atmospheric pressure are repeatedly performed.
  • the transfer module 10 and the process module 11, and the transfer module 10 and the load lock chamber 6 are connected via gate valves 13 and 16.
  • the load lock chamber 6 and the entrance transfer chamber 3 are connected via a gate valve 15.
  • the overall movement of the semiconductor device manufacturing equipment is as follows. As shown in FIG. 1, first, the articulated robot 7 holds the wafer accommodated in the cassette container of the inlet port 4 and conveys it to the positioning device 5. After the positioning device 5 positions the wafer, the articulated robot 7 transports the wafer to the load lock chamber 6. At this time, the inside of the load lock chamber 6 is at atmospheric pressure.
  • the gate valve 15 on the inlet transfer chamber 3 side of the load lock chamber 6 is closed, and the load lock chamber 6 is evacuated. Thereafter, the gate valve 13 is opened, and the load lock chamber 6 and the transfer module 10 are communicated.
  • the transfer module 10 is evacuated in advance.
  • the robot 12 mounted on the transfer module 10 holds the wafer in the load lock chamber 6 and takes it into the transfer chamber 14. Thereafter, the robot 12 delivers the wafer to the process module 11.
  • the robot 12 takes out the wafer from the process module 11 and passes the wafer to the process module 11 (at the next site) that performs the next process.
  • the robot 12 transports the wafer in the process module 11 to the load lock chamber 6.
  • the articulated robot 7 carries out the processed wafer from the load lock chamber 6 to the outside.
  • the transfer chamber of the transfer module 10 is formed in a polygonal box shape corresponding to the number and arrangement of process modules such as a quadrangle, a hexagon, and an octagon.
  • the length of one side of the process module 11 is about 800 to 900 mm.
  • the length of one side of the polygon of the transfer chamber 14 is set to about 1000 mm, for example, and when two process modules 11 are connected, For example, it is set to about 1800 mm.
  • the transfer chamber 14 includes a main body 21 in which the robot 12 is accommodated, and a lid 22 that can be opened and closed with respect to the main body 21.
  • the main body portion 21 includes a bottom wall portion 21a formed in a polygonal shape and a side wall portion 21b surrounding the bottom wall portion 21a.
  • a slit 23 for taking in and out the wafer is opened in the side wall portion 21b.
  • a lid 22 is attached to the side wall portion 21b so as to be openable and closable. The opening / closing operation of the lid 22 is guided by a hinge attached to the side wall portion 21b.
  • a large-diameter O-ring (not shown) for sealing the inside of the transfer chamber 14 is disposed between the lid 22 and the side wall.
  • the material of the main body 21 and the lid 22 is aluminum or stainless steel, and a protective film such as alumina may be coated.
  • an opening 25 is opened in the center of the bottom wall portion 21a.
  • a structure 26 that closes the opening 25 is attached to the lower side of the bottom wall portion 21a.
  • This structure 26 constitutes the base of the robot 12.
  • a pillar 28 protruding upward from the bottom is integrally provided.
  • a transport mechanism of the robot 12 is assembled around the pillar 28.
  • the first and second transport mechanisms 31, 32 of the foot type are arranged symmetrically with respect to the column 28.
  • the robot 12 turns the first and second transport mechanisms 31 and 32 in a horizontal plane and expands and contracts the first and second transport mechanisms 31 and 32 in the radial direction.
  • the idle time of the process module 11 can be eliminated. Specifically, immediately after the first transport mechanism 31 takes out the processed wafer W in the process module 11, the robot 12 moves the first and second transport mechanisms 31 and 32 in a contracted state 180 in a horizontal plane. Rotate degrees. Then, the second transfer mechanism 32 is extended and an unprocessed wafer W is put into the process module 11.
  • Each of the first and second transport mechanisms 31 and 32 extends and retracts the four links like a heel foot and puts in and out the wafer.
  • the first and second transport mechanisms 31 and 32 are disposed on the lower side of the first arm 33 extending from the column 28 in the radial direction, and opposite to the first arm 33 from the column 28.
  • a second arm 34 extending in the direction. The length of the first arm 33 and the length of the second arm 34 are the same.
  • the first arm 33 is coupled to a hollow first rotating shaft 36 surrounding the column 28.
  • the second arm 34 is coupled to a hollow second rotating shaft 37 that surrounds the first rotating shaft 36.
  • the first and second rotary shafts 36 and 37 are driven to rotate by hollow first and second direct drive motors 38 and 39 coupled to the structure 26, respectively.
  • the stator sides of the direct motors 38 and 39 are coupled to the structure 26, and the mover side is coupled to the rotary shafts 36 and 37.
  • the rotation centers of the first and second rotation shafts 36 and 37 coincide with the center of the column 28.
  • the first and second rotary shafts 36 and 37 may be rotationally driven using a hollow planetary gear mechanism.
  • the first transport mechanism 31 further includes a first link 41 rotatably connected to the tip of the first arm 33 via a pin, and a pin connected to the tip of the second arm 34. And a second link 42 rotatably connected thereto.
  • the lengths of the first and second links 41 and 42 are the same, and are longer than the lengths of the first and second arms 33 and 34.
  • a first support plate 45 as a support for supporting the wafer W is rotatably connected to the tips of the first link 41 and the second link 42 via pins.
  • the first and second links 41 and 42 rotate in a horizontal plane.
  • the second transport mechanism 32 further includes a third link 43 that is rotatably connected to the tip of the first arm 33 via a pin, and is rotatably connected to the tip of the second arm 34 via a pin. And a fourth link 44.
  • a second support plate 46 that supports the wafer W is rotatably connected to the tips of the third link 43 and the fourth link 44 via pins.
  • the third and fourth links 43 and 44 rotate in a horizontal plane.
  • the column 28 penetrates the first and second rotating shafts 36 and 37 and protrudes upward.
  • the upper end of the column 28 contacts the closed lid 22.
  • a load in tons is applied to the lid 22 by atmospheric pressure.
  • the load acting on the lid 22 is supported by the pillar 28 and the side wall portion 21b. Only the compressive load is applied to the column 28 from the lid 22, and no moment is applied thereto.
  • the diameter of the column 28 is set so that the compressive load acting on the column 28 is equal to or less than the buckling load of the column 28.
  • the diameter of the column 28 is set to about 50 to 60 mm.
  • a sensor for measuring the wafer is attached to the lid 22.
  • the thickness of the lid 22 must be considerably increased.
  • the thickness of the lid 22 can be made much thinner than a conventional lid, resulting in a significant cost. Down is possible.
  • the opening / closing assist mechanism is also simple (can be reduced in some cases), so that the cost can be similarly reduced.
  • FIG. 4 shows an operation diagram of the first and second transport mechanisms 31 and 32.
  • the first and second arms 33, 34 are arranged on a straight line (the angle formed by the first and second arms 33, 34 is 180 degrees)
  • the first And the 2nd conveyance mechanisms 31 and 32 will be in the folded state.
  • the first and second rotary shafts 36 and 37 are rotated in the same direction in this state, the first and second transport mechanisms 31 and 32 in the folded state can be turned in a horizontal plane (FIG. 4). (B)).
  • the turning radius can be reduced by turning the first and second transport mechanisms 31 and 32 in a folded state.
  • FIG. 5A shows an example in which a blowout port 47 for blowing gas to the column 28 is provided.
  • a gas passage 28 a extending in the vertical direction is formed at the center of the column 28.
  • the gas passage 28a diverges radially at the upper end of the column 28 (see 28b).
  • Gas outlets 47 are formed on the outer peripheral surface of the column 28 at equal intervals in the circumferential direction.
  • a pressure adjusting gas may be blown out from the blowout port 47 so that the process gas in the process module 11 does not go to the transfer chamber 14. Since the column 28 is disposed at substantially the center of the transfer chamber 14, gas can be blown from a substantially equal distance toward the plurality of radial process modules 11 around the transfer chamber 14. For this reason, it becomes possible to prevent the gas from leaking equally to any of the process modules 11. On the other hand, if the column 28 is displaced from the center, it is difficult to prevent the process gas from leaking out from the process module 11 located far from the column 28.
  • FIG. 6 shows an example in which an adhesive peel-off unit is arranged on the upper part of the pillar 28.
  • a female screw 22a is formed at the center of the lid 22, and a male screw 52 is screwed into the female screw 22a.
  • the lower end of the male screw 52 contacts the upper end of the column 28.
  • the lid 22 can be lifted from the column 28 by turning the male screw 52.
  • An annular O-ring 53 is disposed between the upper surface of the column 28 and the lower surface of the lid 22 so as to surround the male screw 52. The load on the lid 22 is supported by the column 28 via the O-ring 53.
  • a large-diameter O-ring for sealing the inside of the transfer chamber 14 is disposed between the side wall 21b and the lid 22.
  • Fluoro rubber is used for the material of the large-diameter O-ring. Fluoro-based rubber has stickiness.
  • the present invention is not limited to a robot having a steam-added-type transport mechanism, and if it is a robot having a mechanism for turning a wafer around a hollow shaft and moving the wafer in a radial direction, a SCARA robot And can be applied to a robot in a cylindrical coordinate system.
  • Fig. 7 shows a SCARA robot.
  • the SCARA robot has a plurality of arms 51 and 56 that turn in a horizontal plane.
  • the first arm 51 rotates around a hollow rotating shaft (not shown).
  • a column 54 is disposed in the hollow rotating shaft.
  • the wafer W can be turned in a horizontal plane by rotating the first arm 51.
  • the wafer W can be moved in the radial direction by rotating the first arm 51 and the second arm 56 in opposite directions.
  • Fig. 8 shows a cylindrical coordinate system robot.
  • This robot includes a ⁇ axis 61 for turning the wafer and an R axis 62 for sliding the wafer in the radial direction.
  • the ⁇ axis 61 has a hollow rotation axis.
  • a column 64 passes through the hollow rotation shaft of the ⁇ -axis 61.
  • the R-axis 62 is provided with a linear guide that guides the movement of the wafer in the radial direction. The wafer can be moved in the radial direction by linearly driving the linear guide block 63 of the R axis 62 by the belt 65 or the like.
  • the transfer module of the present invention is not limited to a semiconductor device manufacturing apparatus, but can also be applied to an FPD manufacturing apparatus.
  • one process module for processing is connected to one transfer module on which a robot for transferring a liquid crystal substrate is mounted.
  • the transfer chamber also serves as a load lock chamber, and the inside of the transfer chamber is evacuated or returned to atmospheric pressure.
  • the transfer module of the present invention can be applied to an in-line type semiconductor device manufacturing apparatus in which the entrance and exit of the wafer are different.
  • the transfer module 71 on the entrance side only puts the wafer into the process module 73, and the transfer module 72 on the exit side only takes out the wafer from the process module 73.
  • the robot of the transport module may not have two transport mechanisms, but may have only one transport mechanism. When the inside of the processing chamber is cleaned after the wafer is processed by the process module, even a single transfer mechanism of the robot can work sufficiently.
  • the lid does not have to be supported by the pillar.
  • a CCD camera or the like for monitoring the movement of the wafer inside the transfer chamber may be attached to the column.
  • Transfer module DESCRIPTION OF SYMBOLS 11 ... Process module 12 ... Robot 14 ... Transfer chamber 21 ... Main-body part 22 ... Cover 26 ... Structure 28, 54, 64 ... Pillar 31, 32 ... First and second transfer mechanism 33 ... First arm 34 ... First Second arm 36 ... first rotary shaft 37 ... second rotary shaft 41 ... first link 42 ... second link 43 ... third link 44 ... fourth link 45 ... first support plate (support body) 46 ... Second support plate (support) 47 ... Air outlet 52 ... Male thread (screw) 53 ... O-ring (seal member)

Landscapes

  • Engineering & Computer Science (AREA)
  • Robotics (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

 搬送室の剛性を高くすることができる搬送モジュールを提供する。内部を真空にすることが可能な搬送室(14)に開閉可能に蓋(22)を設ける。搬送室(14)内にロボット(12)を搭載する。ロボット(12)は、被処理体(W)を搬送する機構の一部に中空の回転軸(36,37)を有する。ロボット(12)の中空の回転軸(36,37)内には、閉じた状態の蓋(22)を支える柱(28)が配置される。大気圧によって蓋(22)に作用する荷重を柱(28)が負荷するので、蓋(22)の肉厚を薄くすることができ、製造コストの削減を図れる。しかも、ロボットが被処理体(W)を回転軸(36,37)の回りを旋回させたり、放射方向に移動させたりする際、柱(28)が邪魔になることもない。

Description

搬送モジュール
 本発明は、半導体ウェハ、液晶用基板、有機EL素子等の被処理体を処理する処理チャンバに接続され、内部を真空状態にすることができる搬送室と、この搬送室内に設けられ、処理チャンバと搬送室との間で被処理体を受け渡すロボットと、を備える搬送モジュールに関する。
 半導体デバイスやFPD(Flat Panel Display)の製造にあたり、半導体基板や液晶用基板等の被処理体には、成膜、エッチング、酸化、拡散等の各種の処理が施される。これらの処理はプロセスモジュールの処理チャンバ内で行われる。処理を安定させるために処理チャンバの内部は真空に保持される。処理チャンバの内部を真空に保ったまま被処理体を入れ替えられるように、処理チャンバに接続される搬送室も真空にされる。搬送室内には、処理チャンバと搬送室との間で被処理体を受け渡しするロボットが搭載される。
 クラスタ型プラットフォームと呼ばれる半導体デバイスの製造装置においては、装置の中央にウェハを搬送するロボットが搭載された搬送モジュールが配置され、搬送モジュールの周囲に放射状に、ウェハに各種の処理を行う複数のプロセスモジュール(Process Module:PM)が配置される。この搬送モジュールはトランスファーモジュール(Transfer Module:TM)と呼ばれる。搬送モジュールには、大気圧下の外部と被処理体を受け渡しするロードロック室が接続される。ロードロック室は、内部を真空にしたり、大気圧に戻したりするのが容易な小部屋からなる。大気圧下の外部に配置されるロボットがウェハをロードロック室に搬送する。ロードロック室が真空にされた後、搬送モジュールのロボットがロードロック室内のウェハを保持し、搬送室内に引き込んだ後、プロセスモジュールの処理チャンバに渡す。プロセスモジュールでの処理が終わったら、搬送モジュールのロボットはプロセスモジュールの処理チャンバからウェハを受け取り、ロードロック室に渡す。ロードロック室は大気圧に戻され、大気圧下の外部に配置されるロボットがウェハをロードロック室から搬出する。
 また、基板を搬送するロボットが搭載された一つの搬送モジュールに対して、液晶用基板に処理を行う一つのプロセスモジュールが接続される。この場合、搬送室がロードロック室を兼ね、搬送室の内部が真空にされたり、大気圧に戻されたりする。
 搬送モジュールのロボットには、搬送室内の狭い空間でもウェハを搬送できるように被処理体を水平面内で旋回させる機能や、被処理体を放射方向に移動させる機能が要求される。
 旋回機能及び伸縮機能を備えるロボットとして、蛙の足のように四本のリンクを構成した蛙足式のロボット(特許文献1参照)、連結された複数本のアームが水平方向に動作するスカラ型ロボット(特許文献2参照)、アームが水平面内で回転すると共に、アームに取り付けたスライダがアームに対して半径方向にスライドする円筒座標系ロボット(特許文献3参照)が知られている。
特開平3-136779号公報 特開平8-274140号公報 特開2004-165579号公報
 近年、1チップ当たりのコストを下げるためにウェハのサイズが、例えば口径300mmから450mmに大型化してきる。ウェハのサイズの大型化に伴い、搬送室の大型化も余儀無くされている。しかし、搬送室の寸法をスケールアップ(大型化)しても、従来の搬送室の構成のままではウェハサイズの大型化に対応することが困難である。なぜならば、搬送室内の清掃やロボットのメンテナンスのために搬送室には開閉可能な蓋が設けられる。搬送室の内部は真空なので、大気圧によって蓋にはトン単位の荷重がかかる。蓋の面積を大きくすると、面積に比例して蓋に作用する荷重も大きくなる。蓋には十分な強度が要求されるので、蓋の板厚を増やしたり、梁で補強したりするなどの大掛かりな対策が必要になるからである。また、重くなった蓋を容易に開閉できるようにしなければならないことから、蓋の開閉をアシストするガススプリング等の開閉アシスト機構も大型化する。もちろん、このような対策は搬送室のさらなるコストアップを招く。
 特許文献1には、搬送室の上壁と下壁との間に回転可能なシャフトを設けることが記載されている(特許文献1の9頁、図10参照)。しかし、特許文献1に記載の発明においては、シャフトの上端及び下端に、シャフトの回転を案内すると共に上壁に作用する大気圧を負荷するスラストベアリングが設けられる。パーティクル(粒子)の発生源になるスラストベアリングが被処理体よりも上方に配置されるので、被処理体にパーティクル(粒子)が付着するという問題がある。
 そこで本発明は、搬送室の剛性を高くすることができ、被処理体にパーティクル(粒子)が付着するのも防止できる搬送モジュールを提供することを目的とする。
 ところで、搬送室の蓋は内部をクリーニングしたり、ロボットを点検したりするために定期的に開けられる。蓋を開けるためには、搬送室の内部を大気圧に戻さなければならない。このため、搬送室の内部には窒素等のガスが供給される。搬送モジュールとプロセスモジュールとで被処理体を受け渡しするときにも、プロセスモジュール内のプロセスガスが搬送室に行かないよう搬送室の内部に圧力調整用のガスが供給されることもある。
 搬送室の内部に窒素等のガスや圧力調整用のガスを供給する場合、搬送室が大型化しても搬送室の内部の全体に均一にガスを行き渡らせられることが望まれる。そこで本発明の他の目的は、搬送室の内部にガスを均一に行き渡らせることができる搬送モジュールを提供すことにある。
 上記課題を解決するために、本発明の一態様は、被処理体を処理する処理チャンバに接続され、内部を真空にすることが可能な搬送室と、前記搬送室内に設けられ、前記処理チャンバと前記搬送室との間で被処理体を受け渡すロボットと、を備える搬送モジュールにおいて、前記搬送室は開閉可能な蓋を有し、前記ロボットは前記被処理体を搬送する機構の一部に中空の回転軸を有し、前記中空の回転軸内には、閉じた状態の前記蓋を支える柱が配置される搬送モジュールである。
 本発明の他の態様は、被処理体を処理する処理チャンバに接続され、内部を真空にすることが可能な搬送室と、前記搬送室内に設けられ、前記処理チャンバと前記搬送室との間で被処理体を受け渡すロボットと、を備える搬送モジュールにおいて、前記搬送室は開閉可能な蓋を有し、前記ロボットは前記被処理体を搬送する機構の一部に中空の回転軸を有し、前記中空の回転軸内には、前記搬送室内にガスを吹き出す吹出口を有する柱が配置される搬送モジュールである。
 本発明の一態様によれば、中空の回転軸内に柱を配置することで、ロボットが被処理体を回転軸の回りを旋回させたり、放射方向に移動させたりする際、柱が邪魔になることがない。しかも、大気圧によって蓋に作用する荷重を柱が負荷するので、蓋の肉厚を薄くすることができ、製造コストの削減を図れる。さらに、回転軸が蓋を支持することがないから、被処理体の上方にベアリングが配置されることがなく、被処理体にパーティクル(粒子)が付着するのを防止できる。
 本発明の他の態様によれば、ロボットの中空の回転軸内にガスを吹き出す柱を配置することにより、搬送室の略中央からガスを吹き出すことができ、大型化しても搬送室の内部に均一にガスを行き渡らせることができる。
クラスタ型プラットフォームと呼ばれる半導体デバイスの製造装置の平面図 本発明の一実施形態の搬送モジュール(トランスファーモジュール)の斜視図 上記搬送モジュールの断面図 ロボットの第一及び第二の搬送機構の動作図 柱の吹出口を示す図(図中(a)は斜視図、図中(b)は断面図) 固着はがしユニットを示す断面図 スカラ型ロボットを示す斜視図 円筒座標系のロボットの側面図 インライン型の半導体デバイスの製造装置の平面図
 以下、添付図面を参照して、本発明の搬送モジュールの一実施形態を説明する。図1は、本発明の搬送モジュールをクラスタ型プラットフォームと呼ばれる半導体デバイスの製造装置のトランスファーモジュールに適用した例を示す。この半導体デバイス製造装置は、主に入口搬送系1と処理システム系2とに分類される。
 入口搬送系1には、縦長に形成される入口搬送室3が設けられる。入口搬送室3の側面の入口ポート4には、被処理体としてのウェハを複数枚収容するカセット容器が設置される。入口搬送室3の長手方向の端部には、ウェハのノッチ等を認識してウェハの位置決めを行う位置決め装置5が設けられる。入口搬送室3には、入口ポート4とロードロック室6との間でウェハの受け渡しを行う多関節ロボット7が搭載される。多関節ロボット7は、入口搬送室3の長手方向にスライドできるようスライド軸8を有する。多関節ロボット7の、ウェハを保持するピックアップは、ウェハを受け渡しできるように垂直方向にかつ水平方向に移動できる。
 処理システム系2の中央には、多角形に形成されたトランスファーモジュール10が配置される。トランスファーモジュール10の周囲には放射状に複数のプロセスモジュール11が配置される。各プロセスモジュール11は、真空引きされた処理チャンバ内でウェハに成膜、エッチング、酸化、拡散等の各種の処理を行う。トランスファーモジュール10にはロードロック室6が連結される。ロードロック室6は、真空引きと大気圧復帰が繰り返し行われる小部屋からなる。トランスファーモジュール10とプロセスモジュール11、及びトランスファーモジュール10とロードロック室6は、ゲートバルブ13,16を介して連結される。ロードロック室6と入口搬送室3とは、ゲートバルブ15を介して連結される。
 図2に示すように、トランスファーモジュール10は、平面多角形に形成される搬送室14と、搬送室14内に搭載されるロボット12と、を備える。このロボット12は、ロードロック室6に搬送された未処理のウェハを受け取り、トランスファーモジュール10内に引き入れた後、プロセスモジュール11に渡す。また、プロセスモジュール11内の処理済みのウェハを受け取り、トランスファーモジュール10内に引き入れた後、ロードロック室6に搬送する。ロボット12は、搬送室内の水平面内でウェハを旋回させる機能と、放射方向にウェハを移動させる機能を併せ持つ。ロボット12は、まず水平面内でウェハWを旋回させて、放射状に配列されたプロセスモジュール11又はロードロック室6の方向に向ける。そして、ウェハWを放射方向に移動させて、ウェハWを搬送室14からプロセスモジュール11又はロードロック室6内に移動させる。
 半導体デバイス製造装置の全体の動きは以下のとおりである。図1に示すように、まず多関節ロボット7は、入口ポート4のカセット容器内に収容されたウェハを保持し、位置決め装置5に搬送する。位置決め装置5がウェハを位置決めした後、多関節ロボット7はウェハをロードロック室6に搬送する。このとき、ロードロック室6の内部は大気圧になっている。
 次に、ロードロック室6の入口搬送室3側のゲートバルブ15を閉じ、ロードロック室6を真空にする。その後、ゲートバルブ13を開け、ロードロック室6とトランスファーモジュール10とを連通させる。トランスファーモジュール10はあらかじめ真空にされている。トランスファーモジュール10に搭載されるロボット12は、ロードロック室6内のウェハを保持し、搬送室14内に取り込む。その後、ロボット12はプロセスモジュール11にウェハを渡す。プロセスモジュール11での処理が終わると、ロボット12はプロセスモジュール11からウェハを取り出し、次の処理を行う(次のサイトの)プロセスモジュール11にウェハを渡す。プロセスモジュール11での処理の全体が終了すると、ロボット12はプロセスモジュール11内のウェハをロードロック室6に搬送する。
 次に、ロードロック室6のゲートバルブ13を閉じ、ゲートバルブ15を開け、ロードロック室6を大気圧に復帰させる。多関節ロボット7は、処理が終了したウェハをロードロック室6から外部に搬出する。
 図2に示すように、トランスファーモジュール10の搬送室は、四角形、六角形、八角形等の、プロセスモジュールの数や配置に対応した多角形の箱型に形成される。プロセスモジュール11の一辺の長さは800~900mm程度である。搬送室14の多角形の一辺に一つのプロセスモジュール11が接続される場合、搬送室14の多角形の一辺の長さは例えば1000mm程度に設定され、二つのプロセスモジュール11が接続される場合、例えば1800mm程度に設定される。
 搬送室14は、ロボット12が収容される本体部21と、本体部21に対して開閉可能な蓋22と、を有する。本体部21は、多角形に形成される底壁部21aと、底壁部21aの周囲を囲む側壁部21bと、を有する。側壁部21bには、ウェハを出し入れするためのスリット23が空けられる。側壁部21bには、蓋22が開閉可能に取り付けられる。蓋22の開閉動作は側壁部21bに取り付けた蝶番によって案内される。蓋22と側壁部との間には、搬送室14の内部を密封するための大口径のOリング(図示せず)が配置される。本体部21及び蓋22の材質は、アルミやステンレスであり、アルミナ等の保護膜が被膜されていてもよい。
 蓋22は、多角形の本体部21に対応して多角形に形成される。蓋22には搬送室14内部のウェハを視認したり、測定したりするための窓やセンサが取り付けられる。ウェハに処理を行っている間、搬送室14の蓋22は閉じられ、搬送室14の内部は真空にされる。搬送室14の内部を清掃したり、ロボット12を点検したりするときに、蓋22が開けられる。
 図3の断面図に示すように、底壁部21aの中央には、開口25が空けられる。底壁部21aの下側には開口25を塞ぐ構造体26が取り付けられる。この構造体26はロボット12のベースを構成する。構造体26の中央には、底部から上方に突出する柱28が一体に設けられる。柱28の周囲にロボット12の搬送機構が組み立てられる。
 図2に示すように、柱28に関して対称に蛙足式の第一及び第二の搬送機構31,32が配置される。ロボット12は、第一及び第二の搬送機構31,32を水平面内で旋回させ、かつ第一及び第二の搬送機構31,32を放射方向に伸縮させる。二つの搬送機構31,32を設けることでプロセスモジュール11の空き時間をなくすことができる。具体的には、第一の搬送機構31がプロセスモジュール11内の処理済みのウェハWを取り出した直後、ロボット12は縮んだ状態の第一及び第二の搬送機構31,32を水平面内で180度回転させる。そして、第二の搬送機構32を伸ばし、未処理のウェハWをプロセスモジュール11内に入れる。
 第一及び第二の搬送機構31,32それぞれは、四本のリンクを蛙の足のように伸縮させてウェハを出し入れする。第一及び第二の搬送機構31,32は、柱28から半径方向に伸びる第一のアーム33、及び第一のアーム33の下側に配置され、柱28から第一のアーム33とは反対方向に伸びる第二のアーム34と、を備える。第一のアーム33の長さと第二のアーム34の長さは同一である。
 図2に示すように、第一のアーム33は柱28を囲む中空の第一の回転軸36に結合される。第二のアーム34は第一の回転軸36を囲む中空の第二の回転軸37に結合される。第一及び第二の回転軸36,37はそれぞれ、構造体26に結合された中空の第一及び第二のダイレクトドライブモータ38,39によって回転駆動される。ダイレクトモータ38,39の固定子側が構造体26に結合され、可動子側が回転軸36,37に結合される。第一及び第二の回転軸36,37の回転中心は、柱28の中心に一致する。ダイレクトドライブモータ38,39を用いる替わりに中空の遊星歯車機構を用いて第一及び第二の回転軸36,37を回転駆動させてもよい。
 図2に示すように、第一の搬送機構31はさらに、第一のアーム33の先端にピンを介して回転可能に連結される第一のリンク41と、第二のアーム34の先端にピンを介して回転可能に連結される第二のリンク42と、を備える。第一及び第二のリンク41,42の長さは同一であり、第一及び第二のアーム33,34の長さよりも長い。第一のリンク41及び第二のリンク42の先端には、ウェハWを支持する支持体としての第一の支持プレート45がピンを介して回転可能に連結される。第一及び第二のリンク41,42は水平面内を回転する。
 第二の搬送機構32はさらに、第一のアーム33の先端にピンを介して回転可能に連結される第三のリンク43と、第二のアーム34の先端にピンを介して回転可能に連結される第四のリンク44と、を備える。第三のリンク43及び第四のリンク44の先端には、ウェハWを支持する第二の支持プレート46がピンを介して回転可能に連結される。第三及び第四のリンク43,44は水平面内を回転する。
 第一及び第二の搬送機構31,32は、図示しない昇降機構によって上下方向に移動される。支持プレート46,45にウェハWを支持させるためである。
 図3に示すように、柱28は第一及び第二の回転軸36,37を貫通し、上方に突出する。柱28の上端は閉じた状態の蓋22に接触する。搬送室14の内部を真空にしたとき、蓋22には大気圧によってトン単位の荷重が作用する。蓋22に作用する荷重は柱28及び側壁部21bによって支持される。柱28には蓋22から圧縮荷重のみが作用し、モーメントが作用することはない。柱28に作用する圧縮荷重が柱28の座屈荷重以下になるように、柱28の直径が設定される。柱28の直径は50~60mm程度に設定される。
 蓋22にはウェハを測定するセンサが取り付けられる。センサが位置ずれしないようにするためには、蓋22の剛性を高くし、蓋22のたわみを少なくしなければならない。蓋22が側壁部21bのみによって支持される場合、蓋22を支持するスパンが長くなるので、蓋22の肉厚をかなり厚くしなければならない。これに対し、本実施形態のように蓋22を搬送室14の中央の柱28で支持することにより、蓋22の肉厚を従来の蓋と比べてはるかに薄くすることができ、大幅なコストダウンが可能になる。また、蓋22の重量も軽くなることから開閉アシスト機構も簡易的(場合によっては削減もできる)なものになるので、同様にコストダウンを図れる。
 図4は、第一及び第二の搬送機構31,32の動作図を示す。図4(a)に示すように、第一及び第二のアーム33,34一直線上に配列される(第一及び第二のアーム33,34のなす角度が180度になる)と、第一及び第二の搬送機構31,32は折り畳まれた状態になる。この状態で第一及び第二の回転軸36,37を同方向に回転させると、折り畳まれた状態の第一及び第二の搬送機構31,32を水平面内で旋回させることができる(図4(b))。折り畳まれた状態の第一及び第二の搬送機構31,32を旋回させることで、回転半径を小さくすることができる。
 第一及び第二の搬送機構31,32が折り畳まれた状態(図4(a))において、例えば第一の回転軸36を反時計方向に回転させ、第二の回転軸37を時計方向に回転させると、第一の搬送機構31を伸ばし、第一の支持プレート45を放射方向に移動させることができる(図4(c))。このとき、第二の搬送機構32は柱28に接近するが、柱28に当たることはない。これとは逆に、第一及び第二の搬送機構31,32が折り畳まれた状態(図4(a))において、第一の回転軸36を時計方向に回転させ、第二の回転軸37を反時計方向に回転させると、第二の搬送機構32を伸ばし、第二の支持プレート46を放射方向に移動させることができる(図4(d))。このとき、第一の搬送機構31は柱28に向かって移動するが、柱28に当たることはない。
 図5(a)は、柱28にガスを吹き出す吹出口47を設けた例を示す。図5(b)に示すように、柱28の中心部には、上下方向に伸びるガス通路28aが形成される。ガス通路28aは柱28の上端部で放射状に分岐する(28b参照)。柱28の外周面には周方向に均等間隔を空けてガスの吹出口47が形成される。ガスの吹出口47から窒素等の気体を吹き出すことによって、搬送室14の内部を大気圧に戻すことが可能になる。
 また、トランスファーモジュール10とプロセスモジュール11とでウェハを受け渡しするときに、プロセスモジュール11内のプロセスガスが搬送室14に行かないよう吹出口47から圧力調整用のガスを吹き出してもよい。柱28は、搬送室14の略中央に配置されるので、搬送室14周囲の放射状の複数のプロセスモジュール11に向けて略等しい距離からガスを吹出すことができる。このため、いずれのプロセスモジュール11に対しても等しくガスが漏れ出るのを防止できるようになる。これに対し、もし柱28が中央からずれていると、柱28から遠い位置のプロセスモジュール11からプロセスガスが漏れ出るのを防止するのが困難になる。
 図6は、柱28の上部に固着はがしユニットを配置した例を示す。蓋22の中央部には雌ねじ22aが形成され、この雌ねじ22aに雄ねじ52が螺合する。雄ねじ52の下端は柱28の上端に当接する。雄ねじ52を回すことによって、蓋22を柱28から持ち上げることができる。柱28の上面と蓋22の下面との間には、雄ねじ52を囲むように環状のOリング53が配置される。蓋22の荷重はOリング53を介して柱28に支持される。
 上述のように、側壁部21bと蓋22との間には、搬送室14の内部をシールするための大口径のOリングが配置される。この大口径のOリングの材質にはフッソ系のゴムが使用される。フッソ系のゴムは固着性を有する。蓋22を大気圧で抑えた状態で時間が経つと、大口径のOリングが蓋22に固着する。こうなると、搬送室14の内部を大気圧に戻しても、蓋22を開けることが困難になる。固着はがしユニットを設けることにより、蓋22を柱28から持ち上げることができ、Oリングが固着しても蓋22を持ち上げることができる。
 本発明は、蒸気の蛙足式の搬送機構を有するロボットに限られることはなく、中空軸の回りをウェハを旋回させ、かつウェハを放射方向に移動させる機構を有するロボットならば、スカラ型ロボットや円筒座標系のロボットに適用することができる。
 図7はスカラ型ロボットを示す。スカラ型ロボットは水平面内で旋回する複数本のアーム51,56を有する。第一のアーム51は図示しない中空の回転軸の回りを回転する。中空の回転軸内には柱54が配置される。このスカラ型ロボットにおいては、第一のアーム51を回転させることによりウェハWを水平面内で旋回させることができる。そして、第一のアーム51及び第二のアーム56を反対方向に回転させることによりウェハWを放射方向に移動させることができる。
 図8は円筒座標系のロボットを示す。このロボットはウェハを旋回させるθ軸61と、ウェハを半径方向にスライドさせるR軸62と、を備える。θ軸61は中空の回転軸を有する。θ軸61の中空の回転軸内を柱64が貫通する。R軸62にはウェハが半径方向に移動するのを案内するリニアガイドが設けられる。R軸62のリニアガイドのブロック63をベルト65等により直線的に駆動させることによりウェハを半径方向に移動させることができる。
 なお、本発明は上記実施形態に限られず、本発明の要旨を変更しない範囲でさまざまに変更可能である。
 例えば本発明の搬送モジュールは、半導体デバイスの製造装置に限られることなく、FPDの製造装置に適用することもできる。この場合、液晶用基板を搬送するロボットが搭載された一つの搬送モジュールに、処理を行う一つのプロセスモジュールが接続される。そして、搬送室がロードロック室を兼ね、搬送室の内部が真空にされたり、大気圧に戻されたりする。
 また、本発明の搬送モジュールは、図9に示すように、ウェハの入口と出口とが異なるインライン型の半導体デバイスの製造装置にも適用することができる。入口側の搬送モジュール71はプロセスモジュール73内にウェハを入れるのみであり、出口側の搬送モジュール72はプロセスモジュール73からウェハを取り出すのみになる。
 搬送モジュールのロボットは、二つの搬送機構を備えていなくても、一つの搬送機構を備えるだけでもよい。プロセスモジュールでウェハを処理した後、処理チャンバ内をクリーニングする場合、ロボットの搬送機構が一つでも充分に仕事をすることができる。
 柱に複数の吹出口を設けた場合、柱で蓋を支えなくてもよい。柱には搬送室の内部のウェハの移動を監視するCCDカメラ等を取り付けてもよい。
 本明細書は、2009年6月3日出願の特願2009-134496に基づく。この内容はすべてここに含めておく。
10…トランスファーモジュール(搬送モジュール)
11…プロセスモジュール
12…ロボット
14…搬送室
21…本体部
22…蓋
26…構造体
28,54,64…柱
31,32…第一及び第二の搬送機構
33…第一のアーム
34…第二のアーム
36…第一の回転軸
37…第二の回転軸
41…第一のリンク
42…第二のリンク
43…第三のリンク
44…第四のリンク
45…第一の支持プレート(支持体)
46…第二の支持プレート(支持体)
47…吹出口
52…雄ねじ(ねじ)
53…Oリング(シール部材)

Claims (7)

  1.  被処理体を処理する処理チャンバに接続され、内部を真空にすることが可能な搬送室と、前記搬送室内に設けられ、前記処理チャンバと前記搬送室との間で被処理体を受け渡すロボットと、を備える搬送モジュールにおいて、
     前記搬送室は、開閉可能な蓋を有し、
     前記ロボットは、前記被処理体を搬送する機構の一部に中空の回転軸を有し、
     前記中空の回転軸内には、閉じた状態の前記蓋を支える柱が配置される搬送モジュール。
  2.  前記柱には、前記搬送室内にガスを吹き出す吹出口が設けられることを特徴とする請求項1に記載の搬送モジュール。
  3.  被処理体を処理する処理チャンバに接続され、内部を真空にすることが可能な搬送室と、前記搬送室内に設けられ、前記処理チャンバと前記搬送室との間で被処理体を受け渡すロボットと、を備える搬送モジュールにおいて、
     前記搬送室は、開閉可能な蓋を有し、
     前記ロボットは、前記被処理体を搬送する機構の一部に中空の回転軸を有し、
     前記中空の回転軸内には、前記搬送室内にガスを吹き出す吹出口を有する柱が配置される搬送モジュール。
  4.  前記蓋には、前記柱の上部に当接可能なねじが螺合し、
     前記柱に当接した前記ねじを回すことによって、前記蓋が前記柱から持ち上がることを特徴とする請求項1ないし3のいずれかに記載の搬送モジュール。
  5.  前記柱の上部と前記蓋との間には、前記ねじを囲むように環状のシール部材が設けられることを特徴とする請求項4に記載の搬送モジュール。
  6.  前記ロボットの前記機構は、伸縮可能な蛙足式の搬送機構であり、
     前記蛙足式の搬送機構は、
     中空の第一の回転軸と、
     中空の前記第一の回転軸の外周側又は内周側に配置される中空の第二の回転軸と、
     前記第一の回転軸に結合される第一のアームと、
     前記第二の回転軸に結合される第二のアームと、
     前記第一のアームに回転可能に連結される第一のリンクと、
     前記第二のアームに回転可能に連結される第二のリンクと、
     前記第一及び第二のリンクに回転可能に連結され、被処理体を支持する第一の支持体と、を有し、
     前記柱は、中空の前記第一及び前記第二の回転軸を貫通することを特徴とする請求項1ないし5のいずれかに記載の搬送モジュール。
  7.  前記蛙足式の搬送機構は、前記柱に関して対称に配置される第一及び第二の蛙足式の搬送機構を含み、
     第一の蛙足式の搬送機構は、前記第一及び前記第二の回転軸、前記第一及び前記第二のアーム、前記第一及び前記第二のリンク、及び前記第一の支持体を有し、
     第二の蛙足式の搬送機構は、前記第一及び前記第二の回転軸、前記第一及び前記第二のアーム、前記第一のアームに回転可能に連結される第三のリンク、前記第二のアームに回転可能に連結される第四のリンク、前記第三及び第四のリンクに回転可能に連結され、被処理体を支持する第二の支持体、を有し、
     折り畳まれた状態の前記第一及び前記第二の蛙足式の搬送機構の一方を伸ばしたとき、他方の蛙足式の搬送機構が前記柱に接近し、かつ前記柱に当たらないことを特徴とする請求項6に記載の搬送モジュール。
PCT/JP2010/058497 2009-06-03 2010-05-20 搬送モジュール WO2010140478A1 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US13/375,895 US20120087766A1 (en) 2009-06-03 2010-05-20 Transfer module
CN2010800346048A CN102460676A (zh) 2009-06-03 2010-05-20 搬运模块

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2009-134496 2009-06-03
JP2009134496A JP5306908B2 (ja) 2009-06-03 2009-06-03 搬送モジュール

Publications (1)

Publication Number Publication Date
WO2010140478A1 true WO2010140478A1 (ja) 2010-12-09

Family

ID=43297615

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2010/058497 WO2010140478A1 (ja) 2009-06-03 2010-05-20 搬送モジュール

Country Status (6)

Country Link
US (1) US20120087766A1 (ja)
JP (1) JP5306908B2 (ja)
KR (1) KR20120023055A (ja)
CN (1) CN102460676A (ja)
TW (1) TWI417983B (ja)
WO (1) WO2010140478A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9324594B2 (en) 2010-12-22 2016-04-26 Brooks Automation, Inc. Workpiece handling modules

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5675416B2 (ja) * 2011-02-17 2015-02-25 東京エレクトロン株式会社 被処理体の搬送方法及び被処理体処理装置
DE102013018291B4 (de) * 2013-10-31 2021-06-10 Asys Automatic Systems Gmbh & Co. Kg Arbeitseinheit für eine Reinraumanlage, sowie Arbeitsverbund hierzu
US10453725B2 (en) 2017-09-19 2019-10-22 Applied Materials, Inc. Dual-blade robot including vertically offset horizontally overlapping frog-leg linkages and systems and methods including same

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0615592A (ja) * 1992-04-23 1994-01-25 Applied Materials Inc ロボット・アセンブリ
JPH0710932U (ja) * 1993-07-15 1995-02-14 東芝機械株式会社 気相成長装置
JPH10335423A (ja) * 1997-06-02 1998-12-18 F O I:Kk 真空チャンバ
JP2001035800A (ja) * 1999-07-22 2001-02-09 Hitachi Ltd 半導体のエピタキシャル成長装置および成長方法
JP2006281440A (ja) * 2006-05-29 2006-10-19 Foi:Kk 真空チャンバ用回転伝動機構

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2919065B2 (ja) * 1990-11-29 1999-07-12 株式会社東芝 搬送装置
DE634699T1 (de) * 1993-07-16 1996-02-15 Semiconductor Systems Inc Gruppiertes fotolithografisches System.
ES2229247T3 (es) * 1995-03-28 2005-04-16 Brooks Automation Gmbh Estacion de carga y descarga para instalaciones de tratamiento de semiconductores.
WO1997009737A1 (en) * 1995-09-01 1997-03-13 Advanced Semiconductor Materials America, Inc. Wafer support system
US5951770A (en) * 1997-06-04 1999-09-14 Applied Materials, Inc. Carousel wafer transfer system
JP4330703B2 (ja) * 1999-06-18 2009-09-16 東京エレクトロン株式会社 搬送モジュール及びクラスターシステム
US6149365A (en) * 1999-09-21 2000-11-21 Applied Komatsu Technology, Inc. Support frame for substrates
JP2007005435A (ja) * 2005-06-22 2007-01-11 Rorze Corp 処理装置

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0615592A (ja) * 1992-04-23 1994-01-25 Applied Materials Inc ロボット・アセンブリ
JPH0710932U (ja) * 1993-07-15 1995-02-14 東芝機械株式会社 気相成長装置
JPH10335423A (ja) * 1997-06-02 1998-12-18 F O I:Kk 真空チャンバ
JP2001035800A (ja) * 1999-07-22 2001-02-09 Hitachi Ltd 半導体のエピタキシャル成長装置および成長方法
JP2006281440A (ja) * 2006-05-29 2006-10-19 Foi:Kk 真空チャンバ用回転伝動機構

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9324594B2 (en) 2010-12-22 2016-04-26 Brooks Automation, Inc. Workpiece handling modules

Also Published As

Publication number Publication date
JP2010283090A (ja) 2010-12-16
US20120087766A1 (en) 2012-04-12
TW201110260A (en) 2011-03-16
JP5306908B2 (ja) 2013-10-02
CN102460676A (zh) 2012-05-16
KR20120023055A (ko) 2012-03-12
TWI417983B (zh) 2013-12-01

Similar Documents

Publication Publication Date Title
JP5537552B2 (ja) シザーリフト搬送ロボット
US9245783B2 (en) Vacuum robot with linear translation carriage
TWI304241B (en) Vacuum processing apparatus
JP4950745B2 (ja) 搬送装置
JP5984036B2 (ja) z運動し、多関節アームを備える直線真空ロボット
WO2010140478A1 (ja) 搬送モジュール
JP2007234882A (ja) 基板処理装置および基板取り扱い方法
JP2009105081A (ja) 基板処理装置
WO2017154639A1 (ja) 基板処理装置
JP4100466B2 (ja) 液処理装置
JP2012004502A (ja) 基板処理装置
TW201938340A (zh) 疊排式線性軸機器人
KR20050042191A (ko) 대면적 기판 처리 시스템
KR101502130B1 (ko) 반송장치, 그가 설치된 반송챔버 및 이를 포함하는진공처리시스템
JP5560909B2 (ja) 蓋体保持治具
US20230084971A1 (en) Robot, and substrate transportation system comprising the same
JP2010076073A (ja) 回転駆動装置
JP5388279B2 (ja) 基板搬送処理装置及び方法
JPH11260890A (ja) 搬送装置
WO2010143505A1 (ja) 搬送モジュール
JP2004241547A (ja) 基板処理装置
JP4223504B2 (ja) 液処理装置
JP5073686B2 (ja) 基板処理装置及び基板処理装置内部の工程空間を開閉する方法
JPH10247676A (ja) 基板処理装置
JP2022112466A (ja) 基板処理装置

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 201080034604.8

Country of ref document: CN

121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 10783262

Country of ref document: EP

Kind code of ref document: A1

ENP Entry into the national phase

Ref document number: 20117028914

Country of ref document: KR

Kind code of ref document: A

NENP Non-entry into the national phase

Ref country code: DE

WWE Wipo information: entry into national phase

Ref document number: 13375895

Country of ref document: US

122 Ep: pct application non-entry in european phase

Ref document number: 10783262

Country of ref document: EP

Kind code of ref document: A1