JP4330703B2 - 搬送モジュール及びクラスターシステム - Google Patents

搬送モジュール及びクラスターシステム Download PDF

Info

Publication number
JP4330703B2
JP4330703B2 JP17331899A JP17331899A JP4330703B2 JP 4330703 B2 JP4330703 B2 JP 4330703B2 JP 17331899 A JP17331899 A JP 17331899A JP 17331899 A JP17331899 A JP 17331899A JP 4330703 B2 JP4330703 B2 JP 4330703B2
Authority
JP
Japan
Prior art keywords
transfer
module
chamber
movable
unit
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP17331899A
Other languages
English (en)
Other versions
JP2001002241A (ja
JP2001002241A5 (ja
Inventor
光明 小美野
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP17331899A priority Critical patent/JP4330703B2/ja
Priority to TW089111497A priority patent/TW483860B/zh
Priority to US09/595,930 priority patent/US6634845B1/en
Priority to KR1020000033122A priority patent/KR100756152B1/ko
Publication of JP2001002241A publication Critical patent/JP2001002241A/ja
Publication of JP2001002241A5 publication Critical patent/JP2001002241A5/ja
Application granted granted Critical
Publication of JP4330703B2 publication Critical patent/JP4330703B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G49/00Conveying systems characterised by their application for specified purposes not otherwise provided for
    • B65G49/05Conveying systems characterised by their application for specified purposes not otherwise provided for for fragile or damageable materials or articles
    • B65G49/06Conveying systems characterised by their application for specified purposes not otherwise provided for for fragile or damageable materials or articles for fragile sheets, e.g. glass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G2249/00Aspects relating to conveying systems for the manufacture of fragile sheets
    • B65G2249/02Controlled or contamination-free environments or clean space conditions

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Description

【0001】
【発明の属する技術分野】
本発明は、搬送モジュール及びクラスターシステムに関する。ここで、クラスターシステム(又はクラスターツール)とは、異なる装置メーカの設備、異なるプロセスの結合などを可能にするマルチチャンバ製造装置をいい、典型的に、搬送モジュール(トランスファーモジュール)とその周りに設けられた複数の処理モジュール(プロセスモジュール)とを有している。
【0002】
【従来の技術】
近年、従来の液晶ディスプレイ装置(LCD)、プラズマディスプレイ装置、半導体製造装置などにおいて複数の処理を一貫して行うことができるクラスターシステムの需要が高まっている。例えば、特開平10−275848に開示されているように、搬送モジュールは、八角形断面を有して搬送室(トランスファーチャンバ)を画定する筐体と、筐体内に回動自在に固定された搬送装置とを有している。処理モジュールは筐体の八角形の各辺に取り付け可能で、搬送装置は被処理体(例えば、ウェーハ)をロードロック室のロードロックカセットから取り出し、これを所定の処理モジュールの処理室(プロセスチャンバ)に搬入する。処理室において所定の処理がなされた処理体は搬送装置によって搬出され、次の処理モジュール、ロードロックモジュールその他のモジュールに搬送される。搬送装置は、典型的に、回動自在に筐体内で固定されたロボットとロボットに固定されて処理体を保持及び搬送する搬送アームとを有している。
【0003】
【発明が解決しようとする課題】
しかし、従来のクラスターシステムは搬送モジュールにおいて幾つかの問題を有する。即ち、配設可能な処理モジュールの最大数は搬送モジュールの筐体の形状によって予め決定されている。そして、筐体の形状は、例えば、八角形など種類が少なくて選択の自由度が少なく、加えて八角形などの加工は困難である。従って、従来のクラスターシステムは、段階的に処理モジュールの数を増加したいと思っていても最初から所定の形状(例えば、八角形)を有する搬送モジュールを購入しなければならず、段階的投資に不向きであり、初期投資や設置面積が大きく金額的にも場所的にも不経済である。設置面積はしばしば「フットプリント」や「フェイスプリント」などの用語で表現される。また、筐体の加工困難性はシステムを高価格にする。また、筐体の形状によって定まる処理モジュールまでしか拡張することができず(例えば、最大8台分)拡張性が低い。この結果、搬送モジュールが対応可能な数の処理モジュール(例えば、8台)以上の処理モジュールを設けるためにはもう一台の搬送装置を含むシステムを購入しなければならず、上述した問題を繰り返すことになる。更に、従来のクラスターシステムの搬送モジュールは搬送性も限定されている。即ち、搬送装置は筐体内に固定されているから、クラスターシステムの数を増加したとしても、一の搬送装置が搬送できる処理モジュールの数は8台までであり、第1のシステムのある処理室から第2のシステムの別の処理室へ搬送することは困難である。
【0004】
そこで、このような課題を解決する新規かつ有用な搬送モジュール及びクラスターシステムを提供することを本発明の概括的目的とする。
【0005】
【課題を解決するための手段】
本発明の一側面としての搬送モジュールは、搬送室を画定し、ほぼ矩形断面を有して着脱可能な側壁を有する増設可能な筐体と、前記増設可能な筐体に使用される搬送装置と、を有し、前記搬送装置は、前記搬送室内に設けられて前記筐体内の対向面上を無限軌道で移動可能な可動部と、当該可動部に接続され、前記筐体に設置された処理室と連通可能で被処理体を搬送可能な搬送部と、前記可動部を駆動する駆動部と、前記駆動部の駆動を制御する制御部とを有し、一の前記搬送装置で、前記対向面が互いに一致している複数の前記増設可能な筐体に共通に使用される。かかる搬送モジュールは加工の容易な矩形状で増設可能な筐体を有しており、搬送部は増設可能な筐体に設置された処理室に連通可能に構成される。従って、かかる可動部と搬送部は、例えば、複数の筐体に共通に使用することができる。
【0006】
また、本発明の他の側面としてのクラスターシステムは、被処理体に所定の処理を施す処理モジュールと、ほぼ矩形断面を有するロードロックモジュールと、前記処理モジュールと前記ロードロックモジュールに前記被処理体を搬入及び搬出可能な搬送モジュールとを有するクラスターシステムであって、前記搬送モジュールは、搬送室を画定し、ほぼ矩形断面を有して着脱可能な側壁を有する増設可能な筐体と、前記増設可能な筐体に使用される搬送装置と、を有し、前記搬送装置は、前記搬送室内に設けられて前記筐体内の対向面上を無限軌道で移動可能な可動部と、当該可動部に接続され、前記筐体に設置された処理室と連通可能で被処理体を搬送可能な搬送部と、前記可動部を駆動する駆動部と、前記駆動部の駆動を制御する制御部とを有し、一の前記搬送装置で、前記対向面が互いに一致している複数の前記増設可能な筐体に共通に使用される。かかるクラスターツールは、一の搬送装置を複数の増設可能な筐体とそれに接続された処理モジュールに共通に使用することができる。
【0007】
本発明の別の目的及び更なる特徴は、以下、添付図面を参照して説明される実施例において明らかになるであろう。
【0008】
【発明の実施の形態】
以下、添付図面を参照して、本発明の例示的一態様としてのクラスターシステム100について説明する。図1に、クラスターシステム100の構成例を示す。また、図2は、図1に示すクラスターシステム100を拡張したクラスターシステム100Aの構成例を示す。
【0009】
図1を参照するに、クラスターシステム100は、2つのロードポート10と、オリエンタ20と、ローダーモジュール30と、ロードロックモジュール40と、搬送モジュール50と、2つの処理モジュール80とを有している。なお、ロードロックモジュール40と処理モジュール80の数は所望の数に変更することができることはいうまでもない。
【0010】
ロードポート10は、本実施例ではMEポッド(フロント・オープンド・ユニファイド・ポッド(Front Opened Unified Pod)を収納しているが、本発明は、ボトム・オープンド・ユニファイド・ポッド、オープンカセットなどその他のいかなるウェーハキャリアへの適用も妨げるものではない。MEポッドは、例えば、AGV(Automated Guided Vehicle)や天井搬送装置(Overhead Hoist Transfer:OHT)などにより自動的に搬送されて、ロードポート10に装着される。各MEポッドは被処理体としての複数のウェーハを収納している。このようなキャリア(カセット、MEポッドなど)の自動搬送はウェーハの大口径化(例えば、300mm)に伴うキャリアの大型化、大重量化により従来のマニュアル搬送に代わるものとして特に需要が高い。なお、クラスターツール100が扱う被処理体はウェーハに限定されず、例えば、LCDガラス基板など所望の物体を搬送することができる。
【0011】
AGVは、典型的に、構内に形成された搬送レールに機械的に係合して搬送レールに案内されながら移動する。このような案内された移動の軌跡は、しばしば本出願においては「有限軌道」と称される。これに対して、「無限軌道」とは、移動の軌跡が予め案内部材との機械的係合によって決定されていないものをいう。AGVやOHTは既に周知であるので構造や動作の詳細な説明は省略する。
【0012】
オリエンタ20は、MEポッドに収納されたウェーハのオリエンテーションフラット(円形の一部を切除することによって形成された直線)やノッチを調整してウェーハの正確な位置決め(姿勢合わせ)を行う機能を有する。オリエンタ20は、機械的手段、光学的手段、超音波手段その他周知のいかなる手段をも利用することができる。機械的手段としては、例えば、ウェーハの外周とオリエンテーションフラットに押し当てられることによって位置決めを行う複数のピンを有する機構や、対向位置にウェーハの外周がある場合にはこれと接触してウェーハを回転させるが対向位置にオリエンテーションフラットがある場合にはウェーハと接触になりウェーハの回転を停止する回転ローラを有する機構などがある。光学的手段としては、例えば、発光素子と受光素子からなる透過型光センサによりオリエンテーションフラットを検出する機構などがある。超音波手段としては、例えば、反射型超音波センサによりオリエンテーションフラットを検出する機構などがある。
【0013】
従来、オリエンタ20の機構の全部又は一部はロードロックモジュール40又は搬送モジュール50に配置されており、これらの真空又は減圧環境を破壊する原因となっていたり、搬送モジュールの構造を複雑にしてシステム費用を増加させていた。しかし、本実施例のクラスターシステム100によれば、オリエンタ20は搬送室の外に配置されるのでロードロックモジュール40や搬送モジュール50の形状が単純になり、システム費用の削減に貢献している。
【0014】
ローダーモジュール30は、大気圧で動作する搬送モジュールでありウエーハを受け取り、これをロードロックモジュール40に搬送すると共に、ウェーハをロードロックモジュール40から搬出する。
【0015】
ロードロックモジュール40は、処理モジュール80の処理室を待機中に開放しないでウェーハの取り入れと取り出しを可能にする真空室(ロードロック室)を画定しており、図示しないポンプに接続されている。ポンプは高真空ポンプであることが好ましい。ロードロックモジュール40は予備加熱手段及び/又は予備冷却手段を有してもよい。後述する図2においては、2つのロードロックモジュールの一方が予備加熱手段、他方が予備冷却手段を備えてもよい。予備加熱手段は、例えば、ランプなどのヒーターを有し、ウェーハがいずれかの処理モジュール80に導入される前に、処理温度付近までこれを加熱する。予備冷却手段は、冷媒により冷却されている冷却室を有して処理モジュール80から導入されたウェーハを次段の装置(イオン注入装置やエッチャーなど)に搬送する前に常温まで冷却する。但し、後述するように、搬送モジュール50をほぼ矩形状に形成することができるためにロードロックモジュール40の形状もほぼ矩形状に形成することができ、加工が容易となり、システム費用の削減に貢献している。
【0016】
搬送モジュール50は、図1及び図3を参照するに、搬送室TCを画定し、ほぼ矩形断面を有して増設可能な筐体52と、搬送室TCに接続された給気装置56と、搬送室TCに接続された排気装置58と、搬送装置60とを有する。ここで、図3は、図1に示す搬送モジュール50の搬送室TCと処理モジュール80の処理室PCとの接続を説明するための概略断面図である。なお、図3においてはロードロックモジュール40及びもう一方の処理モジュール80との接続は省略されている。搬送室TCは減圧又は真空環境に維持される。
【0017】
搬送装置60は、例示的に、ロボット61と、接続部62と、搬送アーム63と、エンドエフェクタ64とを有している。後述するように、接続部62、搬送アーム63、エンドエフェクタ64は搬送部を構成するが、その構成と動作において様々な変形例を有している。ロボット61は、図3においては搬送室TC内に設けられて筐体52の底面52Aを対向面として底面52Aに対して非接触に移動(即ち、並進及び/又は回転)することができる。ロボット61の移動の態様は放射状及びインラインマルチチャンバ装置など適用される装置によって変化する。
【0018】
接続部62は搬送アーム63とロボット61とを接続するロッド部材から構成される。接続部62は、突出及び退避自在に構成されてもよいし、長さ固定に構成されてもよい。また、接続部62は、ロボット61に対して回転可能又は回転不能に構成されることができる。更に、接続部62は省略されて、ロボット61に直接搬送アーム63が固定されてもよい。エンドエフェクタ64は搬送アーム63に接続され、筐体52に接続された処理モジュール80の処理室PCと連通可能で処理体であるウェーハWを搬送することができる。
【0019】
接続部62、搬送アーム63及びエンドエフェクタ64からなる搬送部は様々な変形例を有する。例えば、図5に示すように、搬送アーム63とエンドエフェクタ64はピック形状を有する一の無関節型の搬送アーム63aとして構成されることができる。ここで、図5は、図3に示す搬送装置60に適用可能な無関節型搬送アームの一例を示す概略平面図である。搬送アーム63aは半導体ウェーハWを一端の上面に支持して、他端の下面に接続部62に回転不能に接続されている。ウェーハWを処理室PCへ搬送する場合は、ロボット61における回転とロボット61の並進運動(横移動)の同期が必要となる。
【0020】
代替的に、搬送アーム63とエンドエフェクタ64は、図6に示すように、関節65aを有する単関節型の搬送アーム63bとして構成されることができる。ここで、図6は、図3に示す搬送装置60に適用可能な関節が先端にある単関節アームの一例を示す概略平面図である。搬送アーム63bは半導体ウェーハWを一端の上面に支持して、他端の下面に接続部62に回転可能に接続されている。ウェーハWは接続部62における回転とロボット61の並進運動(横移動)によって処理室PCへ搬送される。
【0021】
更に代替的に、搬送アーム63とエンドエフェクタ64は、図7に示すように、関節65a及び65bを有する搬送アーム63cとして構成されてもよい。 ここで、図7は、図3に示す搬送装置60に適用可能な関節が中部にある単関節アームの一例を示す概略平面図である。この場合、搬送アーム63cは、関節65a及び65bにおいて屈曲(即ち、回転)可能である。
【0022】
また、代替的に、搬送アーム63とエンドエフェクタ64は、図8に示すように、搬送アーム63dとエンドエフェクタ64aからなるシングルピックフロッグレッグとして構成されたり、図9に示すように、ツインピックフロッグレッグとして構成されてもよい。ここで、図8及び図9は、それぞれ、図3に示す搬送装置60に適用可能なシングルピックフロッグレッグ及びツインピックフロッグレッグの一例を示す概略平面図である。
【0023】
さて、図3を再び参照するに、搬送装置60は、ロボット61を駆動する駆動部66と、ロボット61を底面52Aから浮上させる浮上機構68と、ロボット61の駆動と浮上を制御する制御部70とを更に有している。好ましくは、搬送装置60は、ロボット61の浮上量を検出する浮上量検出器72を更に有し、制御部70は浮上量検出器72からの検出結果に基づいて駆動部66と浮上機構68とをフィードバック制御する。なお、図4に示すように、筐体52は隔壁54を有してもよい。ここで、図4は、図3に示す搬送室TCの構造の変形例の概略断面図である。
【0024】
図4においては、浮上機構68はロボット61を隔壁54から浮上させる。駆動部66と浮上機構68と制御部70は、搬送室TCの内部又は外部に設けられ、ロボット61と一体であってもよいし別部材として構成されてもよい。更に、駆動部66と浮上機構68とは一体的に構成されてもよい。なお、図4において、閉空間C1としての搬送室TCは減圧環境下に設定されている。また、隔壁54に印加される圧力を小さくするために隔壁54の下の空間C2も閉空間として閉空間C1とほぼ同圧に調節されることが好ましい。従って、以下の説明では、空間C2を閉空間として説明する。また、本実施例では、閉空間C1の排気は孔55を介して閉空間C2経由でなされる。これにより、清浄度管理すべき閉空間C1の容積を小さくでき、また、閉空間C1内の構造を単純化することにより清浄度管理を容易にする。
【0025】
駆動部66は、例えば、ロボット61に内蔵されるバッテリとして構成されることができる。代替的に、駆動部66はロボット61への非接触給電装置を含んでいる。例えば、駆動部66は、搬送室TCの外部から所定の電波を送信する送信部と、ロボット61に内蔵されるアンテナ部及び電源回路とから構成されることができる。この場合には、送信部から発射された電(磁)波はアンテナ部によって受信されて、電源回路が電磁誘導によって誘導起電力を生成することになる。また、駆動部66は、搬送室TCの外部から所定の光ビームを送信する光源(発光素子)と、ロボット61に内蔵される受光素子及び光電変換回路とから構成されることができる。更に、駆動部66の非接触給電は、相対する面に相互に向かい合わせにトランス若しくはコイルを配置して非接触従動側にはスイッチング回路を設けて整流して電力を供給することによりなされてもよい。その他、駆動部66には周知のいかなる構成をも適用することができる。
【0026】
クラスターツール100は、真空又は減圧下においてウェーハWの高精度の移動と位置決めが必要であり、そのためにはロボット(可動部)を高精度に移動及び位置決めすることが必要となる。従来は可動部を対向面に接触させてボール軸受けやコロ軸受けを介して駆動していた。しかし、従来の可動部用の軸受として使用されていたボール軸受けやコロ軸受けは、潤滑油を要して減圧下では油から気体が発生して圧力を上げたり、ボールの回転により油が飛び散ったりする。また、摩擦があるため移動開始時の応答性や停止精度も悪い。更には、摩擦や振動によりウェーハWを安全に保持するためには高速駆動ができないという問題があった。そこで、浮上機構68はロボット61をその対向面から浮上させ、かかる問題を解決している。
【0027】
浮上機構68は、例えば、磁気浮上、静電浮上、気体(ガス)浮上、これらの浮上と各種の結合(磁気結合、静電結合など)との組み合わせ、その他、周知のいかなる構成をも利用することができる。磁気浮上は、例えば、図4において、同一極性の一対の磁極をロボット61と、隔壁54を介してロボット61に対抗して配置された図示しない磁気駆動部とに設けることによってロボット61を浮上されるものである。もちろん、磁気駆動部は図3に示す底面52Aに形成されてもよいし、底面52Aや隔壁54の上部に底面52Aや隔壁54から離間して形成されてもよい。静電浮上は、例えば、図4において、電気的に同一極性の電極又は誘電体をロボット61と、隔壁54を介してロボット61に対抗して配置された図示しない静電駆動部とに設けることによってロボット61を浮上されるものである。もちろん、静電駆動部は図3に示す底面52Aに形成されてもよいし、底面52Aや隔壁54の上部に底面52Aや隔壁54から離間して形成されてもよい。気体浮上は、空気又は不活性ガス(窒素、アルゴン、ネオン)などをロボット61の下面から対向面に噴出することによりロボット61を対向面から浮上させるものである。このようにロボット61を浮上させることはロボット61の無限軌道で移動することを補助するものである。
【0028】
磁気、静電、気体浮上等は、磁気結合や静電結合などの各種結合と組み合わされることが好ましい。ここで、磁気結合や静電結合とは、異なる磁極又は電極をロボット61とそれに対向する部位に設けることにより、ロボット61を底面52Aや隔壁54に押し付けるものをいう。なお、上述したように、ロボット61に対向する部位は、底面52Aに形成されてもよいし、底面52Aや隔壁54の上部に底面52Aや隔壁54から離間して形成されてもよいし、隔壁54を介してロボット61に対抗して形成されてもよい。このように各種の浮上方法を各種の結合方法と組み合わせる理由は、各種の浮上方法単体では浮上量の制御が困難だからである。
【0029】
例えば、特公平6−49529号は真空室内における物体の搬送に磁石を使用する磁気浮上方法を開示している。同公報は、真空室外に設けた電磁石により真空室内で浮上している搬送アームにウェーハなどを取り付けてこれを搬送している。同公報によれば、搬送アームは電磁石により真空室内を無接触で移動するため、塵埃の発生を防止することができる。しかし、特公平6−49529号は浮上量を制御していないので、実際には搬送アームの高さ(従って、搬送面)が上下するなど一定しないか、振動する場合が多い。また、搬送アームが過剰に浮上されれば適正な駆動制御が行えず、高速移動や迅速な応答を妨げる。
【0030】
本実施例では、浮上機構68は図10乃至図14に示す3つの気体軸受け200により構成されている。ここで、図10は、気体軸受け200の拡大斜視図である。図11は、図10に示す気体軸受け200の底面220の拡大平面図である。図12は、図10に示す気体軸受け200の部分拡大断面図である。図13は、搬送アーム63とエンドエフェクタ64を搬送アーム63fに置換してかかる搬送アーム63fにウェーハWと3つの気体軸受け200を取り付けた様子を示す概観斜視図である。また、浮上機構68は図14に示す磁気回路も更に有している。ここで、図14は、図13に示す搬送装置の部分拡大断面図である。この結果、ロボット61は空気軸受け200により気体浮上すると共に磁気回路による磁気結合により浮上量が制御される。ロボット61は駆動部66及び浮上機構68により移動され、その移動の態様は、回転、直線移動(1次元的移動)及び平面的移動(2次元的移動)を含んでいる。
【0031】
気体軸受け200は例示的に円筒形状を有し、上面210と底面220とを有する。底面220は底面52A又は隔壁54の上面(以下、「対向面OP」と総括する。)のすぐ上部に配置されている。気体軸受け200の形状は円筒には限定されないが、円筒形状は搬送アーム100の回転と2次元的移動の両方に適している形状の一つである。もっとも、直線移動のみが特に必要であれば気体軸受け200は図示しないレールに接続されて直線移動のみが許容されてもよい。導入される気体によって姿勢よく浮上するためには気体軸受け200は対称形状を有することが設計上好ましい。なお、搬送部を姿勢よく支持するために所定の寸法を有する気体軸受け200は、後述するように、例えば、3つ設けられることができる。
【0032】
図3、図4、図10乃至図14を参照するに、気体軸受け200は、ロボット61と対向面OPとの間に気体を送り込んでロボット61を対向面OPから浮上させ、ロボット61の対向面OP上における円滑な移動を確保している。気体軸受け200はロボット61と一体構造であってもよいし、独立した部材であってもよい。気体軸受け200は、対向面OPとロボット61との間の微小空間に気体を送出することによって当該微小空間を減圧空間よりも陽圧に保ち、この陽圧気体を媒体として可動部10を対抗面OPから浮上させる軸受けである。従って、前記微小空間に気体を供給する吹き出し口222を含む。吹き出し口222は、孔、オリフィスなど名称の如何を問わない。なお、これについては以下により詳しく説明する。
【0033】
気体軸受け200又は制御部70は気体の流量や噴射圧力を制御する機能を有する。かかる制御は、減圧環境が破壊されることを防止すると共に、ロボット61の浮上量を制御するのにも役立つ。代替的に、かかる流量制御機能はロボット61の構造の一部を利用していてもよい。また、可動部10の浮上量の制御は駆動部66が単独で又は空気軸受け200と協同して行ってもよい。ロボット61の浮上量の制御は、ロボット61の浮上量を検知する浮上量検出部72と、気体の流量を制御する図示しない流量制御装置などにより達成することができる。
【0034】
気体は空気に限定されず、窒素、アルゴンなどの不活性ガスが使用されてもよい。気体軸受け200は気体を貯蔵している外部装置に接続されており、かかる外部装置から気体を供給される。不活性ガスは、酸化、燃焼の原因となる酸素を含まずウェーハWの酸化をもたらさないなどのないという長所を有する。気体軸受け200は静圧型(気体の供給によりロボット61が対向面OPから浮上するもの)であると動圧型(例えば、ディスクヘッドのように気体の存在に加えて更に機械的手段及び動作などがないとロボット61は対向面OPに着地してしまうもの)であるとを問わない。
【0035】
図11に示すように、底面220には3つの同一の大きさを有するT字溝222が形成されている。各T字溝222は直線である辺223a、223b、223c、223dと、底面220を画定する円の中心である点Oを中心とする円の円弧の一部である弧223e及び223fと、弧223e及び223fと同心円弧223gと223hとを有している。図12に示すように、各T字溝222は所定の幅kと深さdを有している。もちろん、底面220に設けられる溝の形状はT字形に限定されないが、気体軸受け220をバランスよく支持するために、径方向に関して対称であることが好ましい。
【0036】
各T字溝222の縦横の溝の交差する場所には気体導入孔224が設けられている。空気導入孔224は、導入される気体の摩擦で削れないような強度を有する材料、例えば、サファイアなどにより形成されている。気体導入孔224は外部の気体供給装置(図示せず)に接続されている。3つの気体導入孔224と点Oを結ぶそれぞれの角度は120°に設定されている。気体導入孔224の数は3つに限定されないことはもちろんであるが、空気軸受け200をバランスよく支持するために点Oに対して対称に形成されることが好ましい。
【0037】
例えば、気体軸受け200を直径30mm、高さ10mmの円筒とした場合に、T字溝222の幅kは1mm、深さdは約10μmm、空気導入孔224は約0.1乃至60μmである。各T字溝222と底面220の輪郭線との距離sは0に近づけば近づくほど好ましい。なぜなら、空気軸受けの軸受け面積が広くなり安定するからである。
【0038】
気体軸受け200がこのような三点支持構造を採用すると、搬送アーム100及び空気軸受け200が浮上するのに必要な気体の量は比較的少なくてすみ、ウェーハWの荷重変動に対する浮上量の変化も少なくなる。浮上に必要な気体量が少なければ閉空間C1の減圧環境を破壊しないので好ましい。また、浮上量は荷重が増えると減少して一般に右下がりの曲線となるが、荷重に対する浮上量変化が少ないことは浮上量制御を容易にするため好ましい。
【0039】
図13及び図14を参照するに、搬送アーム63fはピック形状を有し、半導体ウェーハWを一端の上面に支持し、他端の下面に3つの気体軸受け200と接続している。図13及び図14においては気体軸受け200はロボット61を兼ねている。このように、複数の気体軸受け200を設けることは搬送アーム100の姿勢を安定させることに役立つ。もちろん、設けられる気体軸受け200の数、配置、大きさ、形状などは搬送部の形状、構造などによって適宜変更することができる。なお、図13では、便宜上、搬送アーム63fは透過されて示されている。3つの気体軸受け200は、各々が、図10及び図11に示す気体軸受け200と同一であり、正三角形の頂点を形成するように配置されている。また、これに対応して、閉空間C2にも3つの気体軸受け200が対向して設けられている。
【0040】
図14を参照するに、閉空間C1においては、搬送アーム63fと気体軸受け200の間にはヨーク(磁性体)302が挿入されている。また、閉空間C2においては、3つの永久磁石306、308及び310(但し、永久磁石310は図14においては省略されている)とヨーク304とが気体軸受け200と移動部330との間に接続されている。ヨーク302、304と磁石306乃至310は磁気回路300を構成している。図14から理解されるように、永久磁石306と永久磁石308は極性が逆になるように配置されている。なお、永久磁石310はN極が上であってもS極が上であってもよい。この結果、磁気回路300により、搬送アーム63fと移動部330とは非接触に磁気結合されている。移動部330は、駆動部66及び/又は浮上機構部68の一部として回転、直線移動(1次元的移動)及び平面的移動(2次元的移動)可能に構成されている。移動部330の移動を確保する構造には周知のいかなる構造をも適用することができるので、ここでは詳しい説明は省略する。例えば、移動部330を2次元的に移動可能に構成するには、例えば、特開昭62−88528号に開示されている周知のXYステージなどと組み合わせるなどである。
【0041】
磁気回路300は幾つかの機能を有している。まず、磁気回路300は、上述したように搬送アーム63fと移動部330とを磁気結合しているので移動部330の駆動力を搬送アーム63fに伝達することができる。これにより、移動部330の移動を制御することによってウェーハWの搬送を制御することができる。また、磁気回路300は、搬送アーム63fと移動部330とを非接触に接続しているので、閉空間C1と閉空間C2とを隔壁54によって分離することを可能にしている。
【0042】
磁気回路300は、気体軸受け200による搬送アーム63fの過剰な浮上を防止して、搬送アーム63fの浮上量を制御している。点線で示されたように磁路Hが形成される。搬送アーム63fの浮上量を制御することによってウェーハWの位置は制御され、搬送アーム63fを移動したときの振動も防止されるので搬送動作が安定する。このように、本実施例の磁気回路300は磁気浮上ではなく磁気拘束として機能している。
【0043】
磁気回路300は、永久磁石306乃至310を使用しているためにその磁気拘束力(吸引力)は固定されている。従って、搬送アーム64fの浮上量を制御する場合には、実際には、気体軸受け200に供給される気体量を制御することによって行うことができる。気体量の制御は、搬送アーム64fの浮上量を測定する浮上量検出器72と、気体軸受け200に供給される気体の流量計(図示せず)と、制御部70とから構成される浮上量制御システムにより行うことができる。流量計と制御部70は一体的に構成されてもよい。このような構成において、浮上量検出器72により測定された搬送アーム64fの浮上量が所定の浮上量になるように制御部70が流量計によって供給される気体の流量にフィードバック制御をかけることになる。制御部70は、センサによる検出結果を所定値と比較する比較器を含んでいてもよい。
【0044】
代替的に、磁気回路300は、永久磁石306乃至310の代わりにコイルなどを利用した電磁石として構成されてもよい。その場合には、コイルに流す電流を制御することによって搬送64fの浮上量を制御することもできる。選択的に、浮上量検出器72の値に従って、磁気回路300による制御と気体軸受け200に供給される気体の制御を組合せてもよい。例えば、磁気回路300への通電をゼロにしても搬送アーム64fが全く浮上しない場合には磁気回路300だけによる制御は困難だからである。磁気回路300による制御も、同様に、搬送アーム64fの浮上量を測定する浮上量検出器72と、コイル等に流れる電流値を設定する可変電流源(図示せず)と、制御部70とから構成される浮上量制御システムにより行うことができる。即ち、浮上量検出器72により測定された搬送アーム64fの浮上量が所定の浮上量になるように制御部70が可変電流源の値を調節してコイルに流れる電流を制御することによって磁気拘束力をフィードバック制御することができる。制御部70は、浮上量検出器72による検出結果を所定値と比較する比較器を含んでいてもよい。
【0045】
磁気回路300をヨーク302と、閉空間C2に設けられてリニアパルスモータによって駆動される案内子(図示せず)とより構成すれば、かかる案内子を移動させることにより搬送アーム64fを適宜移動させることができる。この場合には、磁気回路300は、間接的に(即ち、非接触に)搬送アーム64fを駆動することができる。
【0046】
もちろん、直接に搬送アーム64fを閉空間C1内で駆動するように磁気回路300を設けることは可能である。例えば、搬送アーム100が回転のみ要求されるような単純な動作が要求されている場合にかかる構成が好ましい場合がある。本出願の開示からかかる構成は明らかになるであろうからここでは詳しい説明は省略する。
【0047】
なお、磁気拘束は必ずしも隔壁54による分離は必要としない。例えば、図14に示す磁気回路300とは代替的に、図15には磁気拘束付きの静圧気体軸受け200aが示されている。気体軸受け200aは、気体浮上のための浮上面、吹き出し口、気体導入路など気体軸受け200と同様の構造を有し、更に、ヨーク304aと、永久磁石又は電磁石からなる磁界発生部306a及び308aと、磁性材料からなる気体軸受けガイド309aとを有する。点線で示されたように磁路Hが形成される。気体軸受け200aは図15に示すように倒立されてもよいし、上下を反対にして正立して使用されてもよい。
【0048】
駆動部66及び/又は浮上機構68は、本実施例ではロボット61を磁気的手段によって駆動するが、これはその他の駆動方法(即ち、機械的手段、電気的手段、光学的手段、超伝導的手段及びこれらの組合せなど)を排除するものではない。
【0049】
動作においては、駆動部66及び/又は浮上機構68がロボット61を駆動すると、ロボット61は対抗面OP上を所望の方向に移動及び/又は回転する。これと共にウェーハWもロボット61と共に移動及び/又は回転する。気体軸受け200を使用しているので、ロボット61は(1次元的移動、2次元的移動及び3次元的移動を含む)並進運動と回転運動を振動、摩擦を伴わずに高速で行うことができる。また、ウェーハWの搬送面は振動しない。また、静止摩擦などの摩擦がないのでロボット61は駆動開始時及び停止時の応答性がよい。
【0050】
これに対して、従来、軸受けとして使用されていたボール軸受けなどの転がり軸受けは摩擦の低減に潤滑油を使用し、振動及びパーティクルの発生を伴う。転がり軸受けを可動部が直線移動するための直動軸受けとして使用すると、搬送面の振動が大きく高速化するとウェーハなどの搬送されている物体の位置がずれてしまう。本実施例の搬送装置60はこのような問題を解決している。
【0051】
制御部70はロボット61に搭載されてロボット61自体が障害物を認識してこれを回避するように構成されてもよい。この場合、制御部70は、CCDセンサ及び/又は距離検出センサなどを有するであろう。また、制御部70はロボット61を無線により遠隔制御することもできる。この場合には、制御部70はトランシーバなどを使用することができる。
【0052】
図1に示すクラスターシステム100の搬送モジュール50は、図2に示すように、容易に増設することができる。ここで、図2に示す搬送モジュール50Aは搬送モジュール50を4つ結合することによって構成されている。図2における搬送モジュール50の増設数とその配列は単なる例示である。但し、搬送モジュール50Aは一の搬送装置60のみ有する。本実施例の搬送モジュール50はほぼ断面矩形(正方形又は長方形)状に構成されている。なお、本発明は三角形その他の形状を排除するものではない。また、図2においては、ロードポート10とロードロックモジュール40も増設されているが、増設数とその配列は単なる例示である。
【0053】
このように、搬送モジュール50の形状は従来の形状(例えば、八角形)よりも単純な形状であるために加工が容易で費用削減に貢献している。また、図1から理解されるように、搬送モジュール50は少数の処理モジュール80に少ない設置面積で対応可能であり、少数の処理モジュール80を必要とするユーザにとっては初期の投資と設置面積が少なくてすむ。また、搬送モジュール50は従来よりも拡張性が向上しており、段階的投資が可能である。例えば、従来のクラスターツールは、搬送モジュールの断面形状に一致する数の処理モジュール(例えば、8台)しか設置することができなかったが、本実施例の搬送モジュール50によれば、所望の数だけ組み合わせることによって設置面積が許容する限り処理モジュール80の数に制限がないことが理解されるであろう。更に、上述したように、搬送装置60は筐体52の対向面OPに固定されていないため、搬送モジュール50を図2に示すように増設したとしても、一の搬送装置60が全ての搬送モジュール50内を同図の矢印で示すように無限軌道で移動して全ての処理モジュール80に連通することが可能である。従って、全ての搬送モジュール50と処理モジュール80に対して、それらの個数に拘らず、一の搬送装置60が対処することができ、システム費用の削減に貢献している。もっとも、本発明は複数の搬送装置60を設けることを妨げるものではない。
【0054】
図3を参照するに、筐体52は、孔53aを有する側壁52Bと孔53aを有しない側壁52Cとを自由に取り付け可能な構造を有している。また、図4においては、筐体52は、孔53bを有する側壁52Dと孔53bを有しない側壁52Eとを自由に取り付け可能な構造を有している。このため、筐体52は、処理モジュール80が取り付けられる場合には処理室PCと接続する側面に接続孔53a及び53bを有する側壁52B及び52Dを使用し、処理モジュール80が取り付けられない場合には側壁52C及び52Eを使用する。なお、図2に示す搬送モジュール50Aのように、搬送モジュール50を組み合わせる場合には対向面OPの一致が必要であることが理解されるであろう。
【0055】
次に、図16及び図17を参照して、処理モジュール80について説明する。ここで、図16は処理モジュール80の概略平面ブロック図であり、図17は処理モジュール80の概略断面ブロック図である。本実施例では、処理モジュール80は例示的に平行平板型装置(プラズマCVD装置やエッチャーなど)として構成されているが、これに限定されず、例えば、マイクロ波プラズマ装置などを使用できることはいうまでもない。
【0056】
処理モジュール80は、筐体82と、サセプタ84と、12個のヌード型ターボ分子ポンプ88と、圧力調整バルブ89と、高周波電源90と、パルス発振器91と、反応ガス供給系92と、電極93a及び93bとを有し、好ましくは各ヌード型ターボ分子ポンプ88を制御する制御部95と各ヌード型ターボ分子ポンプ88の回転数を検知するセンサ96とを更に有している。なお、ヌード型ターボ分子ポンプ88の個数である12は単なる例示であり、この数に限定されないことはいうまでもない。
【0057】
筐体82は処理室PCを画定し、側壁や底部がアルミニウムなどの導体により構成されて、例示的に全体が断面的に直方体状に成形されている。処理室PCは12個のヌード型ターボ分子ポンプ88により所定の減圧又は真空密閉空間に維持されることができる。筐体82内には、サセプタ84とその上にウェーハWが支持されている。図17においては、ウェーハWを固定する静電チャックやクランプ機構などは便宜上省略されている。
【0058】
サセプタ84は電極93bと一体であって処理室PC内でウェーハWの温度制御を行う。例えば、プラズマCVDプロセスであれば約350乃至550℃に、エッチングプロセスであれば少なくとも200℃以下に維持される。温度制御方法は、温度センサとヒータ装置を利用するなど、いずれの方法をも利用することができる。選択的に、サセプタ84はバッフル板(又は整流板)を有してもよい。バッフル板はウェーハWが存在する処理空間とその下の排気空間を分離して、主として、処理空間の電位を確保(即ち、プラズマを処理空間に限定して確保)すると共に真空度(例えば、50mTorr)を維持する機能を有する。このようなバッフル板は、例えば、純アルミニウム製のディスク形状を有し、例えば、厚さ2mmを有し、径2mm程度の孔を規則的に多数(例えば、開口率50%以上)有する。必要があれば、バッフル板は排気空間から処理空間への逆流を防止したり、処理空間と排気空間の差圧をとったりする機能を有してもよい。
【0059】
本実施例では、排気ポンプに高真空ポンプの一種であるヌード型ターボ分子ポンプ88を使用している。ヌード型ターボ分子ポンプ88は圧力調整バルブ89を介して処理室PCに接続されている。圧力調整バルブ89はコンダクタンスバルブ、ゲートバルブ又は高真空バルブなどの名称で当業界では周知である。圧力調整バルブ89は不使用時に閉口され、使用時に処理室PCの圧力をヌード型ターボ分子ポンプ88によって真空引きされた所定の圧力(例えば、0.1乃至数200mTorr)に保つように開口される。
【0060】
ターボ分子ポンプ(TMP)とは、円盤に斜めにスリットを切った回転翼(動翼)と、回転翼と同様の形状でスリットの傾きが回転翼とは反対の固定翼とを交互に配置して回転翼が高速回転し軸方向に気体分子を排除するポンプをいうが、本実施例のヌード型TMP88は、従来のTMPの外側のケーシングを削除してケーシング費用を削減すると共に処理室PC内に図18に示すように設置し被排気エリアとポンプ間のコンダクタンスロスを極力低減することとを目的に創出されたポンプである。ここで、図18はヌード型ターボ分子ポンプ88と処理室PCとの接続を示す概略部分断面図である。処理室PCの被排気エリアとの距離が短くなるために被排気エリアの排気能力は向上している。ヌード型TMP88は、処理室PCの圧力を、例えば、0.1乃至数200mTorrに保つのに使用される。ヌード型TMP88は、典型的に、図19及び図20に示すように、図示しない電源に接続される動力コネクタ88aと、制御部95に接続される制御コネクタ88bと、N2パージ口88c及び88dと、冷却水管88eと、回転翼88fと、取り付けフランジ88gとを有する。ここで、図19はヌード型TMP88の概略平面図で、図20はヌード型TMP88の概略断面図である。
【0061】
従来は1台のTMPが設けられていたのに対して本実施例では12個のヌード型TMP88を使用している。このため、従来は一台が1600l/sの排気能力を有していたのが本実施例の各ポンプ88は約(1600/12)l/s、好ましくは、(1600/12)1+α>(1600/11)を満足するように設定される排気能力を有する(αは設置環境において決定される定数)。また、重量も従来のTMPは約100kgfであったのに対して各ポンプ88は約10乃至15kgfとなる。
【0062】
本実施例のヌード型TMP88は幾つかの特徴を有する。まず、第1に、従来のTMPは、処理モジュールの筐体の側壁又は底壁に取り付けられていたために大きな設置空間を確保しなければならず、設置場所の有効活用での面からは非効率であった。これに対して、ヌード型TMP88は小型であるためには従来不使用であった筐体82の周囲の余肉部分に配置させることができ、従来利用されていなかったPCの余肉部分の有効活用により設置空間の増大を抑えている。なお、図16に示すヌード型TMP88の配列は単なる例示であるが、均一な排気が必要な場合には図16に示すように対称的に配列されることが好ましい。
【0063】
第2に、従来のTMPは一箇所から排気を行っていたため排気に片寄りができていた。このため処理モジュール80がプラズマ装置であれば、プラズマ密度の不均一化と集中が生じ、部分的にウェーハWの処理不均一が生じて高品質の処理を行えないという問題があった。これに対して、ヌード型TMP88は筐体82の周囲の余肉部分に底壁に対称的配列されて排気を行うために、図17の楕円線で示すように、均一なプラズマを生成することができ、高品質な処理を行うことができる。
【0064】
第3に、従来のTMPは保守点検が困難かつ長時間かかるという問題があった。例えば、人間工学的規格SEMI−S8第11章は取扱の安全性の観点から1人で保守点検可能な対象物の重量を51ポンド(約23kgf)と規定している。しかし、従来のTMPは約100kgfの重量とほぼ円筒形状を有するため、SEMI−S8を満足するには最低5人必要であり、加えて、5人がTMPを均等負荷のもとに持てるように治具を煩雑にも取り付けなければならない。そして、交換や保守点検に長時間かかるために、処理モジュールの休止時間が長く、稼動率も悪くなる。
【0065】
これに対して、ヌード型TMP88は、その重量が約10乃至15kgfであるためにSEMI−S8に従って一人で保守点検及び交換を行うことができ、治具の取り付けも不要である。また、交換や保守点検が短時間であるために処理モジュール80の休止時間は短く効率的で稼動率の低下を抑えることができる。
【0066】
好ましくは、本実施例では、1台のヌード型TMP88が故障しても残り11台で排気力を高めた状態で稼動するように制御することができる。各ポンプ88は、(1600/12)1+αl/sの排気能力を有することが必要である。かかる実施例においては、残り11台のポンプ88がほぼ対称に処理室PCの減圧環境を形成して処理モジュール80の非稼動持に故障したポンプ88の保守点検及び交換を行うことになり、更にシステムの休止時間が減少するので効果的である。この場合、処理モジュール80の制御部95はセンサ96によってポンプ88の回転翼の回転数を検出し、回転数から故障したポンプ88を発見すると残り11台の排気力を増加させる。
【0067】
更に好ましくは、11台のポンプ88が稼動中に故障したポンプ88を引き抜いて保守点検及び交換を行うことができる。多数のポンプ88が同時に故障する可能性は極めて低いために、かかる実施例においてはシステムの休止時間を実質的にゼロに近づけることができる。この場合、処理モジュール80は、各ポンプ88と処理室PCとの間を開閉するバルブ89と、各ポンプの処理モジュール80への取り付けを検出するセンサと、自動圧力制御装置(Automatic Pressure Control: APC)を更に有することが好ましい。制御部95はセンサ96を介して故障したポンプ88を発見すると当該ポンプと処理室PCとの間のバルブ89を閉口して当該ポンプと処理室PCとを遮断することが好ましい。かかる遮断により故障したポンプ88を筐体82から除去しても処理室PCの環境は損なわれることはなくなる。同時に、制御部95は残り11台の排気力を増加させる。その後、故障したポンプ88は修理又は交換されて正常なポンプ88が処理モジュール80に取り付けられる。取り付けは追加的センサによって検知され、その後は制御部95は図示しないバルブ89を開口すると共に11台のポンプ88の排気力を元に戻し、12台で以前の排気を行う。
【0068】
これと関連して、従来のTMPの費用は1台当り約数百万円であったこと、大型であったことなどのために、ユーザが予備のTMPを予め購入してこれを保管しておくことは容易ではなかった。この結果、ユーザは故障したTMPを交換する場合は新しいTMPが届くまで長期間待たなければならず、システムの休止時間も長かった。しかし、本実施例のヌード型TMP88は、小型で価格も比較的安くなることからユーザは用意に予備のポンプ88を準備して交換に備えることができ、システムの休止時間も短縮されるようになった。
【0069】
第4に、従来のTMPは非常に高い回転モーメント(例えば、約4Ton・m)を有するが、本実施例のヌード型TMP88は、一台毎のエネルギーが従来のほぼ12分の1になっているために従来のTMPよりも高い安全性を有する。
【0070】
ヌード型TMP88は、例えば、図16においては、筐体82の周囲の余肉部分に設けられた図示しない12個の接続孔に図16の紙面と垂直に挿入されることになる。但し、図21に示すように、ヌード型TMP88は、矢印に示すように、筐体82の中心に向かって放射状に挿入されてもよい。この場合、12個の接続孔83は、例えば、筐体82の側壁の同じ高さに対称に形成される。ここで、図21はヌード型TMP88と処理室との接続の変形例を説明するための概略断面図である。
【0071】
なお、ヌード型TMP88に加えてフォアポンプ(大気圧から高真空ポンプが動作可能な圧力まで排気するポンプ)を設けることが必要な場合には全て又は一部のヌード型TMP88に共通に接続することができる。また、これと関連して、クラスターツール100は動力源などを複数の部材に共有に接続することができる。
【0072】
高周波電源90は、パルス発振器91が発振するパルスに基づいて、例えば、13.56MHzの高周波電力を円板状電極93a及び93bに印加する。
【0073】
筐体82の側壁には、反応ガス供給系92の削除ガス供給ノズル92eが設けられ、このノズル92eは、ガス供給路92dによりマスフローコントローラ92c及び開閉弁92bを介して反応ガス源92aに接続されている。例えば、窒化シリコン膜を堆積させようとする場合には、反応ガスとして所定の混合ガス(即ち、ネオン、キセノン、アルゴン、ヘリウム、ラドン、クリプトンのいずれかにN2とH2を加えたもの)にNH3やSiH4ガスなどを混合したものが選択される。
【0074】
図3及び図4を再び参照するに、搬送アーム63及びエンドエフェクタ64が搬送室TCから処理室PCにウェーハWを搬送する際の搬送室TC、処理室PC及び閉空間C2の調圧方法の例について説明する。搬送室TC(C1)と閉空間C2とは隔壁54に設けられた孔55を介して接続されている。調圧機構は、処理室PCに接続された給気装置86と、処理室PCに接続されたヌード型TMP88と、搬送室TCに接続された給気装置56と、閉空間C2に接続された排気装置58とを有している。これらの給気及び排気装置の一部又は全部は制御部95及び/又は70によって制御されてもよいし、その他の一又は複数の制御装置が別途設けられてもよい。また、気体軸受け200を使用する場合には気体軸受けに気体を供給する給気装置が更に設けられてもよい。その場合の給気装置は、気体軸受け200の浮上に必要な圧力の気体を供給し、定圧制御されることになるであろう。
【0075】
給気装置56は、搬送室TCの雰囲気を正常かつ必要な流れの状態にするのに必要な流量の気体を供給し、定流量制御される。排気装置58は、給気装置56から供給された気体を排気して所定の減圧状態を保つ機能を有し、減圧室C1及びC2の圧力がほぼ一定になるように制御される。流れの制御においては、図3及び図4の矢印に示しているように、常に閉空間C1から閉空間C2へと流れができるようにする。また、常に、搬送室TCから処理室PCへと流れができるようにする。このような構成を採用する理由は、搬送室TCを処理室PCより減圧にすると処理室PCから好ましくないガスが搬送室TCに漏れることがあるのでこれを防止するためである。
【0076】
次に、図22及び図23を参照して、処理モジュール80に適用可能なゲートバルブ110について説明する。ここで、図22は、本発明の例示的一態様としてのゲートバルブ110を説明するための概略断面図であり、図23はゲートバルブ110の弁体112の概略斜視図である。本実施例のゲートバルブ110はいわゆるインサート型であり、筐体82に形成された搬送モジュール50との接続溝98に係合可能な弁体(バルブプレート)112と、凸部113と、駆動シャフト114と、Oリング116とを有している。凸部113は溝98に係合し、駆動シャフト114は、図22の矢印に示すように、弁体112を上下及び回転移動させて溝98に係合させることができる。Oリング116は筐体82の側壁と係合してこれを密封する。
【0077】
従来は、弁体112には凸部113が設けられていなかったため、溝98にプラズマが入り込みプラズマ密度の不均一化を招いていた。この結果、高品質な処理を行えないという問題があった。また、溝98に処理に伴う副生成物がこびりついて保守性が低下するという問題があった。本実施例の弁体112は凸部113を有してかかる溝98を埋めているのでこれらの問題を解決している。
【0078】
また、図24にゲートバルブ110の変形例であるゲートバルブ120の要部概略断面を示す。ゲートバルブ120は、弁体122と、駆動シャフト124と、Oリング126と、空気供給管128と、ベローズ130とを有する。駆動シャフト124は中空又は部分的に中空で空気供給管128を収納しているか、空気供給管128の機能を有する空気供給路を形成している。ベローズ130は、空気供給管128を介して空気、不活性ガスその他の気体が供給されると図24の下に示すように膨らんで凸部113と同様の機能を果たす。
【0079】
Oリング116及び126は、一般に、ゴムなどの樹脂材料を含んでいる。また、筐体82は上述したようにアルミニウムなどの導体から構成されているが、その表面はアルマイト処理(陽極酸化処理)されて、アルミナ(Al2O3)が生成形成されている。この結果、アルミニウム基材はアルミナにより絶縁処理されることになる。しかし、Oリング116及び126のエラストマ等の樹脂材によりバルブプレート112又は122は筐体82から電気的にフローティングしており、表面がプラズマにさらされ電子、イオンが入射すると電位が高くなり筐体82との間で放電する傾向がある。放電の結果、部分的にアルミナ層がダメージを受けて剥がれ、アルミニウム層が露出し、この露出したアルミニウム層を中心に更に放電して穴が広がり、その結果、処理が安定せず高品質の処理を行えなくなる。
【0080】
そこで、本実施例では、Oリング116及び126を電気的にフローティングさせないように、(1)駆動シャフト114及び124を筐体82に短絡し同電位とする、(2)図22に示すように弁体112の端部111を筐体82の側壁に短絡接続する、(3)弁体112及び122にバイアスをかける、及び/又は、(4)Oリング116及び126を導電性のものを使用するか表面処理をして導電性にしている。この結果、処理室PCにおいて高品質の処理を行うことができる。なお、インサート型ゲートバルブの一般的構造や動作は、例えば、スイスのVAT社から既に販売されている真空ゲートバルブなど周知であるので、ここでは詳しい説明は省略する。
【0081】
次に、以上のように構成されたクラスターシステム100の動作について説明する。まず、AGVやOHTにより搬送されたMEポッドがロードポート10に装着される。次いで、ローダモジュール30内の図示しない搬送装置がロードポート10からウエーハを受け取り、オリエンタ20がウェーハWのオリエンテーションフラット又はノッチを調節する。その後、ローダモジュール30の図示しない搬送装置がロードロックモジュール40にウェーハWを搬送する。
【0082】
ロードロックモジュール40に装填されたウェーハWは搬送モジュール50の搬送装置60によって搬送され、処理モジュール80に搬送される。好ましくは、ロードロックモジュール40は予備加熱部を有して、ウェーハWを処理モジュール80に搬送する前にウェーハWを450℃付近まで加熱する。ウェーハWはその後、ゲートバルブ110又は120を経て、処理室PCに搬送されてサセプタ84上に載置される。搬送装置60は、必要があれば、その後図示しないホームポジションに移動する。
【0083】
その後、処理モジュール80はウェーハWに、例えば、プラズマ処理等を施すためにサセプタ104を介してウェーハWを450℃まで加熱するが、既にウェーハWが予熱されていればプロセス準備が完了するまでの時間は短時間となる。次に、ヌード型TMP88が処理室PCの圧力を、例えば、50mTorrに維持する。ヌード型TMP88は、処理室PCの底部にほぼ対称に配列されているために、均一な排気を行うことができる。次いで、ノズル92eから、例えば、ヘリウム、窒素及び水素の混合ガスにNH3を更に混合した一以上の反応ガスを反応ガス源92aからマスフローコントローラ92c及び開閉弁92bを介して流量制御しつつ処理室PCに導入する。
【0084】
処理室PCの処理空間の温度は450℃程度になるようにより調整される。一方、高周波電源90から高周波電力を電極93a及び93bに導入する。この結果、高周波は、均一に(即ち、部分的集中なしに)かつ全体として所望の密度で(即ち、密度の低下なしに)処理室PCに導入されることができる。その後、高周波は、反応ガスをプラズマ化してプラズマCVD処理を行う。CVD処理は、例えば、予め設定された所定時間だけ行われてその後、ウェーハWはゲートバルブ110又は120から処理室PCの外へクラスターツール100の搬送装置60により導出される。処理モジュール80から導出されたウェーハWは、好ましくは予備冷却部を有するロードロックモジュール40に導入されて常温まで短時間で冷却される。次いで、必要があれば、搬送装置60は、ウェーハWを次段のイオン注入装置などの処理モジュール80に搬送する。
【0085】
以上、本発明の実施例を説明したが、本発明はその要旨の範囲内で様々な変形及び変更が可能である。
【0086】
【発明の効果】
本発明の例示的一態様としての搬送モジュールとクラスターツールは、加工の容易な矩形状で増設可能な筐体を有しており、搬送部は増設可能な筐体に設置された処理室に連通可能に構成される。従って、かかる搬送モジュールの可動部及び搬送部は、例えば、複数の筐体に共通に使用することができる。このため、段階的投資が可能で初期投資が少なく、少ない設置面積を有する搬送モジュール及びクラスターツールを提供することができる。
【図面の簡単な説明】
【図1】 本発明の例示的一態様としてのクラスターシステムの概略ブロック図である。
【図2】 図1に示すクラスターシステムを拡張したクラスターシステムの概略ブロック図である。
【図3】 図1に示すクラスターツールの搬送モジュールの搬送室と処理モジュールの処理室との接続を示す概略断面図である。
【図4】 図3に示す搬送室の構造の変形例を示す概略断面図である。
【図5】 図3に示す搬送モジュールの搬送装置に適用可能な無関節型搬送アームの一例を示す概略平面図である。
【図6】 図3に示す搬送モジュールの搬送装置に適用可能な関節が先端にある単関節アームの一例を示す概略平面図である。
【図7】 図3に示す搬送モジュールの搬送装置に適用可能な関節が中部にある単関節アームの一例を示す概略平面図である。
【図8】 図3に示す搬送モジュールの搬送装置に適用可能なシングルピックフロッグレッグの一例を示す概略平面図である。
【図9】 図3に示す搬送モジュールの搬送装置に適用可能なツインピックフロッグレッグの一例を示す概略平面図である。
【図10】 図3に示す搬送モジュールの搬送装置に適用可能な気体軸受けの拡大斜視図である。
【図11】 図10に示す気体軸受けの底面の拡大平面図である。
【図12】 図10に示す気体軸受けの部分拡大断面図である。
【図13】 図3に示す搬送モジュールの搬送装置に置換可能な搬送アームにウェーハWと3つの気体軸受けを取り付けた様子を示す概観斜視図である。
【図14】 図13に示す搬送装置の部分拡大断面図である。
【図15】 磁気拘束付き静圧気体軸受けの一例を示す概略断面図である。
【図16】 図1に示すクラスターツールの処理モジュールの概略平面ブロック図である。
【図17】 図1に示すクラスターツールの概略断面ブロック図である。
【図18】 図16及び図17に示す処理モジュールのヌード型ターボ分子ポンプと処理室との接続を示す概略部分断面図である。
【図19】 図18に示すヌード型ターボ分子ポンプの概略平面図である。
【図20】 図19に示すヌード型ターボ分子ポンプの概略断面図である。
【図21】 図18に示すヌード型ターボ分子ポンプと処理室との接続の変形例を示す概略断面図である。
【図22】 図3及び図4に示す処理モジュールに適用可能なインサート型ゲートバルブの概略断面図である。
【図23】 図22に示すゲートバルブの弁体の概略斜視図である。
【図24】 図22に示すゲートバルブの変形例の要部概略断面である。
【符号の説明】
10 ロードポート
20 オリエンタ
30 ローダーモジュール
40 ロードロックモジュール
50 搬送モジュール
50A 搬送モジュール
52 筐体
54 隔壁
60 搬送装置
61 ロボット
63 搬送アーム
64 エンドエフェクタ
66 駆動部
68 浮上機構
70 制御部
80 処理モジュール
82 筐体
84 サセプタ
88 ヌード型ターボ分子ポンプ
95 制御部
100 クラスターツール
100A クラスターツール
110 ゲートバルブ
120 ゲートバルブ
200 気体軸受け
300 磁気回路
300a 磁気回路

Claims (14)

  1. 搬送室を画定し、ほぼ矩形断面を有して着脱可能な側壁を有する増設可能な筐体と、
    前記増設可能な筐体に使用される搬送装置と、を有し、
    前記搬送装置は、
    前記搬送室内に設けられて前記筐体内の対向面上を無限軌道で移動可能な可動部と、
    当該可動部に接続され、前記筐体に設置された処理室と連通可能で被処理体を搬送可能な搬送部と、
    前記可動部を駆動する駆動部と、
    前記駆動部の駆動を制御する制御部とを有し、
    一の前記搬送装置で、前記対向面が互いに一致している複数の前記増設可能な筐体に共通に使用される、搬送モジュール。
  2. 前記搬送モジュールは相互に接続された複数の筐体を有し、前記可動部は前記複数の筐体の前記対向面に亘って移動することができ、前記搬送部は任意の前記処理室と連通可能である請求項1記載の搬送モジュール。
  3. 当該可動部を前記筐体の前記対向面に対して浮上させる浮上機構を更に有する請求項1記載の搬送モジュール。
  4. 前記制御部は前記浮上機構による前記可動部の浮上を更に制御する請求項3記載の搬送モジュール。
  5. 前記筐体内を減圧環境に調整する調圧機構を更に有する請求項1記載の搬送モジュール。
  6. 前記被処理体は半導体ウェーハである請求項1記載の搬送モジュール。
  7. 前記被処理体はLCDガラス基板である請求項1記載の搬送モジュール。
  8. 前記搬送部は無関節アームを有する請求項1記載の搬送モジュール。
  9. 前記搬送部は一以上の関節を有するアームを有する請求項1記載の搬送モジュール。
  10. 被処理体に所定の処理を施す処理モジュールと、
    ほぼ矩形断面を有するロードロックモジュールと、
    前記処理モジュールと前記ロードロックモジュールに前記被処理体を搬入及び搬出可能な搬送モジュールとを有するクラスターシステムであって、
    前記搬送モジュールは、
    搬送室を画定し、ほぼ矩形断面を有して着脱可能な側壁を有する増設可能な筐体と、
    前記増設可能な筐体に使用される搬送装置と、を有し、
    前記搬送装置は、
    前記搬送室内に設けられて前記筐体内の対向面上を無限軌道で移動可能な可動部と、
    当該可動部に接続され、前記筐体に設置された処理室と連通可能で被処理体を搬送可能な搬送部と、
    前記可動部を駆動する駆動部と、
    前記駆動部の駆動を制御する制御部とを有し、
    一の前記搬送装置で、前記対向面が互いに一致している複数の前記増設可能な筐体に共通に使用される、クラスターシステム。
  11. 前記搬送モジュールは相互に接続された複数の前記筐体を有し、前記可動部は前記複数の筐体の前記対向面に亘って移動することができ、前記搬送部は任意の前記処理室と連通可能である請求項10記載のクラスターシステム。
  12. 当該可動部を前記筐体の前記対向面に対して浮上させる浮上機構を更に有する請求項10記載のクラスターシステム。
  13. 搬送室と当該搬送室に接続された少なくとも一の処理室との間の被処理体を搬送するための搬送モジュールであって、
    前記搬送室を画定する着脱可能な側壁を有する筐体であって、複数の筐体が相互に接続されるように構成及び配置される筐体と、
    前記筐体に使用される搬送装置と、を有し、
    前記搬送装置は、
    前記搬送室内で無限軌道に移動可能なように前記筐体に設けられた基準面の回りで無限軌道に移動可能に構成及び配置される可動部と、
    前記可動部に取り付けられ、前記被処理体を保持するように構成及び配置され、前記搬送室と前記処理室との間を移動可能である搬送部と、
    前記可動部を駆動する無限軌道駆動機構と、
    前記無限軌道駆動機構の無限軌道運動を制御する制御ユニットとを有し、
    一の前記搬送装置で、前記基準面が互いに一致している複数の前記筐体に共通に使用される、搬送モジュール。
  14. 処理室を画定し、当該処理室の被処理体に所定の処理を施すように構成及び配置される少なくとも一の処理モジュールと、
    ロードロック室を画定し、実質的に矩形断面を有する少なくとも一のロードロックモジュールと、
    搬送室を画定し、当該搬送室と、前記処理室と前記ロードロック室とのそれぞれの間で前記被処理体を搬送する搬送モジュールとを有するクラスターシステムであって、
    前記搬送モジュールは、
    前記搬送室を画定する着脱可能な側壁を有する筐体であって、複数の筐体が相互に接続されるように構成及び配置される筐体と、
    前記筐体に使用される搬送装置と、を有し、
    前記搬送装置は、
    前記搬送室内で無限軌道に移動可能なように前記筐体に設けられた基準面の回りで無限軌道に移動可能に構成及び配置される可動部と、
    前記可動部に設けられ、前記被処理体を保持し、前記搬送室と前記処理室との間を移動可能である搬送部と、
    前記可動部を駆動する無限軌道駆動機構と、
    前記無限軌道駆動機構の無限軌道運動を制御する制御ユニットとを有し、
    一の前記搬送装置で、前記基準面が互いに一致している複数の前記筐体に共通に使用される、クラスターシステム。
JP17331899A 1999-06-18 1999-06-18 搬送モジュール及びクラスターシステム Expired - Fee Related JP4330703B2 (ja)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP17331899A JP4330703B2 (ja) 1999-06-18 1999-06-18 搬送モジュール及びクラスターシステム
TW089111497A TW483860B (en) 1999-06-18 2000-06-13 Transfer module and clusyter system for semiconductor manufacturing process
US09/595,930 US6634845B1 (en) 1999-06-18 2000-06-16 Transfer module and cluster system for semiconductor manufacturing process
KR1020000033122A KR100756152B1 (ko) 1999-06-18 2000-06-16 반송 모듈 및 클러스터 시스템

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP17331899A JP4330703B2 (ja) 1999-06-18 1999-06-18 搬送モジュール及びクラスターシステム

Publications (3)

Publication Number Publication Date
JP2001002241A JP2001002241A (ja) 2001-01-09
JP2001002241A5 JP2001002241A5 (ja) 2005-10-27
JP4330703B2 true JP4330703B2 (ja) 2009-09-16

Family

ID=15958223

Family Applications (1)

Application Number Title Priority Date Filing Date
JP17331899A Expired - Fee Related JP4330703B2 (ja) 1999-06-18 1999-06-18 搬送モジュール及びクラスターシステム

Country Status (4)

Country Link
US (1) US6634845B1 (ja)
JP (1) JP4330703B2 (ja)
KR (1) KR100756152B1 (ja)
TW (1) TW483860B (ja)

Families Citing this family (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4330703B2 (ja) * 1999-06-18 2009-09-16 東京エレクトロン株式会社 搬送モジュール及びクラスターシステム
US7018517B2 (en) * 2002-06-21 2006-03-28 Applied Materials, Inc. Transfer chamber for vacuum processing system
US6935828B2 (en) * 2002-07-17 2005-08-30 Transfer Engineering And Manufacturing, Inc. Wafer load lock and magnetically coupled linear delivery system
US8960099B2 (en) * 2002-07-22 2015-02-24 Brooks Automation, Inc Substrate processing apparatus
US7959395B2 (en) 2002-07-22 2011-06-14 Brooks Automation, Inc. Substrate processing apparatus
US20070183871A1 (en) * 2002-07-22 2007-08-09 Christopher Hofmeister Substrate processing apparatus
US7988398B2 (en) * 2002-07-22 2011-08-02 Brooks Automation, Inc. Linear substrate transport apparatus
US20060162656A1 (en) * 2002-07-31 2006-07-27 Tokyo Electron Limited Reduced volume, high conductance process chamber
GB0329933D0 (en) * 2003-12-24 2004-01-28 Boc Group Plc Load lock
US20050189399A1 (en) * 2004-02-26 2005-09-01 Progressive Tool & Industries, Co. Flexible body workstation for assembling workpieces
CN101866828B (zh) * 2004-06-02 2013-03-20 应用材料公司 电子装置制造室及其形成方法
US7784164B2 (en) * 2004-06-02 2010-08-31 Applied Materials, Inc. Electronic device manufacturing chamber method
US7438175B2 (en) * 2005-06-10 2008-10-21 Applied Materials, Inc. Linear vacuum deposition system
US7469715B2 (en) * 2005-07-01 2008-12-30 Applied Materials, Inc. Chamber isolation valve RF grounding
KR101020160B1 (ko) * 2006-03-03 2011-03-09 엘아이지에이디피 주식회사 플라즈마 처리장치
US7699957B2 (en) 2006-03-03 2010-04-20 Advanced Display Process Engineering Co., Ltd. Plasma processing apparatus
KR101020155B1 (ko) * 2006-03-03 2011-03-09 엘아이지에이디피 주식회사 플라즈마 처리장치
KR100920427B1 (ko) * 2006-03-03 2009-10-08 주식회사 에이디피엔지니어링 플라즈마 처리장치
WO2007107983A2 (en) * 2006-03-17 2007-09-27 Shlomo Shmuelov Storage and purge system for semiconductor wafers
US8398355B2 (en) * 2006-05-26 2013-03-19 Brooks Automation, Inc. Linearly distributed semiconductor workpiece processing tool
KR20080004118A (ko) * 2006-07-04 2008-01-09 피에스케이 주식회사 기판 처리 설비
JP5283835B2 (ja) 2006-07-06 2013-09-04 東京エレクトロン株式会社 マイクロ波プラズマ処理装置及びマイクロ波プラズマ処理装置用ゲートバルブ
US20080025821A1 (en) * 2006-07-25 2008-01-31 Applied Materials, Inc. Octagon transfer chamber
US20080025823A1 (en) * 2006-07-31 2008-01-31 Masahiko Harumoto Load lock device, and substrate processing apparatus and substrate processing system including the same
JP2008034740A (ja) * 2006-07-31 2008-02-14 Dainippon Screen Mfg Co Ltd ロードロック装置、それを備えた基板処理装置および基板処理システム
JP4781192B2 (ja) * 2006-07-31 2011-09-28 大日本スクリーン製造株式会社 ロードロック装置、それを備えた基板処理装置および基板処理システム
US20080175694A1 (en) * 2007-01-19 2008-07-24 Dong-Seok Park Unit and method for transferring substrates and apparatus and method for treating substrates with the unit
JP5125290B2 (ja) * 2007-07-27 2013-01-23 株式会社Ihi 浮上搬送装置及び処理搬送システム
CN101802996B (zh) * 2007-09-20 2012-03-28 株式会社爱发科 检测搬运装置停止的方法
JP5470770B2 (ja) 2008-08-07 2014-04-16 シンフォニアテクノロジー株式会社 真空処理装置
JP5353107B2 (ja) * 2008-08-07 2013-11-27 シンフォニアテクノロジー株式会社 搬送装置
US20100098518A1 (en) * 2008-10-20 2010-04-22 Applied Materials, Inc. In/out door for a vacuum chamber
US20100127201A1 (en) * 2008-11-21 2010-05-27 Applied Materials, Inc. Interlocking valve chamber and lid
WO2010126089A1 (ja) 2009-04-28 2010-11-04 キヤノンアネルバ株式会社 識別情報設定装置、および識別情報設定方法
JP5306908B2 (ja) * 2009-06-03 2013-10-02 東京エレクトロン株式会社 搬送モジュール
US8602706B2 (en) 2009-08-17 2013-12-10 Brooks Automation, Inc. Substrate processing apparatus
KR101124209B1 (ko) * 2010-07-26 2012-03-28 엘아이지에이디피 주식회사 플라즈마 처리장치
KR101331288B1 (ko) * 2010-08-06 2013-11-20 도쿄엘렉트론가부시키가이샤 기판 처리 시스템, 반송 모듈, 기판 처리 방법 및 반도체 소자의 제조 방법
JP2013062316A (ja) * 2011-09-12 2013-04-04 Tokyo Electron Ltd 搬送装置及びプラズマ処理システム
US9679751B2 (en) 2012-03-15 2017-06-13 Lam Research Corporation Chamber filler kit for plasma etch chamber useful for fast gas switching
US10297481B2 (en) * 2013-03-21 2019-05-21 Tokyo Electron Limited Magnetic annealing apparatus
US20150047785A1 (en) * 2013-08-13 2015-02-19 Lam Research Corporation Plasma Processing Devices Having Multi-Port Valve Assemblies
JP6114668B2 (ja) * 2013-09-18 2017-04-12 東京エレクトロン株式会社 基板処理装置及び基板処理方法
US20170194181A1 (en) * 2016-01-04 2017-07-06 Micron Technology, Inc. Overhead traveling vehicle, transportation system with the same, and method of operating the same
KR101964327B1 (ko) * 2017-04-05 2019-04-01 코스텍시스템(주) 웨이퍼 이송 장치
US10964608B2 (en) 2018-03-20 2021-03-30 Tokyo Electron Limited Platform and method of operating for integrated end-to-end gate contact process
JP7194805B2 (ja) * 2019-03-20 2022-12-22 株式会社Kokusai Electric ガス供給部、基板処理装置および半導体装置の製造方法
DE102022123236A1 (de) * 2022-09-12 2024-03-14 Mafu Robotics GmbH Behandlung von Werkstücken insbesondere von Wafern
CN117810137B (zh) * 2024-03-01 2024-04-26 深圳市锐铂自动化科技有限公司 Igbt模块的自动插针机

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60162731U (ja) * 1983-11-16 1985-10-29 東京エレクトロン株式会社 セラミツク材を用いた空気軸受装置
JPS60162731A (ja) 1984-01-31 1985-08-24 Nippon Steel Corp 時効性の小さい連続焼鈍冷延鋼板の製造方法
US4624617A (en) * 1984-10-09 1986-11-25 David Belna Linear induction semiconductor wafer transportation apparatus
JPS6288528A (ja) 1985-10-15 1987-04-23 Omron Tateisi Electronics Co Xyステ−ジ
US4742286A (en) 1985-10-29 1988-05-03 Micro-Stage, Inc. Gas bearing X-Y-θ stage assembly
US4917556A (en) * 1986-04-28 1990-04-17 Varian Associates, Inc. Modular wafer transport and processing system
US4836733A (en) * 1986-04-28 1989-06-06 Varian Associates, Inc. Wafer transfer system
US4790258A (en) * 1987-04-03 1988-12-13 Tegal Corporation Magnetically coupled wafer lift pins
US5202716A (en) * 1988-02-12 1993-04-13 Tokyo Electron Limited Resist process system
US5447409A (en) * 1989-10-20 1995-09-05 Applied Materials, Inc. Robot assembly
WO1993009018A1 (en) 1991-11-05 1993-05-13 Seiko Epson Corporation Micro-robot
JP2598353B2 (ja) * 1991-12-04 1997-04-09 アネルバ株式会社 基板処理装置、基板搬送装置及び基板交換方法
AU4089193A (en) 1992-05-22 1993-12-30 Daifuku Co., Ltd. Apparatus for supplying electric power to moving object without contact
JPH05336613A (ja) * 1992-05-29 1993-12-17 Ntn Corp 超電導スライダの起動方法
JPH0649529A (ja) 1992-07-29 1994-02-22 Kawasaki Steel Corp 溶鋼の脱炭・脱ガス方法
US5417537A (en) * 1993-05-07 1995-05-23 Miller; Kenneth C. Wafer transport device
JPH07211762A (ja) * 1994-01-13 1995-08-11 Hitachi Ltd ウエハ搬送処理装置
US5793184A (en) 1994-04-20 1998-08-11 Opcon, Ltd. Solar power supply unit for battery operated devices
JPH09283467A (ja) * 1996-04-18 1997-10-31 Mitsubishi Electric Corp 半導体装置の製造方法
US5855681A (en) * 1996-11-18 1999-01-05 Applied Materials, Inc. Ultra high throughput wafer vacuum processing system
US5838121A (en) 1996-11-18 1998-11-17 Applied Materials, Inc. Dual blade robot
JPH1126550A (ja) * 1997-07-04 1999-01-29 Tokyo Electron Ltd 基板搬送装置およびそれを用いた基板処理装置
JPH11103531A (ja) 1997-09-29 1999-04-13 Nec Mori Energy Kk 非接触充電装置
KR100265287B1 (ko) * 1998-04-21 2000-10-02 윤종용 반도체소자 제조용 식각설비의 멀티챔버 시스템
JP4354039B2 (ja) 1999-04-02 2009-10-28 東京エレクトロン株式会社 駆動装置
JP4330703B2 (ja) * 1999-06-18 2009-09-16 東京エレクトロン株式会社 搬送モジュール及びクラスターシステム

Also Published As

Publication number Publication date
US6634845B1 (en) 2003-10-21
KR20010007402A (ko) 2001-01-26
KR100756152B1 (ko) 2007-09-05
JP2001002241A (ja) 2001-01-09
TW483860B (en) 2002-04-21

Similar Documents

Publication Publication Date Title
JP4330703B2 (ja) 搬送モジュール及びクラスターシステム
US7688017B2 (en) Multi-axis vacuum motor assembly
JP4790344B2 (ja) 蒸着システム用の磁気ラッチ
US11894251B2 (en) Transport system
US10134621B2 (en) Substrate transport apparatus
KR101267709B1 (ko) 이온 빔 주입기용 엄빌리컬 코드 설비물 연결
WO2003056624A1 (fr) Dispositif de transport d'un corps traite et systeme de traitement equipe d'un dispositif de transport
JP2005101505A (ja) 可動可搬型静電式基板保持器
US20010054484A1 (en) Plasma processor, cluster tool, and method of controlling plasma
JP2000294613A (ja) 駆動装置及び駆動補助装置
WO2021106799A1 (ja) 基板搬送装置及び基板処理システム
JP2004265894A (ja) 基板処理装置
US20240178032A1 (en) Substrate transport apparatus
US6443618B1 (en) Particulate free air bearing and seal
JP4805258B2 (ja) カセット搬送システム
US5203981A (en) Vacuum-treatment apparatus
JP2001001290A (ja) 処理モジュール及びクラスターシステム
JPS5988819A (ja) 局部真空処理のためのエンベロブ装置
US20220076978A1 (en) Alignment of an electrostatic chuck with a substrate support
KR20180109835A (ko) 진공 시스템에서 사용하기 위한 캐리어, 진공 프로세싱을 위한 시스템, 및 기판의 진공 프로세싱을 위한 방법
CN114649246A (zh) 输送基片的装置、处理基片的***和输送基片的方法
JP2010141254A (ja) 被処理体の処理装置
JP2006049489A (ja) 基板処理装置
JPH05122807A (ja) 搬送装置
JPH0428622A (ja) 半導体搬送装置

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20050708

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050708

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080909

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20080911

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20081016

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20090127

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090313

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20090403

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20090616

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20090617

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120626

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120626

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150626

Year of fee payment: 6

LAPS Cancellation because of no payment of annual fees