WO2010092901A1 - フォトマスク、フォトマスクの製造方法及び修正方法 - Google Patents

フォトマスク、フォトマスクの製造方法及び修正方法 Download PDF

Info

Publication number
WO2010092901A1
WO2010092901A1 PCT/JP2010/051635 JP2010051635W WO2010092901A1 WO 2010092901 A1 WO2010092901 A1 WO 2010092901A1 JP 2010051635 W JP2010051635 W JP 2010051635W WO 2010092901 A1 WO2010092901 A1 WO 2010092901A1
Authority
WO
WIPO (PCT)
Prior art keywords
pattern
film
photomask
auxiliary pattern
main
Prior art date
Application number
PCT/JP2010/051635
Other languages
English (en)
French (fr)
Inventor
隆治 長井
秀吉 高見澤
弘 毛利
森川 泰考
早野 勝也
Original Assignee
大日本印刷株式会社
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP2009033216A external-priority patent/JP5104774B2/ja
Priority claimed from JP2009207682A external-priority patent/JP5104832B2/ja
Priority to KR1020117018799A priority Critical patent/KR101420907B1/ko
Priority to EP10741178.7A priority patent/EP2397900B1/en
Priority to CN2010800070220A priority patent/CN102308256B/zh
Priority to US13/147,634 priority patent/US8974987B2/en
Priority to KR1020137011701A priority patent/KR101396078B1/ko
Application filed by 大日本印刷株式会社 filed Critical 大日本印刷株式会社
Publication of WO2010092901A1 publication Critical patent/WO2010092901A1/ja
Priority to US14/607,541 priority patent/US9519211B2/en
Priority to US15/341,480 priority patent/US10048580B2/en
Priority to US16/033,596 priority patent/US10394118B2/en
Priority to US16/508,917 priority patent/US10634990B2/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/20Masks or mask blanks for imaging by charged particle beam [CPB] radiation, e.g. by electron beam; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/26Phase shift masks [PSM]; PSM blanks; Preparation thereof
    • G03F1/28Phase shift masks [PSM]; PSM blanks; Preparation thereof with three or more diverse phases on the same PSM; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/26Phase shift masks [PSM]; PSM blanks; Preparation thereof
    • G03F1/30Alternating PSM, e.g. Levenson-Shibuya PSM; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/26Phase shift masks [PSM]; PSM blanks; Preparation thereof
    • G03F1/32Attenuating PSM [att-PSM], e.g. halftone PSM or PSM having semi-transparent phase shift portion; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • G03F1/44Testing or measuring features, e.g. grid patterns, focus monitors, sawtooth scales or notched scales
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/72Repair or correction of mask defects
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/80Etching

Definitions

  • the film thickness difference is preferably formed by dry etching.
  • a light shielding region is formed on the outer periphery of the photomask.
  • an ArF excimer laser is used as an exposure light source, and is used for projection exposure by modified illumination.
  • the main pattern is transferred onto one main surface of the transparent substrate by the projection exposure and transferred to the transfer target surface.
  • a photomask manufacturing method provided with an auxiliary pattern formed in the vicinity of a pattern and not transferred to the transfer target surface, wherein (a) a semitransparent film and a light-shielding film are sequentially formed on one main surface of the transparent substrate.
  • a step of setting the film thickness so that the phase difference between the light transmitted through the translucent film and the light transmitted through the transparent region of the transparent substrate is approximately 180 degrees; and (b) forming a first resist pattern on the light shielding film.
  • the semi-transparent film of the auxiliary pattern portion is dry-etched until the film thickness is such that the light transmitted through the auxiliary pattern and the light transmitted through the transparent region of the transparent substrate have a predetermined phase difference in the range of 70 to 115 degrees.
  • Forming an auxiliary pattern and (e) forming a main pattern by etching and removing the light-shielding film of the main pattern portion, and transmitting light through the main pattern and light transmitted through a transparent region of the transparent substrate. And a step of generating a phase difference of 180 degrees in the photomask manufacturing method.
  • an ArF excimer laser is used as an exposure light source, and is used for projection exposure by modified illumination.
  • the main pattern is transferred onto one main surface of the transparent substrate by the projection exposure and transferred to the transfer target surface.
  • a photomask manufacturing method provided with an auxiliary pattern formed in the vicinity of a pattern and not transferred to the transfer target surface, wherein (a) a semitransparent film and a light-shielding film are sequentially formed on one main surface of the transparent substrate.
  • the translucent film is composed of two translucent films, and the lower translucent film on the transparent substrate side also serves as an etching stop layer for the upper translucent film, and transmits light through the two translucent films.
  • a film thickness difference between the thickness of the auxiliary pattern after correction, which is thinned by etching or grinding, and the thickness of the auxiliary pattern before correction is in the range of 1 nm to 40 nm. .
  • the main pattern and the auxiliary pattern are composed of a light shielding film.
  • the auxiliary pattern is corrected by the above-described photomask correction method, and the film thickness of the auxiliary pattern after correction is smaller than the film thickness of the auxiliary pattern before correction. I will provide a.
  • the conventionally used halftone mask blanks can be used as they are, and it is not necessary to change the mask blanks material.
  • Mask blank compatibility can be ensured for a halftone mask that does not use an auxiliary pattern, and mask quality can be maintained and mask cost can be reduced.
  • the photomask of the present invention by setting the film thickness difference between the main pattern and the auxiliary pattern within a predetermined range, a part of the auxiliary pattern is missing, the auxiliary pattern is peeled off from the substrate surface, or the auxiliary pattern is its line. Occurrence of a phenomenon of falling down in the width direction can be suppressed.
  • the auxiliary pattern that should not be transferred to the transfer target surface is resolved in the photomask correction method when the auxiliary pattern is resolved to the transfer target surface.
  • the photomask can be modified to form a transfer image with high contrast.
  • the photomask correcting method of the present invention is a method of correcting the auxiliary pattern by thinning the auxiliary pattern in the thickness direction. It is possible to reliably correct by an easy method.
  • FIG. 6 is a schematic plan view (a) of a QUASAR pupil filter used in the simulation, a schematic perspective view (b) when the mask is irradiated with exposure light using QUASAR, and a schematic plan view (c) of the mask pattern 194.
  • FIG. 17 is a diagram showing a relationship between an SRAF etching amount (on a mask) and SRAF CD (a dimension on a wafer) in the embodiment shown in FIG. 16.
  • FIG. 17 is a diagram illustrating an influence of an SRAF etching amount error on a mask on a main pattern CD error on a wafer in the embodiment illustrated in FIG. 16.
  • FIG. 30 is a partial enlarged view of FIG.
  • the photomask of the present invention is a mask used for projection exposure with ArF excimer laser as an exposure light source, preferably a fine semiconductor element having a half pitch on the wafer of 65 nm or less, and further 45 nm and 32 nm. It is a mask intended to be used for formation.
  • NILS is expressed by the following mathematical formula (1).
  • W is a desired pattern dimension
  • I th is a threshold value of light intensity giving W
  • (dI / dx) is a gradient of the aerial image.
  • NILS (dI / dx) / (W ⁇ I th ) (1)
  • MEEF is expressed by the following mathematical formula (2), and is represented by the ratio of the pattern dimension change amount ( ⁇ wafer CD) on the wafer to the mask dimension change amount ( ⁇ mask CD).
  • CD indicates the critical dimension of the mask or wafer.
  • the numerical value 4 in the formula (2) is a reduction ratio of the mask, and illustrates a case where a general quadruple mask is used. As shown in Equation (2), the smaller the MEEF value (near 1), the mask pattern is faithfully transferred to the wafer pattern. If the MEEF value decreases, the wafer manufacturing yield improves. As a result, the mask manufacturing yield used for wafer manufacturing is also improved.
  • MEEF ⁇ wafer CD / ⁇ mask CD / 4 (2)
  • FIG. 4A is a schematic plan view of the Cquad 31
  • FIG. 4B is a schematic perspective view when the mask 33 is irradiated with exposure light using the Cquad 31.
  • FIG. Cquad 31 had an opening angle of the fan-shaped light transmission part of 35 degrees, an outer diameter of 0.9, and an inner diameter of 0.7 (the radius of the pupil filter is 1).
  • a conventional half-tone mask of 6% transmittance (denoted as 6% half-tone) at an exposure wavelength of 193 nm of a general molybdenum silicide type and a molybdenum silicide type binary mask for comparison are used.
  • the target line dimension on the wafer was 45 nm, and the pattern was a line / space repetitive pattern with a pitch of 90 nm (half pitch 45 nm).
  • FIG. 20 and 21 are diagrams showing the relationship between the mask bias and transfer characteristics at a transfer target size of 45 nm on the wafer obtained by the above simulation in the conventional halftone mask and binary mask, and FIG. FIG. 21 shows the relationship between MEEF and mask CD. *
  • the NILS shown in FIG. 20 shows the maximum value in the mask CD 32 nm to 44 nm (on the wafer) with the mask bias set to the negative side and the line pattern dimension reduced.
  • the NILS tends to increase as the mask pattern is increased on the positive side and the line pattern size is increased.
  • both the halftone mask and the binary mask have a smaller MEEF as the line pattern size is reduced by reducing the mask bias, but the halftone mask is smaller than the binary mask. Value, more preferred.
  • the mask NI of the maximum NILS and the mask of the minimum MEEF are almost the same.
  • the binary mask NILS and MEEF are in a contradictory relationship, and it is understood that if one characteristic is improved, the other characteristic is deteriorated.
  • a halftone mask is more suitable than a binary mask for pattern formation with a half pitch of 45 nm or less. Therefore, as described in the present invention, it is one of the preferred choices to use a halftone mask as a photomask for pattern formation with a half pitch of 45 nm or less.
  • FIG. 22 is a diagram showing a mask CD of a conventional halftone mask and binary mask and exposure margin (also referred to as exposure latitude, also referred to as exposure latitude).
  • the exposure margin is a value indicating an exposure margin for obtaining a good resist size / shape.
  • the exposure margin is evaluated under the condition that the error of the wafer transfer CD is ⁇ 3.8 nm or less when the focal plane is shifted within a range of ⁇ 50 nm and the main pattern mask CD is shifted within a range of ⁇ 2.5 nm. did.
  • the mask CD is converted on the wafer, the mask CD of the main pattern to be transferred is shown.
  • FIG. 22 is a diagram showing a mask CD of a conventional halftone mask and binary mask and exposure margin (also referred to as exposure latitude, also referred to as exposure latitude).
  • the exposure margin is a value indicating an exposure margin for obtaining a good resist size / shape.
  • the exposure margin is evaluated under the condition that the error of the wafer
  • the halftone mask (dotted line in the figure) shows the best exposure margin of 8.3% when the mask CD is 32 nm, and the same exposure margin as the binary mask (solid line) when the mask CD is 40 nm. Degree.
  • the binary mask shows the best exposure margin of 7% when the mask CD is 46 nm, but the exposure margin is smaller than that of the halftone mask.
  • FIG. 4 is an evaluation pattern (FIG. 4A) used in the present invention and a diagram of an aerial image showing the light intensity corresponding to the position of the evaluation pattern (FIG. 4B).
  • the evaluation pattern has nine lines / spaces with a half pitch of 45 nm as the main pattern, and two SRAFs at both ends of the main pattern in order to improve the resolution of the main pattern at the end (the half pitch of SRAF is the same as the main pattern).
  • the same pattern is repeated, with a 400 nm space in between. Both the main pattern and SRAF are the above 6% halftone. *
  • auxiliary pattern SRAF
  • FIG. 4 the horizontal axis shows the position of a pair of patterns of the main pattern and SRAF, and the vertical axis shows the normalized light intensity when the light intensity of the transmission part having no pattern is 1.
  • a slice level indicated by a solid line is a standardized light intensity threshold. The slice level varies depending on the dimensions of the main mask pattern. If the minimum light intensity of the SRAF portion indicated by the arrow in the figure falls below the slice level, it means that the SRAF is resolved on the wafer. *
  • FIG. 23 shows the slice level of the standardized light intensity threshold for the SRAF CD (horizontal axis) on the wafer in the halftone mask and binary mask when the film thickness is constant based on the prior art. It is a figure which shows ratio (vertical axis) of the light intensity of the SRAF part with respect to.
  • Halftone masks (triangular points in the figure) show the case where there are three main pattern CDs (32 nm; 36 nm; 40 nm on the wafer). If the above ratio is 1 or less, the SRAF is transferred. Therefore, in order to prevent the SRAF from being transferred, the above ratio must be 1 or more.
  • the exposure margin shows the best value, but the SRAF CD is not less than 14 nm (56 nm on the mask). SRAF will be resolved, and it will be understood that the mask manufacturing is difficult.
  • FIG. 1 is a partial cross-sectional schematic view showing a first embodiment of a halftone mask having an auxiliary pattern which is a photomask of the present invention, illustrating a case where a line / space pattern is provided, and a synthetic quartz substrate
  • a main pattern 12 is provided on a transparent substrate 11 such as a single-layer semi-transparent film that transmits exposure light at a predetermined transmittance and changes phase, and a single layer made of the same material as the main pattern 12 is provided in the vicinity of the main pattern 12.
  • This is a halftone mask 10 on which an auxiliary pattern (SRAF) 13 composed of a semi-transparent film is formed.
  • SRAF auxiliary pattern
  • FIG. 1 only two main patterns 12 and auxiliary patterns 13 and only a part of the mask pattern are illustrated, but the present invention is not limited to this.
  • the main pattern may be an isolated pattern or a periodic pattern.
  • the halftone mask 10 having the auxiliary pattern according to the present invention causes a phase difference of 180 degrees between the light transmitted through the main pattern 12 and the light transmitted through the transparent area without the pattern on the transparent substrate 11 and transmitted through the auxiliary pattern 13. And a predetermined phase difference in the range of 70 degrees to 115 degrees is set between the transmitted light and the light transmitted through the transparent region of the transparent substrate 11.
  • the halftone mask 10 does not resolve the auxiliary pattern 13 while maintaining the focal depth expansion effect as the auxiliary pattern, and the main pattern 12. Transfer images with high contrast can be formed. *
  • the halftone mask 10 having the auxiliary pattern of the present invention has a film thickness of the auxiliary pattern 13 smaller than that of the main pattern 12, and a film thickness difference (hereinafter referred to as SRAF film thickness difference).
  • SRAF film thickness difference a film thickness difference in the range of 24 nm to 40 nm.
  • the predetermined film thickness difference can be formed by selectively dry etching the SRAF part.
  • the halftone mask 10 having the auxiliary pattern for example, when the ArF exposure light transmittance of the main pattern causing a phase difference of 180 degrees is 6%, a predetermined phase difference in the range of 70 degrees to 115 degrees is generated.
  • the ArF exposure light transmittance of the auxiliary pattern to be formed is a predetermined transmittance in the range of 15% to 29%.
  • the translucent film constituting the main pattern 12 and the auxiliary pattern 13 of the halftone mask 10 of the present invention shown in FIG. 1 is not particularly limited as a material.
  • molybdenum silicide oxidation which is a molybdenum silicide material is used.
  • examples thereof include semitransparent films such as a film (MoSiO), a molybdenum silicide nitride film (MoSiN), and a molybdenum silicide oxynitride film (MoSiON).
  • the molybdenum silicide-based translucent film is practically used as a halftone mask material and is a more preferable material. *
  • MoSiO molybdenum silicide oxide film
  • the translucent film constituting the main pattern 12 and the auxiliary pattern 13 is, for example, a translucent film made of molybdenum silicide material, fluorine-based gas such as CF 4 , CHF 3 , C 2 F 6 , or a mixed gas thereof
  • fluorine-based gas such as CF 4 , CHF 3 , C 2 F 6
  • dry etching can be performed by using a gas in which oxygen is mixed with these gases as an etching gas to form a pattern.
  • the surface of the transparent substrate is usually slightly etched and dug (see FIG. 1 (not shown).
  • the digging depth of the surface of the transparent substrate where there is no mask pattern is preferably controlled to a depth in the range of 0 to 10 nm. If the digging depth exceeds 10 nm, the mask characteristics will be adversely affected. Therefore, in the halftone mask of the present invention, the etching depth on the surface of the transparent substrate is controlled to a predetermined depth in the range of 0 to 10 nm, and the phase difference including this depth is set in advance.
  • the digging depth at which any halftone mask is etched is 4 nm, but other etching depths may be used as long as they are in the range of 0 to 10 nm. *
  • the halftone mask of this embodiment for example, when molybdenum silicide having a film thickness of 68 nm is used as a semitransparent film, the main pattern (film thickness 68 nm) has an ArF excimer laser transmittance of 6%, a transparent region of the transparent substrate, and the like.
  • the auxiliary pattern has a predetermined film thickness difference in the range of 24 nm to 40 nm with respect to the main pattern and the auxiliary pattern has a predetermined level in the range of 70 degrees to 115 degrees with the transparent region of the transparent substrate.
  • a halftone mask that is a phase difference can be shown. *
  • another embodiment of the photomask of the present invention is a halftone mask made up of two layers of translucent films shown in FIG.
  • the main pattern and the auxiliary pattern are composed of two semi-transparent films made of the same material, and the lower semi-transparent film 24 on the transparent substrate side is an etching stop layer at the time of dry etching of the upper semi-transparent film 25. It has a function and also has a function as a translucent film.
  • the upper semi-transparent film 25 the above molybdenum silicide-based material can be exemplified.
  • the lower semitransparent film 24 is preferably a chromium oxide film (CrO), a chromium nitride film (CrN), or a chromium oxynitride film (CrON), which is a chromium-based material.
  • CrO chromium oxide film
  • CrN chromium nitride film
  • CrON chromium oxynitride film
  • the chromium-based material is formed by a conventionally known reactive sputtering method, and the unnecessary portion of the chromium-based material thin film can be dry-etched with a chlorine-based gas without damaging the transparent substrate.
  • the upper semi-transparent film 25 is formed to a thickness of several tens of nm
  • the lower semi-transparent film 24 is formed to a thickness of several nm to several tens of nm.
  • a light shielding region may be formed on the outer periphery of the mask.
  • the mask outer peripheral portion is subjected to multiple exposure, so a photomask having a light shielding region provided on the mask outer peripheral portion is used. Therefore, also in the present invention, a light-shielding film can be provided on a semi-transparent film in a desired region such as the outer peripheral portion to form a light-shielding region.
  • the light-shielding film is formed as a light-shielding region by forming a light-shielding metal film of chromium or the like to a thickness of about several tens to 200 nm and patterning.
  • FIG. 5 shows a difference between SRAF film thickness difference (horizontal axis) and SRAF light intensity / standardized light intensity threshold when the SRAF CD is changed in a halftone mask having a main pattern CD of 32 nm on the wafer. It is a figure which shows the relationship with a slice level (vertical axis). If the SRAF light intensity / slice level is not 1 or more, the SRAF is resolved on the wafer.
  • the SRAF CD is as fine as 14 nm (56 nm on the mask)
  • the SRAF is not transferred even if the SRAF film thickness difference is 0, that is, the same as the main pattern film thickness (68 nm).
  • the SRAF CD is 22 nm (88 nm on the mask) and the SRAF film thickness difference is 24 nm or more
  • the SRAF is not resolved and transferred.
  • the SRAF CD is 26 nm (104 nm on the mask)
  • the SRAF film thickness difference is 30 nm or more
  • the SRAF CD is 30 nm (88 nm on the mask)
  • the SRAF film thickness difference is 34 nm or more. SRAF is not transferred.
  • the SRAF CD is 14 nm or less.
  • the SRAF CD is increased to 26 nm to 30 nm, which is twice as large as the conventional size, the SRAF is not used. It can be used without being resolved and transferred.
  • SRAF thin film can be easily formed by selectively dry etching the SRAF portion. Since the SRAF dimension can be increased to about twice that of the prior art, it is possible to use a halftone mask having an SRAF made of the same material, which has been difficult to miniaturize and difficult to use.
  • FIG. 6 is a diagram showing the relationship between the CD at the end of the main pattern on the wafer and the defocus (Defocus) when the SRAF CD is changed.
  • Each SRAF CD is etched so that the SRAF is not resolved, and has a film thickness of the main pattern and a predetermined film thickness difference (24 nm, 32 nm, 40 nm).
  • a predetermined film thickness difference 24 nm, 32 nm, 40 nm.
  • the photomask of the present invention can form a transfer image with high contrast while maintaining the effect of expanding the depth of focus as the auxiliary pattern by thinning only the auxiliary pattern portion. Furthermore, the dimension of the auxiliary pattern can be increased to about twice the conventional dimension, and by reducing the aspect ratio of the auxiliary pattern, an effect of reducing the chipping and falling of the auxiliary pattern can be obtained. Further, when a molybdenum silicide-based single layer film is used as the photomask of the present invention, the mask blanks for halftone masks that have been used in the past can be used as they are, the mask quality is maintained, and high accuracy is achieved. A mask having a fine pattern can be used. *
  • the photomask manufacturing method of the present invention is characterized in that a predetermined phase difference in the range of 70 degrees to 115 degrees is generated between the light transmitted through the auxiliary pattern and the light transmitted through the transparent region of the transparent substrate.
  • the film thickness of the auxiliary pattern is thinner than that of the main pattern, and is set to a predetermined film thickness difference in the range of 24 nm to 40 nm.
  • the predetermined film thickness difference includes a method of changing the film thickness according to the pattern when forming the semitransparent film, and a method of etching the semitransparent film according to the pattern after forming the semitransparent film. There is a way to change.
  • the photomask manufacturing method of the present invention is based on the latter etching method that is easy to manufacture and provides a high-accuracy mask.
  • FIG. 11 is a process cross-sectional schematic diagram when the photomask of the present invention is manufactured using a known conventional manufacturing method.
  • a semi-transparent film 112 is formed on the transparent substrate 111, and the film thickness is such that the phase difference between the light transmitted through the semi-transparent film and the light transmitted through the transparent region of the transparent substrate is 180 degrees.
  • the light shielding film 113 is formed on the translucent film (FIG. 11A).
  • a first resist pattern 114 is formed on the light shielding film 113, and the light shielding film 113 and the translucent film 112 are sequentially dry etched to form a main pattern portion 115 and an auxiliary pattern portion 116 (FIG. 11B). ).
  • the first resist pattern 154 is peeled off, and the light shielding film in the exposed pattern portion is removed by etching (FIG. 11C).
  • the main pattern portion 115 is covered with the second resist pattern 117, and the translucent film of the auxiliary pattern portion is made up to a film thickness at which the light transmitted through the auxiliary pattern portion and the light transmitted through the transparent region of the transparent substrate have a predetermined phase difference.
  • an auxiliary pattern 118 is formed by dry etching (FIG. 11D), and the second resist pattern 117 is peeled to obtain a halftone mask 110 (FIG. 11E). *
  • the surface of the transparent substrate 111 that is not covered with the second resist pattern 117 is simultaneously etched during the dry etching of the semitransparent film of the auxiliary pattern portion 116, as shown in FIG.
  • the level difference 121 is generated on the surface of the transparent substrate 111 at the boundary surface of the resist pattern 117, which causes a problem that the mask quality is deteriorated and cannot be used practically. Therefore, the conventional mask manufacturing method described above cannot be applied to the manufacturing of the photomask of the present invention.
  • the photomask manufacturing method of the present invention is a manufacturing method that solves the above-described problems, and uses ArF excimer laser as an exposure light source, is used for projection exposure by modified illumination, and is transferred onto a transparent substrate by projection exposure.
  • This is a method of manufacturing a photomask provided with a main pattern transferred to a target surface and an auxiliary pattern formed in the vicinity of the main pattern and not transferred to the transfer target surface.
  • FIG. 7 is a process cross-sectional schematic diagram showing the first embodiment of the method for producing the photomask of the present invention shown in FIG.
  • a translucent film 72 is formed on a transparent substrate 71 such as a synthetic quartz substrate, and the phase difference between the light transmitted through the translucent film 72 and the light transmitted through the transparent region of the transparent substrate 71.
  • a photomask blank in which the light shielding film 73 is formed on the semitransparent film 72 is prepared.
  • a conventionally known method can be applied to form the semitransparent film 72 and the light shielding film 73.
  • the semitransparent film 72 is a molybdenum silicide oxide film (MoSiO)
  • a reactive sputtering method in a mixed gas atmosphere of argon and oxygen.
  • the light shielding film 73 is a metal film such as chromium, it can be formed by forming a predetermined film thickness by sputtering or the like.
  • the film thickness of the translucent film 72 is set to a film thickness at which the phase difference of light is approximately 180 degrees for the following reason.
  • the surface of the transparent substrate 71 is usually slightly etched.
  • the etching depth is preferably 4 nm, and the upper limit is set to 10 nm in the present invention. If it exceeds 10 nm, the mask characteristics will be adversely affected. Therefore, in the halftone mask of the present invention, the etching depth of the surface of the transparent substrate 71 during the dry etching of the semitransparent film 72 is controlled to a predetermined depth in the range of 0 to 10 nm, and this depth is included in advance. The phase difference is set.
  • the thickness of the semi-transparent film at the time of film formation is set to a film thickness in which the phase difference is approximately 180 degrees in consideration of the variation due to the etching of the transparent substrate in advance, and finally the phase difference of 180 degrees after the main pattern is formed. Is what you get.
  • the predetermined etching depth is described as 4 nm as an example.
  • an atomic force microscope (AFM) was used for measuring the film thickness, and a phase difference was measured using a phase shift amount measuring device (Lasertec Corporation: MPM193). *
  • a first resist pattern 74 is formed on the light shielding film 73, and the light shielding film 73 and the semi-transparent film 72 are sequentially dry-etched into a pattern to form a main pattern portion 75 and an auxiliary pattern portion 76 (see FIG. FIG. 7B).
  • the first resist pattern 74 is removed, a second resist pattern 77 is formed on the light shielding film, and the light shielding film 73 of the auxiliary pattern portion 76 is removed by etching (FIG. 7C). . *
  • the translucent film 72 is a translucent film made of, for example, molybdenum silicide, a fluorine-based gas such as CF 4 , CHF 3 , C 2 F 6 , a mixed gas thereof, or a mixture of these gases with oxygen
  • a fluorine-based gas such as CF 4 , CHF 3 , C 2 F 6 , a mixed gas thereof, or a mixture of these gases with oxygen
  • this gas By using this gas as an etching gas, dry etching can be performed to form a pattern.
  • the light shielding film 73 is, for example, chromium
  • dry etching is performed using a mixed gas of Cl 2 and oxygen as an etching gas, and the pattern can be formed without damaging the translucent film 72 and the transparent substrate 71. it can.
  • the light shielding film 73 can be removed by wet etching with an aqueous solution of ceric ammonium nitrate instead of dry etching.
  • the second resist pattern 77 is peeled off, and the entire upper surface of the transparent substrate 71 is dry-etched under the etching conditions of the semi-transparent film 72, so that the light transmitted through the auxiliary pattern and the transparent region of the transparent substrate 71 are transmitted.
  • the auxiliary pattern 78 is formed by dry etching the semi-transparent film of the auxiliary pattern portion until the light reaches a predetermined phase difference in the range of 70 ° to 115 ° (FIG. 7D).
  • the etching amount of the auxiliary pattern 78 for obtaining the above phase difference corresponds to a predetermined film thickness range of 24 nm to 40 nm in terms of the film thickness difference from the semi-transparent film of the main pattern portion.
  • the main pattern portion is covered with the light shielding film 73, it is not etched and the film thickness at the time of forming the semitransparent film is maintained.
  • uniform and highly accurate etching can be performed on the entire mask surface, and the phase difference of the auxiliary pattern 78 can be controlled to a predetermined value with high accuracy.
  • FIG. 8 is a process cross-sectional schematic diagram showing a second embodiment of the method of manufacturing the photomask of the present invention shown in FIG. 1, and the semitransparent film 82 is formed on the transparent substrate 81 as in FIG.
  • the film thickness is such that the phase difference between the light transmitted through the translucent film 82 and the light transmitted through the transparent region of the transparent substrate 81 is approximately 180 degrees, and then a light shielding film 83 is formed on the translucent film 82.
  • the formed photomask blanks are prepared (FIG. 8A).
  • a first resist pattern 84 is formed on the light shielding film 83, the light shielding film 83 and the semitransparent film 82 are sequentially dry etched, and the etching is stopped in the middle of the half etching of the semitransparent film 82.
  • a thin layer of the semitransparent film 82 to be removed remains partially on the transparent substrate 81 in a half-etched state, but the main pattern portion 85 and the auxiliary pattern portion 86 leave a half-etched portion. (FIG. 8B).
  • the film thickness of the half-etched portion of the semi-transparent film 82 that has been half-etched at this stage is set in advance so as to be a film thickness that is removed by etching simultaneously with the etching of the auxiliary pattern in a later step.
  • the first resist pattern 84 is peeled off, a second resist pattern 87 is formed on the light shielding film, and the light shielding film in the auxiliary pattern portion is etched and removed (FIG. 8C).
  • the light shielding film 83 can be removed by either dry etching or wet etching.
  • the second resist pattern 87 is peeled off, and the entire main surface of the transparent substrate 81 is dry-etched under the etching conditions of the semitransparent film 82, so that the light transmitted through the auxiliary pattern and the transparent region of the transparent substrate 81 are transmitted.
  • the auxiliary pattern 88 is formed by dry etching the semi-transparent film of the auxiliary pattern portion until the light reaches a predetermined phase difference in the range of 70 ° to 115 ° (FIG. 8D).
  • the etching amount of the auxiliary pattern 88 for obtaining the above phase difference corresponds to a predetermined film thickness difference in the range of 24 nm to 40 nm in terms of the film thickness difference from the main pattern.
  • the half-etched portion of the semi-transparent film 82 that remains after being half-etched is etched simultaneously. Since the main pattern portion is covered with the light shielding film 83, it is not etched. *
  • the light shielding film in the main pattern portion is removed by etching to form the main pattern 89, and a phase difference of 180 degrees is generated between the light transmitted through the main pattern 89 and the light transmitted through the transparent region of the transparent substrate 81.
  • the halftone mask 80 having the auxiliary pattern 88 is formed (FIG. 8E).
  • the light shielding film 83 can be removed by either dry etching or wet etching. *
  • the high-quality halftone mask 80 having the auxiliary pattern 88 is obtained without causing the step as described in FIG. 11 on the surface of the transparent substrate 81. be able to.
  • FIG. 9 is a process cross-sectional schematic diagram showing an embodiment of a method for producing the photomask of the present invention shown in FIG.
  • a semi-transparent film 92a and a semi-transparent film 92 are sequentially formed on a transparent substrate 91 such as a synthetic quartz substrate to form two layers of semi-transparent films.
  • the lower semi-transparent film 92a functions as an etching stopper layer when the upper semi-transparent film 92 is dry-etched, and also functions as a mask material for the semi-transparent film.
  • the phase difference between the light transmitted through the two-layer semi-transparent film and the light transmitted through the transparent region of the transparent substrate 91 is set to a film thickness that is approximately 180 degrees.
  • the formed photomask blanks are prepared.
  • a conventionally known method can be applied to the formation of the semitransparent film 92a, the semitransparent film 92, and the light shielding film 93.
  • a chromium oxide film (CrO), a chromium nitride film (CrN), or a chromium oxynitride film (CrON), which is a chromium-based material is used as the lower semi-transparent film 92a.
  • a chromium oxide film (CrO), a chromium nitride film (CrN), or a chromium oxynitride film (CrON) which is a chromium-based material. This is because the thin film of the chromium-based material is translucent to the exposure light and is resistant to the fluorine-based gas used for dry etching of the molybdenum silicide-based material.
  • the chromium-based material can be formed by a conventionally known reactive sputtering method.
  • the upper semi-transparent film 92 the above molybdenum silicide-based material can be exemplified.
  • the translucent film 92 is a molybdenum silicide oxide film (MoSiO)
  • MoSiO molybdenum silicide oxide film
  • the light shielding film 93 is made of chromium, and can be formed by forming a predetermined film thickness by sputtering or the like. *
  • a first resist pattern 94a is formed on the light shielding film 93, and the light shielding film 93, the semi-transparent film 92, and the semi-transparent film 92a are sequentially dry-etched into a pattern, thereby the main pattern portion 95 and the auxiliary pattern portion. 96 is formed (FIG. 9B). During the etching of the semitransparent film 92a, the transparent substrate 91 is not damaged.
  • the light shielding film 93 is, for example, chromium
  • dry etching is performed using a mixed gas of Cl 2 and oxygen as an etching gas without damaging the translucent film and the transparent substrate.
  • a pattern can be formed.
  • the translucent film 92 is, for example, a translucent film of molybdenum silicide material, fluorine-based gas such as CF 4 , CHF 3 , C 2 F 6 , a mixed gas thereof, or a mixture of these gases with oxygen
  • fluorine-based gas such as CF 4 , CHF 3 , C 2 F 6 , a mixed gas thereof, or a mixture of these gases with oxygen
  • dry etching can be performed to form a pattern.
  • the translucent film 92a is a chromium-based material such as a chromium oxynitride film
  • dry etching can be performed using a mixed gas of Cl 2 and oxygen as an etching gas.
  • the light-shielding film 93 may be etched by dry etching or by wet etching with an aqueous solution of ceric ammonium nitrate.
  • the second resist pattern 94b is peeled off, and the entire main surface of the transparent substrate 91 is dry-etched under the etching conditions of the semi-transparent film 92, so that the light transmitted through the auxiliary pattern and the transparent region of the transparent substrate 91 are transmitted.
  • the auxiliary pattern 98 is formed by dry etching the semi-transparent film of the auxiliary pattern portion until the light reaches a predetermined phase difference in the range of 70 ° to 115 ° (FIG. 9D).
  • the etching amount of the auxiliary pattern 98 for obtaining the above phase difference corresponds to a predetermined film thickness difference in the range of 24 nm to 40 nm as a film thickness difference from the main pattern. Since the main pattern portion is covered with the light shielding film 93, it is not etched. *
  • the light shielding film 93 in the main pattern portion is removed by etching to form the main pattern 99, the auxiliary pattern 98 is provided, and the light transmitted through the main pattern 99 and the light transmitted through the transparent region of the transparent substrate 91 are converted.
  • a halftone mask 90 that generates a phase difference of 180 degrees is formed (FIG. 9E).
  • the light shielding film 93 can be removed by either dry etching or wet etching. *
  • the transparent substrate 91 has no level difference as described with reference to FIG.
  • FIG. 10 is a process cross-sectional schematic diagram showing a fourth embodiment of a method for producing a photomask of the present invention.
  • the fourth embodiment is a method for manufacturing a photomask in the case where the light shielding film at a predetermined location required in the first to third embodiments is left.
  • the outer periphery of the mask is subjected to multiple exposure, so a photomask having a light shielding region provided on the outer periphery of the mask is used.
  • the fourth embodiment is an example in which a light shielding region is provided on the outer periphery of the photomask, and the intermediate steps are the same as the steps shown in the first to third embodiments. Therefore, referring to FIG. However, this will be described with reference to FIG. In FIG. 10, the same parts as those in FIG.
  • FIG. 10 illustrates the case where the light shielding film 104 is left as a light shielding region on the outer periphery of the photomask.
  • the etching amount of the SRAF corresponds to the phase difference of the SRAF portion, and the SRAF dimension transferred onto the wafer increases as the etching amount of the SRAF portion increases.
  • the etching amount of SRAF indicates a film thickness difference between the SRAF film thickness after etching and the film thickness of the main pattern (the initial film thickness of the semitransparent film: 68 nm).
  • FIG. 13 shows the main pattern CD error on the wafer relative to the etching amount error when the SRAF etching amount is 28 nm, 38 nm, and 48 nm in the embodiment in the Cquad illumination shown in FIG. 3, and the larger the SRAF etching amount, It can be seen that the main pattern CD fluctuations in FIG. It is shown that when the SRAF etching amount is 48 nm, a slight etching error greatly affects the size of the main pattern at the repeated end. Therefore, in the present invention, the SRAF etching amount of 48 nm or more (corresponding to the phase difference of 50 degrees or less in Patent Document 2) is an undesirable range in the manufacturing process.
  • FIG. 14 shows the relationship between the main pattern CD and the defocus at the repetitive edge on the wafer when the SRAF etching amount is changed every 4 nm in the range of 24 nm to 48 nm in the embodiment in the Cquad illumination shown in FIG. FIG.
  • the case where there is no SRAF and the case where there is no SRAF etching is also shown.
  • the SRAF etching amount of 44 nm or more is an inappropriate range, and in order to improve the depth of focus and form a high resolution pattern, the SRAF etching amount is 24 nm to 40 nm. Is a preferred range.
  • This etching amount corresponds to a phase difference of 115 to 70 degrees. The phase difference was measured with the above-mentioned phase shift amount measuring apparatus (Lasertec Corporation: MPM193). *
  • 164 is a schematic plan view of 164.
  • FIG. It is. Quasar has an opening angle of the fan-shaped light transmission portion of 30 degrees, an outer diameter of 0.85, and an inner diameter of 0.65 (the radius of the pupil filter is 1).
  • a halftone mask (6% halftone) having an auxiliary pattern according to the present invention having a transmittance of 6% at an exposure wavelength of 193 nm of molybdenum silicide was used.
  • the target CD on the wafer is 60 nm, one SRAF 166 is provided between the main patterns 165, the pattern pitch is a through pitch line / space from the minimum pitch of 120 nm, and the SRAF 166 is 250 nm in pitch.
  • FIG. 17 is a diagram showing the relationship between the SRAF etching amount (on the mask) and the SRAF CD (dimensions on the wafer) in the embodiment of the QUASAR illumination shown in FIG.
  • the region where the SRAF etching amount indicated by the dotted arrow in the drawing is 48 nm or more is a region where the phase difference of the SRAF portion is 50 degrees or less (the range described in the invention of Patent Document 2 above). ).
  • the original SRAF dimension is very small as 9 nm on the wafer (36 nm on the mask) compared to the condition of the main pattern of line / space repetition end and Cquad shown in FIG. Even in the region where the SRAF etching amount is 48 nm or more, the problem that the SRAF dimension on the wafer is too large does not occur.
  • the upper limit of the SRAF etching amount was set to 40 nm. Therefore, in the case of SRAF between main patterns (Quasar illumination), the effect of the photomask of the present invention was verified as in the case of the main pattern repetition end SRAF (Cquad illumination). *
  • the main pattern and the auxiliary pattern are composed of a translucent film made of the same material, so that the process of forming the translucent film is easy.
  • the phase difference between the light transmitted through the auxiliary pattern and the light transmitted through the transparent region of the transparent substrate is set to a predetermined phase difference in the range of 70 ° to 115 °, and the semi-transparent film of the auxiliary pattern is dry-etched,
  • the film thickness difference of the auxiliary pattern as a predetermined film thickness difference in the range of 24 nm to 40 nm, that is, as the etching amount of the auxiliary pattern, a desired phase difference of the auxiliary pattern can be easily obtained.
  • the photomask can improve the pattern transfer characteristics without increasing the difficulty of mask manufacturing, by making the space between the main pattern and the auxiliary pattern wider, making it possible to achieve a manufacturing method with increased margin of misalignment. Can be obtained.
  • the photomask of the present invention is a main pattern transferred onto a transfer target surface by the projection exposure on one main surface of a transparent substrate, and an auxiliary pattern formed in the vicinity of the main pattern and not transferred to the transfer target surface.
  • the main pattern and the auxiliary pattern are made of a translucent film made of the same material, and the film thickness of the auxiliary pattern is smaller than the film thickness of the main pattern.
  • the thickness difference is a predetermined film thickness difference in a range of 24 nm to 40 nm.
  • the photomask of the present invention by setting the film thickness difference between the main pattern and the auxiliary pattern within a predetermined range, a part of the auxiliary pattern is missing, the auxiliary pattern is peeled off from the substrate surface, or the auxiliary pattern is its line. Occurrence of a phenomenon of falling down in the width direction can be suppressed.
  • the same photomask as that shown in FIGS. 1 and 2 described above can be exemplified.
  • the photomask of the present invention preferably uses a short wavelength exposure light source as the exposure light source.
  • a short wavelength exposure light source include an excimer laser such as an Ar excimer laser and a KrF excimer laser, and an i-line of a mercury lamp.
  • an excimer laser is preferable, and an Ar excimer laser is particularly preferable. .
  • the photomask of the present invention may be a photomask used for exposure by normal illumination, or may be a photomask used for projection exposure by modified illumination.
  • the description of the members of the photomask and other technical features are the same as those described in the above “A. Photomask” and “B. Photomask manufacturing method”. Description is omitted.
  • the photomask targeted by the photomask correction method of the present invention is a mask used for projection exposure with ArF excimer laser as an exposure light source, preferably with a half pitch on the wafer of 65 nm.
  • the mask further has an auxiliary pattern intended to be used for forming fine semiconductor elements of 45 nm and 32 nm.
  • EM-Suite (trade name: manufactured by Panoramic Technology Co., Ltd.) was used as simulation software for estimating the transfer characteristics of the mask pattern.
  • the main simulation conditions are the same as those described with reference to FIG.
  • FIG. 4 is an evaluation pattern (FIG. 4A) used in the simulation and a diagram of a spatial image showing the light intensity corresponding to the position of the evaluation pattern (FIG. 4B).
  • the contents of the evaluation pattern are the same as those described with reference to FIG.
  • the transferability of the auxiliary pattern (SRAF) at the end of the line / space pattern is as described above.
  • FIG. 23 shows the standard for the SRAF CD (horizontal axis) on the wafer in the halftone mask and the binary mask when the film thickness of the main pattern and the auxiliary pattern (SRAF) obtained by the above simulation is the same. It is a figure which shows ratio (vertical axis) of the light intensity of the SRAF part with respect to the slice level of the converted light intensity threshold value.
  • the halftone mask (triangular point in the figure) shows the case where there are three main pattern CDs (32 nm; 36 nm; 40 nm on the wafer). If the above ratio is 1 or less, the SRAF is transferred. Therefore, to prevent the SRAF from being transferred, the above ratio must be set to a value exceeding 1.
  • the main pattern CD of the halftone mask indicated by the dotted line in the drawing is 32 nm (128 nm on the mask), the SRAF is resolved unless the SRAF CD is 14 nm (56 nm on the mask) or less.
  • FIG. 25 is a schematic cross-sectional view showing a process outline of an embodiment of a method for correcting a photomask having an auxiliary pattern of the present invention, and illustrates a case of a halftone mask provided with a line / space pattern.
  • FIG. 25A is a schematic cross-sectional view of a photomask before correction, and a main pattern 12 that transmits exposure light at a predetermined transmittance and changes phase is provided on a transparent substrate 11 such as a synthetic quartz substrate.
  • the main pattern 12 is composed of a single-layer translucent film 14, and an auxiliary pattern (SRAF) 13 composed of a translucent film having the same material and thickness as the main pattern 12 is formed in the vicinity of the main pattern 12.
  • SRAF auxiliary pattern
  • the main pattern 12 and the auxiliary pattern 13 are two, and only a part of the mask pattern is shown, but it is not limited to this.
  • the main pattern may be an isolated pattern or a periodic pattern. *
  • FIG. 25 (b) is a schematic cross-sectional view showing a state during correction of the photomask in which the auxiliary patterns 13a and 13b are resolved on the wafer.
  • the auxiliary patterns 13a and 13b that are resolved on the transfer target surface have properties different from the unnecessary surplus defects that should not be on the mask so-called “black defects”. This is an indispensable region for mask pattern formation on the wafer.
  • the auxiliary patterns 13a and 13b that are resolved on the transfer target surface on the mask cannot be detected as defects by a conventional mask defect inspection apparatus that detects black defects.
  • the masks having the auxiliary patterns shown in FIGS. 26 (a) to 26 (d) are typical examples.
  • As masks to which the correction method of the present invention can be applied there are a main pattern and auxiliary patterns.
  • the present invention can also be applied to a mask composed of two layers of semitransparent film / semitransparent film. *
  • FIG. 26 (e) and FIG. 26 (f) are partial cross-sectional schematic views showing an example of a binary mask in which the main pattern is formed of a light-shielding film that shields exposure light.
  • FIG. 26E shows a mask in which the main pattern 42 is composed of two layers of a light shielding film / semi-transparent film on the transparent substrate 41, and the auxiliary pattern 43 is a mask made of a semi-transparent film.
  • the main pattern 42 and the auxiliary pattern 43 are the same.
  • FIG. 26F shows a mask in which the main pattern 42 and the auxiliary pattern 43 are formed of the same light shielding film and the same film thickness. *
  • the translucent film of the main pattern and the auxiliary pattern in the photomask to which the correction method of the present invention is applied means a translucent thin film that transmits exposure light at a predetermined transmittance.
  • the structure may be a semi-transparent single layer film, or a two-layer film or more of a semi-transparent film and a transparent film or another semi-transparent film having different transmittance.
  • the light shielding film of the main pattern and the auxiliary pattern in the photomask to which the correction method of the present invention is applied means a thin film that shields the exposure light. It may be a structure of two or more layers having a transparent film. *
  • the translucent film constituting the main pattern 42 and the auxiliary pattern 43 of the mask shown in FIGS. 26A to 26E is not particularly limited as a material.
  • translucent films such as molybdenum silicide oxide film (MoSiO), molybdenum silicide nitride film (MoSiN), and molybdenum silicide oxynitride film (MoSiON), which are molybdenum silicide materials, and chromium oxide films (CrO), which are chromium materials.
  • Molybdenum silicide-based translucent films are practically used as halftone mask materials and are more preferable materials.
  • Examples of the transparent film constituting the main pattern 42 shown in FIG. 26C include a silicon oxide film (SiO 2 ).
  • a metal thin film such as a chromium film (Cr) is used as the light shielding film constituting the main pattern 42 and the auxiliary pattern 43 of the mask shown in FIG. 26 (f).
  • metal silicide thin films such as molybdenum silicide (MoSi).
  • the translucent film constituting the main pattern 42 and the auxiliary pattern 43 is, for example, a translucent film of molybdenum silicide material.
  • selective etching is performed by using a fluorine-based gas such as CF 4 , CHF 3 , or C 2 F 6 , a mixed gas thereof, or a gas in which oxygen is mixed with these gases as an assist gas.
  • the film thickness of the pattern can be selectively reduced.
  • the light shielding film constituting the main pattern 42 and the auxiliary pattern 43 is, for example, chromium
  • etching is selectively performed using a mixed gas of Cl 2 and oxygen as an assist gas, and the film thickness of the auxiliary pattern is selected. Can be made thinner.
  • the effect of thinning the surface of the auxiliary pattern (SRAF) by etching or grinding and correcting the thin film thickness of the auxiliary pattern by the photomask correcting method of the present invention shown in FIG. 25 will be described.
  • the shape of the partial cross-sectional view shown in FIG. 26A is a semitransparent film made of molybdenum silicide with a film thickness of 68 nm, and the main pattern (film thickness is 68 nm) is ArF excimer laser light (193 nm).
  • a halftone mask having a transmittance of 6%, a phase difference of 180 degrees from the transparent region of the transparent substrate, and a film thickness of the auxiliary pattern before correction will be described as an example.
  • the main simulation conditions are an illumination light source ArF excimer laser (193 nm), NA 1.35, modified illumination, a Cquad pupil filter is used, and Cquad 21 has an opening angle of 35 degrees in the fan-shaped light transmission part, an outer diameter of 0.9, and an inner diameter of 0 .7 (the radius of the pupil filter is 1).
  • the value of the above halftone mask was used.
  • FIG. 5 shows the results obtained by simulation.
  • the SRAF CD is changed in the halftone mask having the main pattern CD of 32 nm on the wafer, the SRAF film thickness difference (horizontal axis) and the SRAF It is a figure which shows the relationship with the slice level (vertical axis
  • FIG. 5 shows that the SRAF is resolved on the wafer unless the SRAF light intensity / slice level is set to 1 or more.
  • the SRAF CD is 14 nm.
  • SRAF was used only in the following, but as described above, the SRAF CD is 26 nm to 30 nm, which is about twice as large by applying the correction method of the present invention to thin the SRAF to be transferred.
  • the SRAF can be used without being resolved and transferred. According to the correction method of the present invention, the possibility of using a halftone mask having SRAF, which has been difficult to use in miniaturization in the past, is widened.
  • FIG. 6 is a diagram showing the relationship between the CD at the end of the main pattern on the wafer and the defocus (Defocus) when the SRAF CD obtained by simulation is changed.
  • the film thickness is reduced by correction by etching so that SRAF is not resolved, and the film thickness difference from the film thickness before correction (SRAF film thickness difference: 24 nm, 32 nm, 40 nm) Have.
  • SRAF film thickness difference 24 nm, 32 nm, 40 nm
  • the CD variation between the SRAF dimensions when the focus is moved is as follows. It shows almost the same tendency. That is, it is shown that SRAF thinning by the correction method of the present invention has no adverse effect on defocusing, and the same dimensional accuracy as in the case of SRAF CD14 nm without correction is obtained.
  • the modified photomask of the present invention is a photomask in which the auxiliary pattern is modified by the above-described photomask correcting method.
  • the mask is thinned by etching or grinding.
  • the auxiliary patterns 13a ′ and 13b ′ after correction are provided, and have a film thickness difference (SRAF film thickness difference: T in the drawing) from the film thickness of the auxiliary pattern before correction.
  • the auxiliary pattern is resolved on the transfer target surface by modifying the photomask in which the auxiliary pattern is resolved and transferred onto the transfer target surface in the thickness direction of the film thickness. It is possible to form a transfer image with high contrast while preventing the image from being transferred and maintaining the effect of expanding the depth of focus.
  • the present invention will be described by way of examples.
  • a MoSi-based halftone mask having an auxiliary pattern and having a transmittance of 6% at 193 nm was prepared.
  • the target line size on the wafer was 45 nm, and the pattern was a line / space repeating pattern with a pitch of 90 nm (pitch of 360 nm on the mask), and the pattern shown in FIG. 4 was formed.
  • nine lines / spaces with a half pitch of 45 nm are provided as main patterns, and two SRAFs are inserted at both ends of the main pattern (SRAF pitch of 90 nm) in order to improve the resolution of the main pattern at the end.
  • Both the main pattern and SRAF were composed of the above 6% halftone, and the film thickness of the pattern on the mask was 68 nm.
  • the main pattern CD and SRAF CD on the mask were both 128 nm. *
  • ArF excimer laser exposure was performed using the above halftone mask.
  • the NA of the exposure system was 1.35, and the Cquad pupil filter shown in FIG. 3 was used as modified illumination.
  • SRAF pattern that should not be transferred onto the wafer is resolved on the wafer.
  • the transfer characteristics were estimated in advance by simulation. Further, the lithography simulation microscope AIMS45-193i (manufactured by Carl Zeiss) was used under the same exposure conditions as the above exposure system, and the transfer characteristics to the wafer when the SRAF film thickness was reduced were verified. *
  • FIG. 29 is a diagram of an aerial image showing the light intensity corresponding to the position of the mask pattern before thinning the SRAF, and shows a SRAF (S1, S2) on one side of a pair of patterns and a part of the main pattern. ing.
  • the horizontal axis represents a part of the main pattern and a set of pattern positions of the SRAF
  • the vertical axis represents the normalized light intensity when the light intensity of the transmission part having no pattern is 1.
  • a plurality of light intensity profiles are displayed when the focus (depth of focus) is changed in order to see the effect of SRAF.
  • S1 of the SRAF is resolved on the wafer wherever the slice level is set, and the slice level is set to 0.4. It has been shown that S2 is also resolved by the above. *
  • FIG. 30 is a partial enlarged view of FIG. 29, and is a diagram of an aerial image showing the relationship between the main pattern of repeated ends when the focus is changed, the pattern position of the auxiliary pattern S1 before the SRAF thinning process, and the light intensity.
  • the light intensity threshold at which the CD of the main pattern of the line / space repeating portion is 45 nm was set to 0.42.
  • FIG. 30 shows five light intensity profiles when the focus is changed to see the effect of SRAF, as described in FIG. As shown in FIG. 30, S1 of the SRAF is resolved regardless of the focus. *
  • FIG. 31 is a diagram of an aerial image showing the relationship between the main pattern of the repetitive end, the pattern position of the auxiliary pattern S1, and the light intensity when there is no SRAF as a comparative reference of FIG. As shown in FIG. 31, the main pattern at the repeated end is hardly resolved without SRAF. *
  • FIG. 32 shows the estimation result of the transfer characteristics by simulation, and is a diagram of an aerial image showing the light intensity corresponding to the position of the mask pattern after the SRAF is thinned by about 30 nm.
  • the SRAF portion of the halftone mask having the SRAF pattern that resolves on the wafer was corrected.
  • the entire surface of each of the two SRAFs on both sides of one set of main pattern ends was etched to reduce the thickness until the difference in film thickness from the initial film thickness was 30 nm.

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

 ArFエキシマレーザを露光光源とし、変形照明による投影露光に用いられ、補助パターンとしての焦点深度拡大効果を保ちながら、補助パターンを解像させず、主パターンのコントラストの高い転写画像を形成できる補助パターンを有するハーフトーンマスクおよびその製造方法を提供する。 フォトマスクが、投影露光により転写対象面に転写される主パターンと、主パターンの近傍に形成され転写されない補助パターンとを設けたフォトマスクであって、主パターンと補助パターンとが同一材料よりなる半透明膜で構成されており、主パターンを透過する光と透明基板の透明領域を透過する光に180度の位相差を生じさせ、かつ補助パターンを透過する光と透明基板の透明領域を透過する光に70度~115度の範囲の所定の位相差を生じさせることを特徴とする。

Description

[規則37.2に基づきISAが決定した発明の名称] フォトマスク、フォトマスクの製造方法及び修正方法
 本発明は、半導体素子のパターン形成に用いられるエキシマレーザ露光装置などの短波長の露光光源を用いたフォトリソグラフィ技術に使用するためのフォトマスクおよびその製造方法、並びに、フォトマスクの修正方法および修正されたフォトマスクに関し、特に、主パターンの近傍に補助パターンを配置したハーフトーン型のフォトマスクおよびその製造方法、並びに、フォトマスクの修正方法および修正されたフォトマスクに関する。
 ハーフピッチ65nmから45nm、さらに32nmへと進展する半導体素子の高集積化・超微細化を実現するために、フォトリソグラフィにおいては、露光装置での高解像技術として、投影レンズの開口数を高くした高NA化技術、投影レンズと露光対象の間に高屈折率媒体を介在させて露光を行なう液浸露光技術、変形照明搭載露光技術などが実用されている。 
 フォトリソグラフィに用いられるフォトマスク(以下、マスクとも記す。)における解像度向上策としては、光を通過させる部分と遮光する部分で構成された従来のバイナリマスクの微細化、高精度化とともに、光の干渉を利用した位相シフト効果により解像度向上を図るレベンソン型(渋谷・レベンソン型とも称する。)位相シフトマスク、光を透過させる部分と半透過させる部分で構成されたハーフトーン型位相シフトマスク(以後、単にハーフトーンマスクと言う。)、クロムなどの遮光層を設けないクロムレス型位相シフトマスクなどの位相シフトマスクが用いられている。 
 フォトリソグラフィ技術においては、投影露光装置で転写できる最小の寸法(解像度)は、露光に用いる光の波長に比例し、投影光学系のレンズの開口数(NA)に反比例するため、半導体素子の微細化への要求に伴い、露光光の短波長化及び投影光学系の高NA化が進んでいるが、短波長化及び高NA化だけでこの要求を満足するには限界となっている。 
 そこで解像度を上げるために、プロセス定数k1(k1=解像線幅×投影光学系の開口数/露光光の波長)の値を小さくすることによって微細化を図る超解像技術が近年提案されている。このような超解像技術として、露光光学系の特性に応じてマスクパターンに補助パターンや線幅オフセットを与えてマスクパターンを最適化する方法、あるいは変形照明による方法(斜入射照明法とも称する。)と呼ばれる方法などがある。変形照明による投影露光には、通常、瞳フィルタを用いた輪帯照明(Annularとも称する。)、二重極(ダイポール:Dipoleとも称する。)の瞳フィルタを用いた二重極照明および四重極(クロスクワド:Cquadとも称する。)の瞳フィルタを用いた四重極照明などが用いられている。 
 補助パターンを用いる方法は、ウェハ上に転写されるパターン(以後、主パターンと称する。)の近傍に、投影光学系の解像限界以下であってウェハ上には転写されないパターン(以後、補助パターンと称する。)を配置し、主パターンの解像度と焦点深度を向上させる効果を有するフォトマスクを用いるリソグラフィ方法である(例えば、特許文献1参照。)。補助パターンはSRAF(Sub Resolution Assist Feature)とも呼ばれている(以後、本発明では補助パターンをSRAFとも称する。)。 
 しかしながら、半導体素子パターンの微細化に伴って、補助パターンを有するフォトマスクはマスク製作上で困難な点が生じてきた。まず、補助パターンは上述のようにそれ自身ウェハ上に結像しないことが必要であり、主パターンの寸法よりも微小な寸法でなければならない点が挙げられる。その結果、主パターン寸法の微細化に伴い、求められる補助パターンの線幅寸法は数100nmからさらに微小な寸法へと微小化しており、製作上の限界の域に近づきつつある。例えば、ウェハ上で65nm線幅の半導体素子を形成する場合、そのマスク(通常4倍体のパターンを有するレチクル)上の主パターンの線幅寸法は光近接効果補正(OPC)などが加わり、200nm~400nm程度で形成されているのに対し、補助パターンの線幅寸法は120nm以下となり、マスク作製が極めて難しくなる。上記のように、ハーフピッチ65nm以下のパターンを転写する露光条件では、補助パターンの寸法がマスク製造上の大きな問題となっている。 
 さらに、ハーフピッチ65nm以下のパターンを転写するマスクの転写特性としては、後述するように、ハーフトーンマスクの方がバイナリマスクよりも良好な転写像が得られる場合が多いので、補助パターンを有するマスクをハーフトーンマスクの構造とする要望も強く、補助パターンを有するハーフトーンマスクも提案されている(例えば、特許文献2、特許文献3、非特許文献1参照。)。しかし、ハーフトーンマスクは転写特性から、通常、マスクパターン寸法にマイナス側のバイアスが入るので、ハーフトーンマスクとして半透明膜で形成された補助パターンの寸法は、遮光膜のみで形成されたバイナリマスクの補助パターンの寸法よりも小さい値が求められる。半導体素子のハーフピッチ45nmから32nmの世代では、半導体のデザインや露光条件によってはマスク線幅で60nm以下の補助パターン寸法が要求されるまでになっている。 
 また、補助パターンの微細化に伴い、洗浄などのマスク製造工程において、あるいは露光装置で使用中に汚れたマスクを再洗浄する場合において、従来の補助パターンを設けたハーフトーンマスクは、補助パターンのアスペクト比(パターン高さ/パターン幅)が1に近づき、補助パターンの一部が欠けたり、補助パターンが基板表面から剥がれたり、補助パターンがその線幅方向へ倒れたりする現象が発生するという問題も生じていた。 
 特許文献2には、ハーフトーンマスクによる補助パターンの微細化への対応として、半透明パターンを透過する光と透明基板の透明領域を透過する光に180度の位相差を生じさせ、かつ半透明補助パターンを透過する光と透明基板の透明領域を透過する光には50度より小さい範囲の所定の位相差を生じさせ、半透明パターンのフォーカス特性を平坦にするフォトマスクが提案されている。図24は、特許文献2に示されたフォトマスクの平面図(同図(a))、縦断面図(同図(b))である。特許文献2によるフォトマスクは、主パターンであるラインパターンの近傍に設けた補助パターンを主パターンと同寸法で形成することも可能にしている。 
 特許文献2に記載された補助パターンを有するハーフトーンマスクは、図24に示すように、主パターン1である半透明パターンの線幅がウェハ上で0.3μmのラインパターン、半透明補助パターン2が主パターン1の左右に同じ線幅のラインパターンで設けられたマスクで、主パターン1は半透明膜302上にさらに透明膜304を成膜して重ねて2層構成とし、2層膜よりなる半透明主パターン1を透過する光と透明基板301の透明領域を透過する光に180度の位相差を生じさせ、一方、半透明補助パターン2を透過する光と透明基板301の透明領域を透過する光に50度より小さい範囲の所定の位相差を生じさせ、半透明パターンのフォーカス特性を平坦にしたマスクである。
特開平7-140639号公報 特許第2953406号 特開2003-302739号公報
N.V.Lafferty,et al.,Proc.of SPIE Vol.5377,381-392(2004)
 しかしながら、特許文献2に記載された補助パターンを有するハーフトーンマスクは、露光光源に水銀灯のi線(365nm)あるいはKrFエキシマレーザ(248nm)を用い、投影光学系の開口数NAが0.6と小さく、ウェハ上のパターン寸法が0.3~0.35μmのサブミクロン単位の半導体素子を対象とした世代のマスクであり、現在実用化が進められているArFエキシマレーザを露光光源とし、NAを1以上、望ましくは1.3~1.35前後の高NAの露光装置に用いられ、ウェハ上のパターン寸法がハーフピッチ65nm以下、さらには45nm、32nmの半導体素子用のマスクとして用いるには、次のような問題があった。 
 すなわち、プロセス定数k1が小さくなるに従い、主パターンの解像性を向上させるために変形照明が用いられるが、それに伴い補助パターンも解像しやすくなってしまうという問題があった。さらに変形照明の斜め入射照射により、マスク基板面に垂直方向のマスクの厚みによる立体的な効果(マスクの3次元効果)で補助パターンが転写対象面に解像しやすくなるという問題が生じてきた。特許文献2に記載された補助パターンを有するハーフトーンマスクは、たとえ主パターンの位相差が所定の範囲内であっても、3次元効果により補助パターンが解像してしまい、しかもデフォーカスに対して寸法変動が非対称になり、転写画像の品質が低下して実用に適しないという問題が生じていた。 
 また、特許文献2、特許文献3および非特許文献1に記載されたフォトマスクは、いずれも主パターンのみが透明基板側の下層に半透明膜、上層に遮光膜あるいは下層と異なる材質の半透明膜または透明膜を重ねた2層構造としており、半透明膜の補助パターンを有するフォトマスクの製造においては、主パターンの成膜工程がいずれも2回必要となり、製造工程が複雑になるという問題があった。さらに、特許文献2に記載のフォトマスクの製造においては、パターン微細化とともに、透明基板上に形成された第1のパターンと次に形成する第2のパターンとの位置合わせが難しくなり、主パターンと補助パターンとの間のスペースをアライメントずれを考慮した値(通常、200nm程度)以上にとる必要があり、補助パターン幅を主パターン幅と同じにすることがパターンの微細化とともに困難になるという問題があった。 
 上記のように、半導体素子パターンの微細化に伴って、補助パターンを設けたハーフトーンマスクが強く求められてはいるものの、従来の補助パターンを設けたフォトマスクは、ハーフピッチ65nm以下、さらには45nm、32nmの半導体素子用のマスクとしての微細化に対応しておらず、またその製造は困難となっているという問題があった。 
 そこで、本発明は、上記の問題点に鑑みてなされたものである。すなわち、本発明の第一の目的は、ArFエキシマレーザを露光光源とし、変形照明による投影露光に用いられるマスクとして、補助パターンとしての焦点深度拡大効果を保ちながら、補助パターンを解像させず、補助パターンの欠けや倒れを抑制し、主パターンのコントラストの高い転写画像を形成できる補助パターンを有するハーフトーンマスクおよびその製造方法を提供することである。
 また、上述したように、補助パターンの微細化に伴い、洗浄などのマスク製造工程において、あるいは露光装置で使用中に汚れたマスクを再洗浄する場合において、補助パターンの一部が欠けたりする等の問題があった。
 そこで、本発明は、上記の問題点に鑑みてなされたものである。すなわち、本発明の第二の目的は、補助パターンの欠け等の発生を抑制した補助パターンを有するハーフトーンマスクを提供することである。
 また、本来、補助パターンは転写対象面に解像しないようにマスク設計しているので、必ずしも複数の補助パターンのすべてが転写されるわけではないが、補助パターンの一部、例えば、主パターンに近接した補助パターンあるいは近接した補助パターンの一部などが解像してしまうという問題が生じていた。 
 上記の補助パターンあるいはその一部が転写対象面に解像してしまう原因としては、マスク設計ソフトの精度が悪いためにマスク設計段階に不備がある場合、実際に作製された補助パターン寸法の誤差が大きい場合、半導体露光装置の光学系が微妙に誤差を有している場合、半導体用レジストの特性の問題など、様々な要因が考えられている。 
 上記のように、補助パターンを設けたフォトマスクが強く求められてはいるものの、半導体素子パターンの微細化に伴って、微細パターンの限界に近い領域でパターン転写を行うために、露光転写後に補助パターンが転写対象面に解像してしまうという問題が生じ、転写されてしまう補助パターンのマスクを修正するために、補助パターン線幅をさらに小さく修正して解像しないように試みようとしても、マスク線幅で例えば60nm以下の補助パターンをさらに僅かな幅で修正するのは困難であり、再度、フォトマスクを製造しなければならないという問題があった。 
 そこで、本発明は、上記の問題点に鑑みてなされたものである。すなわち、本発明の第三の目的は、ArFエキシマレーザを露光光源とし、変形照明による投影露光に用いられる補助パターンを有するフォトマスクにおいて、補助パターンが転写対象面に解像されてしまう場合のフォトマスクを、確実で比較的容易な方法により補助パターンを修正するフォトマスクの修正方法および修正されたフォトマスクを提供することである。
 上記の課題を解決するために、本発明においては、ArFエキシマレーザを露光光源とし、変形照明による投影露光に用いられるフォトマスクにおいて、該フォトマスクが、透明基板の一主面上に、上記投影露光により転写対象面に転写される主パターンと、上記主パターンの近傍に形成され上記転写対象面に転写されない補助パターンとを設けたフォトマスクであって、上記主パターンと上記補助パターンとが同一材料よりなる半透明膜で構成されており、上記主パターンを透過する光と上記透明基板の透明領域を透過する光に180度の位相差を生じさせ、かつ上記補助パターンを透過する光と上記透明基板の透明領域を透過する光に70度~115度の範囲の所定の位相差を生じさせることを特徴とするフォトマスクを提供する。
 上記発明においては、上記補助パターンの膜厚が上記主パターンの膜厚よりも薄く、膜厚差が24nm~40nmの範囲の所定の膜厚差であることが好ましい。
 上記発明においては、上記膜厚差がドライエッチングにより形成されたことが好ましい。
 上記発明においては、上記補助パターンの露光光透過率が15%~29%の範囲の所定の透過率であることが好ましい。 
 上記発明においては、上記同一材料よりなる半透明膜が単層の半透明膜または2層の半透明膜よりなることが好ましい。
 上記発明においては、上記単層の半透明膜がモリブデンシリサイド系材料の半透明膜であり、上記2層の半透明膜が上記透明基板上にクロム系材料の半透明膜、モリブデンシリサイド系材料の半透明膜を順に設けたものであることが好ましい。
 上記発明においては、上記フォトマスクの外周部に遮光領域が形成されていることが好ましい。 
 上記発明においては、上記単層の半透明膜がモリブデンシリサイド系材料の半透明膜であり、上記2層の半透明膜が上記透明基板上にクロム系材料の半透明膜、モリブデンシリサイド系材料の半透明膜を順に設けたものであることが好ましい。
 上記発明においては、上記主パターンおよび上記補助パターンがいずれもラインパターンであり、上記主パターンが孤立パターンまたは周期パターンであることが好ましい。
 また、本発明においては、ArFエキシマレーザを露光光源とし、変形照明による投影露光に用いられ、透明基板の一主面上に、上記投影露光により転写対象面に転写される主パターンと、上記主パターンの近傍に形成され上記転写対象面に転写されない補助パターンとを設けたフォトマスクの製造方法であって、(a)上記透明基板の一主面上に半透明膜、遮光膜を順に形成し、上記半透明膜を透過する光と上記透明基板の透明領域を透過する光の位相差がほぼ180度となる膜厚とする工程と、(b)上記遮光膜上に第1のレジストパターンを形成し、上記遮光膜および上記半透明膜を順にドライエッチングし、主パターン部と補助パターン部を形成する工程と、(c)上記第1のレジストパターンを剥離し、次に上記遮光膜上に第2のレジストパターンを形成し、上記補助パターン部の遮光膜をエッチングして除去する工程と、(d)上記第2のレジストパターンを剥離し、次に上記透明基板の一主面上全面をドライエッチングし、上記補助パターンを透過する光と上記透明基板の透明領域を透過する光が70度~115度の範囲の所定の位相差となる膜厚まで、上記補助パターン部の半透明膜をドライエッチングして補助パターンを形成する工程と、(e)上記主パターン部の遮光膜をエッチングして除去して主パターンを形成し、上記主パターンを透過する光と上記透明基板の透明領域を透過する光に180度の位相差を生じさせる工程と、を含むことを特徴とするフォトマスクの製造方法を提供する。
 上記発明においては、工程(b)の上記半透明膜のドライエッチングが上記半透明膜の膜厚の途中までのハーフエッチングであることが好ましい。
 また、本発明においては、ArFエキシマレーザを露光光源とし、変形照明による投影露光に用いられ、透明基板の一主面上に、上記投影露光により転写対象面に転写される主パターンと、上記主パターンの近傍に形成され上記転写対象面に転写されない補助パターンとを設けたフォトマスクの製造方法であって、(a)上記透明基板の一主面上に半透明膜、遮光膜を順に形成し、上記半透明膜が2層の半透明膜よりなり、上記透明基板側の下層の半透明膜が上層の半透明膜のエッチング停止層を兼ね、上記2層の半透明膜を透過する光と上記透明基板の透明領域を透過する光の位相差がほぼ180度となる膜厚とする工程と、(b)上記遮光膜上に第1のレジストパターンを形成し、上記遮光膜および上記2層の半透明膜を順にドライエッチングし、主パターン部と補助パターン部を形成する工程と、(c)上記第1のレジストパターンを剥離し、次に上記遮光膜上に第2のレジストパターンを形成し、上記補助パターン部の遮光膜をエッチングして除去する工程と、(d)上記第2のレジストパターンを剥離し、次に上記透明基板の一主面上全面をドライエッチングし、上記補助パターンを透過する光と上記透明基板の透明領域を透過する光が70度~115度の範囲の所定の位相差となる膜厚まで、上記補助パターン部の半透明膜をドライエッチングして補助パターンを形成する工程と、(e)上記主パターン部の遮光膜をエッチングして除去して主パターンを形成し、上記主パターンを透過する光と上記透明基板の透明領域を透過する光に180度の位相差を生じさせる工程と、を含むことを特徴とするフォトマスクの製造方法を提供する。
 上記発明においては、上記補助パターンと上記主パターンとの膜厚差が、24nm~40nmの範囲の所定の膜厚差であることが好ましい。
 上記発明においては、上記補助パターンを形成する工程(d)の後に、遮光領域用レジストパターンを形成し、上記主パターン上の遮光膜をドライエッチングして除去し主パターンを形成するとともに、上記フォトマスクの外周部に遮光領域を形成する工程、をさらに含むことが好ましい。
 また、本発明においては、透明基板の一主面上に、上記投影露光により転写対象面に転写される主パターンと、上記主パターンの近傍に形成され上記転写対象面に転写されない補助パターンとを設けたフォトマスクであって、上記主パターンと上記補助パターンとが同一材料よりなる半透明膜で構成されており、上記補助パターンの膜厚が上記主パターンの膜厚よりも薄く、膜厚差が24nm~40nmの範囲の所定の膜厚差であることを特徴とするフォトマスクを提供する。
 また、本発明においては、ArFエキシマレーザを露光光源とし、変形照明による投影露光に用いられ、透明基板の一主面上に、上記投影露光により転写対象面に転写される主パターンと、上記主パターンの近傍に形成された補助パターンとを有するフォトマスクにおいて、上記投影露光により上記補助パターンが上記転写対象面に解像されてしまう場合のフォトマスクの修正方法であって、上記解像されてしまう補助パターンの表面をエッチングもしくは研削し、上記補助パターンが上記転写対象面に解像されなくなるまで、上記解像されてしまう補助パターンの膜厚を薄くすることを特徴とするフォトマスクの修正方法を提供する。
 上記発明においては、上記エッチングもしくは研削して薄くした修正後の上記補助パターンの膜厚と、修正前の上記補助パターンの膜厚との膜厚差が、1nm~40nmの範囲であることが好ましい。
 上記発明においては、上記エッチングが電子ビームマスク修正機の電子ビームを用いたガスアシスト・エッチングであり、上記研削が原子間力顕微鏡の探針を用いた研削であることが好ましい。
 上記発明においては、上記主パターンと上記補助パターンとが半透明膜で構成されており、上記主パターンの膜厚が、上記主パターンを透過する光と上記透明基板の透明領域を透過する光とで180度の位相差を生じる膜厚であることが好ましい。
 上記発明においては、上記主パターンが遮光膜から構成され、上記補助パターンが半透明膜よりなることが好ましい。
 上記発明においては、上記主パターンと上記補助パターンとが遮光膜で構成されていることが好ましい。
 上記発明においては、上記主パターンおよび上記補助パターンがいずれもラインパターンであり、上記主パターンが孤立パターンまたは周期パターンであることが好ましい。
 また、本発明においては、上述したフォトマスクの修正方法により補助パターンが修正され、修正後の上記補助パターンの膜厚が修正前の上記補助パターンの膜厚よりも薄いことを特徴とするフォトマスクを提供する。
 本発明のフォトマスクによれば、補助パターンを有するハーフトーンマスクにおいて、補助パターン部分のみを薄膜化することで補助パターンとしての焦点深度拡大効果を保ちつつ、コントラストの高い転写画像を形成することができる。補助パターン寸法を56nmから104nmと大きくしても補助パターン部は解像せず、かつ繰り返し端の主パターンの焦点深度拡大効果に悪影響はなく、補助パターンの寸法を従来の寸法の約2倍程度にまで大きくすることができ、補助パターンのアスペクト比を下げることにより、補助パターンの欠けや倒れが抑制される効果を奏する。また、本発明のフォトマスクは、半透明膜が単層の場合には、従来から使用されているハーフトーンマスク用マスクブランクスをそのまま用いることができ、マスクブランクス材料を変更する必要がないため、補助パターンを用いないハーフトーンマスクに対し、マスクブランクスの互換性を確保することができ、マスクの品質維持とマスクコストの低減が可能となる。 
 本発明のフォトマスクの製造方法によれば、主パターンおよび補助パターンが、同一材料からなる半透明膜で構成されるので半透明膜の成膜工程が容易であり、半透明膜が単層の場合には、従来から使用されているハーフトーンマスク用マスクブランクスをそのまま用いることができ、マスクブランクス材料を変更する必要がないため、マスク製造コストを低減できる。補助パターン幅を主パターンより小さくすることにより、主パターンと補助パターンとの間のスペースをより広くし、透明基板上に形成された第1のパターンと次に形成する第2のパターンとのアライメントずれの余裕度を上げた製造方法とすることができ、マスク製造の難度を上げずにパターンの転写特性を改善するフォトマスクを得ることができる。 
 本発明のフォトマスクによれば、主パターンおよび補助パターンの膜厚差を所定の範囲とすることにより、補助パターンの一部が欠けたり、補助パターンが基板表面から剥がれたり、補助パターンがその線幅方向へ倒れたりする現象が発生することを抑制することができる。
 本発明のフォトマスクの修正方法によれば、本来転写対象面に転写されてはいけない補助パターンが、転写対象面に解像してしまう場合のフォトマスクの修正方法において、解像されてしまう補助パターンの表面をエッチングもしくは研削し、補助パターンが転写対象面に解像されなくなるまで補助パターンの膜厚を薄くすることで、補助パターンが転写されてしまう問題を解決し、補助パターンとしての焦点深度拡大効果を保ちつつ、コントラストの高い転写画像を形成するフォトマスクに修正することができる。本発明のフォトマスクの修正方法は、従来の方法である補助パターンの線幅方向の修正とは異なり、補助パターンを厚み方向に薄膜化して修正する方法であって、補助パターンを有するフォトマスクを容易な方法で確実に修正することが可能となる。 
 本発明の修正方法によるフォトマスクによれば、補助パターンが転写対象面に転写されてしまうフォトマスクを、補助パターンを厚み方向に修正することにより、補助パターンが転写対象面に転写されず、焦点深度拡大効果を有し、コントラストの高い転写画像を形成する高品質なフォトマスクを得ることができるという効果を奏する。
本発明の補助パターンを有するハーフトーンマスクの一実施形態を示す部分断面模式図である。 本発明の補助パターンを有するハーフトーンマスクの他の実施形態を示す部分断面模式図である。 本発明のハーフトーンマスク(または補助パターンを有するフォトマスク)の評価に用いたCquad瞳フィルタで、同図(a)はCquadの平面模式図、同図(b)はCquadを用いてマスクに露光光を照射したときの斜視模式図である。 本発明のハーフトーンマスク(または補助パターンを有するフォトマスク)において用いた評価パターンと、評価パターンの位置と光強度との関係を示す空間像の図である。 SRAFのCDを変えたとき、SRAF膜厚差とSRAFの光強度/スライスレベルとの関係を示す図である。 SRAFのCDを変えたとき、ウェハ上の主パターン端のラインCDとデフォーカスとの関係を示す図である。 本発明のフォトマスクの製造方法の第1の実施形態を示す工程断面模式図である。 本発明のフォトマスクの製造方法の第2の実施形態を示す工程断面模式図である。 本発明のフォトマスクの製造方法の第3の実施形態を示す工程断面模式図である。 本発明のフォトマスクの製造方法の第4の実施形態を示す工程断面模式図である。 従来のフォトマスクの製造方法の一実施形態を示す工程断面模式図である。 図3に示す実施形態において、SRAFのエッチング量(マスク上)とSRAF CD(ウェハ上の寸法)との関係を示す図である。 図3に示す実施形態において、SRAFエッチング量誤差が主パターンCDへ与える影響について示した図である。 図3に示す実施形態において、SRAFエッチング量を変えたとき、ウェハ上の繰り返し端の主パターンCDとデフォーカス(Defocus)との関係を示す図である。 図3に示す実施形態において、SRAFエッチング量を変えたとき、ウェハ上の繰り返し端の主パターンの光強度分布を示す図である。 シミュレーションに用いたQuasar瞳フィルタの平面模式図(a)と、Quasarを用いてマスクに露光光を照射したときの斜視模式図(b)と、マスクパターン194の平面模式図(c)である。 図16に示す実施形態において、SRAFのエッチング量(マスク上)とSRAF CD(ウェハ上の寸法)との関係を示す図である。 図16に示す実施形態において、マスク上のSRAFのエッチング量誤差がウェハ上の主パターンCD誤差へ与える影響について示す図である。 図16に示す実施形態において、SRAFエッチング量を変えたときの主パターンCDとデフォーカスとの関係を示す図である。 従来のハーフトーンマスクとバイナリマスクにおいて、マスクCDとNILSとの関係を示す。 従来のハーフトーンマスクとバイナリマスクにおいて、マスクCDとMEEFとの関係を示す。 従来のハーフトーンマスクとバイナリマスクにおいて、マスクCDと露光余裕度を示す図である。 従来のハーフトーンマスクとバイナリマスクにおいて、ウェハ上でのSRAFのCDに対して、光強度閾値のスライスレベルに対するSRAF部光強度の比を示す図である。 特許文献2に記載の従来の半透明補助パターンを有するフォトマスクの平面図および縦断面図である。 本発明の補助パターンを有するフォトマスクの修正方法の一実施形態を示す断面模式図である。 本発明の修正方法を適用し得る補助パターンを有するフォトマスクの例を示す部分断面模式図である。 実施例においてテスト試料によるSRAF部分の薄膜化処理後のSEM写真である。 テスト試料でSRAF部を部分的にエッチングした後のリソグラフィシミュレーション顕微鏡によるウェハ上光強度分布の平面状態を示す画像である。 実施例におけるSRAF部分の薄膜化処理前のパターン位置と光強度との関係を示す空間像の図である。 図29の部分拡大図で、繰り返し端主パターンと補助パターンS1のSRAF薄膜化処理前のパターン位置と光強度との関係を示す空間像の図である。 図30に対する比較参考図であり、SRAFが無い場合の繰り返し端の主パターンと補助パターンS1のパターン位置と光強度との関係を示す空間像の図である。 実施例におけるSRAF部分の薄膜化処理後のパターン位置と光強度との関係を示す空間像の図である。 図27、図28の破線部内の状況を示し、図32の部分拡大図で、繰り返し端主パターンと補助パターンS1のSRAF薄膜化処理後のパターン位置と光強度との関係を示す空間像の図である。 SRAF薄膜化処理による繰り返し端主パターンの焦点深度を示す図である。
A.フォトマスク
 本発明のフォトマスクは、ArFエキシマレーザを露光光源とし、変形照明による投影露光に用いられるマスクであり、好ましくはウェハ上のハーフピッチが65nm以下、さらには45nm、32nmの微細な半導体素子形成に用いられることを対象とするマスクである。 
(従来のハーフトーンマスクの転写特性)
 本発明について述べる前に、まず本発明の対象としている補助パターンを有するハーフトーンマスクの転写特性について説明する。本発明者は、ウェハ上にハーフピッチ45nm以下の細密パターンを形成するための補助パターンを有するハーフトーンマスクの転写特性を、従来のハーフトーンマスクを用いてバイナリマスクと比較しながらシミュレーションにより調べた。
 従来、マスクパターンの転写特性の評価は、マスクパターンの平面的な特性を主にして、透過率や位相差で表現する方法により予測されていた。近年は、フォトマスクの転写特性の評価に、コントラストあるいはNILS(Normalized Image Log-Slope:正規化画像対数勾配)、およびMEEF(Mask Error Enhancement Factor:マスク誤差増大因子)などの指標が用いられている。まず、NILSとMEEFを用いてマスクの転写特性を評価した。 
 NILSは、下記の数式(1)で表される。NILSの値が大きいと、光学像は急峻となりレジストパターンの寸法制御性は向上する。一般的に、NILSは2以上が好ましいが、半導体素子の微細化に伴い、1.5程度以上でも解像するようなレジストプロセスが求められてきている。ここで、Wは所望のパターン寸法、IthはWを与える光強度の閾値、(dI/dx)は空間像の勾配である。
 NILS=(dI/dx)/(W×Ith)  …(1) 
 MEEFは、下記の数式(2)で表されており、マスク寸法変化量(ΔマスクCD)に対するウェハ上のパターン寸法変化量(ΔウェハCD)の比で示される。CDはマスクやウェハの重要な寸法(Critical Dimension)を示す。数式(2)の数値4はマスクの縮小比であり、一般的な4倍マスクを用いた場合を例示している。数式(2)が示すように、MEEFの値は小さい方(1付近)が、マスクパターンがウェハパターンにより忠実に転写されることになり、MEEFの値が小さくなればウェハ製造歩留りが向上し、その結果、ウェハ製造に用いるマスク製造歩留りも向上することになる。
 MEEF=ΔウェハCD/ΔマスクCD/4   …(2) 
 本発明においては、マスクパターンの転写特性を見積もるためのシミュレーション・ソフトウェアとして、EM-Suite(商品名:Panoramic Technology社製)を用いた。主なシミュレーション条件は、ArFエキシマレーザ(193nm)を照明光源とし、NAは1.35、変形照明として、図3に示すCquad瞳フィルタ31を用いた。同図(a)はCquad31の平面模式図、同図(b)はCquad31を用いてマスク33に露光光を照射したときの斜視模式図である。Cquad31は、扇状光透過部の開口角35度、外径0.9、内径0.7(瞳フィルタの半径を1とする)とした。マスク33としては、従来の一般的なモリブデンシリサイド系の露光波長193nmにおける透過率6%のハーフトーンマスク(6%ハーフトーンと記す)と、比較のためのモリブデンシリサイド系のバイナリマスクを用いた。ウェハ上のターゲットライン寸法は45nm、パターンはピッチ90nm(ハーフピッチ45nm)のライン/スペース繰り返しパターンとした。 
 図20、図21は、従来のハーフトーンマスクとバイナリマスクにおいて、上記のシミュレーションにより得られたウェハ上の転写ターゲット寸法45nmにおけるマスクバイアスと転写特性との関係を示す図であり、図20はNILS、図21はMEEFについてマスクCDとの関係を示す。 
 図20が示すNILSにおいては、ハーフトーンマスクでは、マスクバイアスをマイナス側にしてラインパターン寸法を細らせたマスクCD32nm~44nm(ウェハ上)において、NILSは最大値を示す。一方、バイナリマスクでは、マスクバイアスをプラス側にしてラインパターン寸法を太らせるほどNILSが上がる傾向を示す。 
 図21に示すMEEFにおいては、ハーフトーンマスク、バイナリマスクのいずれもマスクバイアスをマイナスにしてラインパターン寸法を細らせるほど、MEEFが小さくなるが、ハーフトーンマスクの方がバイナリマスクよりもより小さい値を示し、より好ましい。 
 図20、図21より、ハーフトーンマスクでは、最大NILSと最小MEEFのマスクCDがほぼ一致している。一方、バイナリマスクでは、NILSとMEEFが相反する関係にあり、一方の特性を良くしようとすると他方の特性が悪くなることが判る。このことは、ハーフピッチ45nm以下のパターン形成には、バイナリマスクよりもハーフトーンマスクの方が適していることを示している。したがって、本発明において説明するように、ハーフピッチ45nm以下のパターン形成用のフォトマスクとしてハーフトーンマスクを使用するのは好ましい選択の一つである。 
 図22は、従来のハーフトーンマスクとバイナリマスクのマスクCDと露光余裕度(Exposure Latitude、露光寛容度ともいう。)を示す図である。露光余裕度は良好なレジスト寸法・形状を得るための露光マージンを示す値である。ここで、露光余裕度は焦点面が±50nmの範囲でずれ、主パターンマスクCDが±2.5nmの範囲でずれた場合に、ウェハ転写CDの誤差が±3.8nm以下となる条件で評価した。ここでマスクCDはウェハ上に換算されているので、転写される主パターンのマスクCDを示す。図22において、ハーフトーンマスク(図中の点線)はマスクCDが32nmのときに露光余裕度が最良の値8.3%を示し、マスクCDが40nmのときにはバイナリマスク(実線)と同じ露光余裕度となる。一方、バイナリマスクは、マスクCDが46nmのときに露光余裕度が最良の値7%を示すが、ハーフトーンマスクに比べると露光余裕度は小さい。 
 図4は、本発明において用いた評価パターン(同図(a))と、評価パターンの位置に対応した光強度を示す空間像の図(同図(b))である。評価パターンは、主パターンとしてハーフピッチ45nmのライン/スペースが9本、端の主パターンの解像性を向上するために、主パターンの両端にSRAFが2本(SRAFのハーフピッチは主パターンと同じ)入れて一組とし、400nmのスペースを挟んだ繰り返しパターンである。主パターン、SRAFともに上記の6%ハーフトーンである。 
 次に、補助パターンを有するハーフトーンマスクにおいて、ライン/スペースパターンの端の補助パターン(SRAF)の転写性について説明する。図4では、横軸に主パターンとSRAFの一組のパターンの位置、縦軸にパターンがない透過部の光強度を1としたときの規格化した光強度を示しており、図中の横実線で示すスライスレベルは、規格化された光強度閾値である。主マスクパターンの寸法によってスライスレベルは変わる。図中に矢印で示すSRAF部の最小光強度がスライスレベルよりも下がると、SRAFがウェハ上に解像してしまうことを意味する。 
 図23は、従来技術に基づいて膜厚を一定とした場合のハーフトーンマスクとバイナリマスクにおける、ウェハ上でのSRAFのCD(横軸)に対して、規格化された光強度閾値のスライスレベルに対するSRAF部の光強度の比(縦軸)を示す図である。ハーフトーンマスク(図中の三角点)は主パターンのCDが3通り(ウェハ上で32nm;36nm;40nm)の場合を示す。上記の比が1以下であるとSRAFは転写されてしまうので、SRAFが転写されないようにするには上記の比を1以上にしなければならない。図中に点線で示すハーフトーンマスクの主パターンCDが32nm(マスク上では128nm)のときには、前記露光余裕度は最良の値を示すが、SRAFのCDを14nm(マスク上では56nm)以下にしないとSRAFが解像してしまうことになり、マスク製造が困難であることがわかる。 
 上記は、SRAFを有する従来の6%ハーフトーンマスクを用いた場合のシミュレーション結果であり、シミュレーション上はマスク特性に優れていることが判るものの、SRAF寸法が極めて小さくなり、実際のマスク製造が困難である。 
(本発明のフォトマスク)
 次に、上記の結果を参考にしながら、本発明のフォトマスクおよびフォトマスクの製造方法の実施形態について、図面に基づいて詳細に説明する。本発明においては、後述の主パターン間にSRAFがある場合を除いて、以下のマスクパターンの転写特性の説明では、上記の図3に示すCquad瞳フィルタ31を用い、シミュレーション・ソフトウェアとして、EM-Suite(商品名:Panoramic Technology社製)を用いた。主なシミュレーション条件は、ArFエキシマレーザ(193nm)を照明光源とし、NAは1.35である。評価パターンは、上記の図4(a)に示すパターンを用いている。 
〔第1の実施形態〕
 図1は、本発明のフォトマスクである補助パターンを有するハーフトーンマスクの第1の実施形態を示す部分断面模式図であり、ライン/スペースパターンを設けた場合を例示しており、合成石英基板などの透明基板11上に、露光光を所定の透過率で透過し位相を変える単層の半透明膜で主パターン12が設けられ、主パターン12の近傍に主パターン12と同一材料よりなる単層の半透明膜で構成された補助パターン(SRAF)13が形成されたハーフトーンマスク10である。図1では、主パターン12、補助パターン13ともに2本、マスクパターンの一部しか例示していないが、もとよりこれに限定されるわけではない。主パターンは孤立パターンまたは周期パターンであってもよい。 
 本発明の補助パターンを有するハーフトーンマスク10は、主パターン12を透過する光と透明基板11のパターンのない透明領域を透過する光に180度の位相差を生じさせ、かつ補助パターン13を透過する光と透明基板11の透明領域を透過する光に70度~115度の範囲の所定の位相差を生じさせるように設定されている。主パターン12と補助パターン13の位相差を上記のように設定することにより、ハーフトーンマスク10は、補助パターンとしての焦点深度拡大効果を保ちながら、補助パターン13を解像させず、主パターン12のコントラストの高い転写画像を形成することができる。 
 上記の位相差を生じさせるために、本発明の補助パターンを有するハーフトーンマスク10は、補助パターン13の膜厚が主パターン12の膜厚よりも薄く、膜厚差(以後、SRAF膜厚差という。)が24nm~40nmの範囲の所定の膜厚差としている。上記の所定の膜厚差は、SRAF部を選択的にドライエッチングすることにより形成することができる。 
 補助パターンを有するハーフトーンマスク10として、例えば、180度の位相差を生じさせる主パターンのArF露光光透過率を6%とすると、上記の70度~115度の範囲の所定の位相差を生じさせる補助パターンのArF露光光透過率は15%~29%の範囲の所定の透過率となる。 
 図1に示す本発明のハーフトーンマスク10の主パターン12および補助パターン13を構成する半透明膜としては、材料として特に限定されるわけではないが、例えば、モリブデンシリサイド系材料であるモリブデンシリサイド酸化膜(MoSiO)、モリブデンシリサイド窒化膜(MoSiN)、モリブデンシリサイド酸化窒化膜(MoSiON)などの半透明膜が挙げられる。モリブデンシリサイド系半透明膜は、ハーフトーンマスク材料として実用されており、より好ましい材料である。 
 半透明膜12の形成は、従来公知の方法が適用でき、例えばモリブデンシリサイド酸化膜(MoSiO)の場合は、モリブデンとシリコンとの混合ターゲット(Mo:Si=1:2mol%)を用い、アルゴンと酸素との混合ガス雰囲気で、反応性スパッタリング法により形成することができ、数10nmの厚さに成膜される。 
 主パターン12および補助パターン13を構成する半透明膜が、例えばモリブデンシリサイド系材料の半透明膜の場合には、CF、CHF、Cなどのフッ素系ガス、あるいはこれらの混合ガス、あるいはこれらのガスに酸素を混合したガスをエッチングガスとして用いることによりドライエッチングを行い、パターン形成することができる。 
 ここで、半透明膜がモリブデンシリサイド系材料の単層である場合、半透明膜をドライエッチングしてマスクパターンを形成する際に、通常、透明基板表面も僅かにエッチングされて掘り込まれる(図1には不図示)。本発明において、マスクパターンのない部分の透明基板表面の掘り込み深さは、0~10nmの範囲の深さに制御するのが好ましい。掘り込み深さが10nmを超えるとマスク特性に良くない影響を生じてくる。そこで、本発明のハーフトーンマスクでは、透明基板表面のエッチング深さを0~10nmの範囲の所定の深さに制御し、あらかじめこの深さを含めて位相差を設定するものである。以下の実施形態では、いずれのハーフトーンマスクもエッチングされる掘り込み深さを4nmとしているが、もとより0~10nmの範囲であれば、他のエッチング深さを用いてもよい。 
 本実施形態のハーフトーンマスクとしては、例えば、膜厚68nmのモリブデンシリサイドを半透明膜とした場合、主パターン(膜厚68nm)がArFエキシマレーザ光の透過率6%、透明基板の透明領域との位相差180度であり、補助パターンが主パターンと膜厚差24nm~40nmの範囲の所定の膜厚差で、透明基板の透明領域との位相差70度~115度の範囲の所定の位相差であるハーフトーンマスクを示すことができる。 
〔第2の実施形態〕
 上記の透明基板表面の掘り込みを低減するために、本発明のフォトマスクの他の実施形態として、図2に示す2層の半透明膜よりなるハーフトーンマスクを示す。主パターンと補助パターンとは同一材料よりなる2層の半透明膜で構成されており、透明基板側の下層の半透明膜24は、上層の半透明膜25のドライエッチング時のエッチング停止層の機能を有するものであり、かつ半透明膜としての機能も有するものである。上層の半透明膜25としては、上記のモリブデンシリサイド系材料が例示できる。この場合、下層の半透明膜24としては、クロム系材料である酸化クロム膜(CrO)、窒化クロム膜(CrN)、酸化窒化クロム膜(CrON)が好ましい。上記のクロム系材料の薄膜は露光光に対して半透明であり、モリブデンシリサイド系材料のドライエッチングに用いるフッ素系ガスに対して耐性があるからである。クロム系材料は、従来公知の反応性スパッタリング法により形成し、不要部のクロム系材料薄膜は塩素系ガスによりドライエッチングすることができ、透明基板には損傷を与えない。上層の半透明膜25は数10nm、下層の半透明膜24は数nm~数10nmの厚さに成膜される。 
 本発明のハーフトーンマスクは、上記の第1および第2の実施形態において、マスクの外周部に遮光領域が形成されていてもよい。通常、半導体ウェハへの投影露光においては、マスク外周部が多重露光されるので、マスク外周部に遮光領域を設けたフォトマスクが使用される。したがって、本発明においても、外周部などの所望する領域の半透明膜上に、遮光膜を設けて遮光領域とすることができる。遮光膜は、遮光性のあるクロムなどの金属膜を数10nm~200nm程度の厚さに成膜し、パターンニングして遮光領域として形成される。 
(補助パターンの転写性)
 次に、図1に示した本発明のハーフトーンマスクの補助パターン(SRAF)の薄膜化の効果について説明する。図5は、ウェハ上での主パターンのCDが32nmのハーフトーンマスクにおいて、SRAFのCDを変えたとき、SRAF膜厚差(横軸)とSRAFの光強度/規格化された光強度閾値のスライスレベル(縦軸)との関係を示す図である。SRAFの光強度/スライスレベルを1以上にしないと、SRAFがウェハ上に解像してしまうことを示す。 
 図5が示すように、SRAFのCDが14nm(マスク上では56nm)と微細なときには、SRAF膜厚差が0、すなわち主パターンの膜厚(68nm)と同じであっても、SRAFは転写されない。SRAFのCDが22nm(マスク上では88nm)のときには、SRAFの膜厚差が24nm以上であれば、SRAFは解像せず転写されない。同様に、SRAFのCDが26nm(マスク上で104nm)のとき、SRAFの膜厚差30nm以上、SRAFのCDが30nm(マスク上で88nm)のとき、SRAFの膜厚差が34nm以上であれば、SRAFは転写されない。 
 上記の図23で説明したように、従来の主パターンと補助パターン(SRAF)が同一材料、同一膜厚で構成されたハーフトーンマスクでは、主パターンのCDを32nmとするとSRAFのCDが14nm以下でしかSRAFが用いられなかったが、上記のように、本発明の薄膜化したSRAFを用いることにより、SRAFのCDを26nm~30nmと従来の寸法の2倍程度に大きくしても、SRAFが解像せず転写されないで使用することが可能となる。SRAFの薄膜化は、SRAF部を選択的にドライエッチングすることにより容易に可能である。SRAF寸法を従来の2倍程度に大きくすることが可能となるため、従来微細化が難しく使用することが困難であった同一材料よりなるSRAFを有するハーフトーンマスクの使用が可能となる。 
 図6は、SRAFのCDを変えたとき、ウェハ上の主パターン端のラインのCDとデフォーカス(Defocus:焦点位置変動)との関係を示す図である。それぞれのSRAFのCDに対して、SRAFが解像しないようにエッチングして主パターンの膜厚と所定の膜厚差(24nm、32nm、40nm)を有している。図6に示されるように、SRAFのCDを22nm~30nmと大きくし、SRAFの膜厚を薄くすることにより、フォーカスを振ったとき各SRAF寸法間におけるCD変動はなく、ほぼ同じ傾向を示す。すなわち、SRAF薄膜化でデフォーカスに対して悪影響はなく、同様の寸法精度が得られる。 
 上記のように、本発明のフォトマスクは、補助パターン部分のみを薄膜化することで補助パターンとしての焦点深度拡大効果を保ちつつ、コントラストの高い転写画像を形成することができる。さらに、補助パターンの寸法を従来の寸法の約2倍程度にまで大きくすることができ、補助パターンのアスペクト比を小さくすることにより、補助パターンの欠けや倒れを低減する効果が得られる。また、本発明のフォトマスクとしてモリブデンシリサイド系の単層膜とした場合には、従来からの使用実績のあるハーフトーンマスク用マスクブランクスをそのまま用いることができ、マスク品質が維持され、高精度な微細パターンを有するマスクの使用が可能となる。 
B.フォトマスクの製造方法
 次に、本発明のフォトマスクの製造方法について説明する。上記のように、本発明のフォトマスクは、補助パターンを透過する光と透明基板の透明領域を透過する光に70度~115度の範囲の所定の位相差を生じさせることを特徴としており、補助パターンに上記の位相差を生じさせるために、補助パターンの膜厚は主パターンよりも薄く、24nm~40nmの範囲の所定の膜厚差としている。所定の膜厚差とする方法としては、半透明膜の成膜時にパターンに応じて成膜膜厚を変える方法と、半透明膜成膜後にパターンに応じて半透明膜をエッチングして膜厚を変える方法とがある。本発明のフォトマスクの製造方法は、製造が容易で高精度マスクが得られる後者のエッチング方法によるものである。 
(従来のフォトマスクの製造方法)
 本発明のフォトマスクの製造方法について説明する前に、公知の一般的な製造方法を用いて本発明のフォトマスクを製造した場合の問題点について述べ、次いで本発明のフォトマスクの製造方法について説明する。 
 図11は、本発明のフォトマスクを公知の従来の製造方法を用いて製造した場合の工程断面模式図である。図11に示すように、透明基板111上に半透明膜112を形成し、半透明膜を透過する光と透明基板の透明領域を透過する光の位相差が180度となる膜厚とし、続いて、半透明膜上に遮光膜113を形成する(図11(a))。次に、遮光膜113上に第1のレジストパターン114を形成し、遮光膜113および半透明膜112を順にドライエッチングし、主パターン部115と補助パターン部116を形成する(図11(b))。次に、第1のレジストパターン154を剥離し、露出したパターン部の遮光膜をエッチングして除去する(図11(c))。次いで主パターン部115を第2のレジストパターン117で覆い、補助パターン部を透過する光と透明基板の透明領域を透過する光が所定の位相差となる膜厚まで、補助パターン部の半透明膜をドライエッチングして補助パターン118を形成し(図11(d))、第2のレジストパターン117を剥離してハーフトーンマスク110を得る(図11(e))。 
 しかしながら、上記の製造方法では、第2のレジストパターン117で覆われていない透明基板111表面は、補助パターン部116の半透明膜のドライエッチング時に同時にエッチングされてしまい、図11(e)に示すように、レジストパターン117の境界面で透明基板111表面に段差121を生じてしまい、マスク品質を低下させて実用できなくなるという問題が生じる。したがって、上記に示した従来のマスク製造方法は、本発明のフォトマスクの製造には適用できない。 
(本発明のフォトマスクの製造方法)
〔第1の実施形態〕
 そこで、本発明のフォトマスクの製造方法は、上記の問題点を解決した製造方法であり、ArFエキシマレーザを露光光源とし、変形照明による投影露光に用いられ、透明基板上に、投影露光により転写対象面に転写される主パターンと、主パターンの近傍に形成され転写対象面に転写されない補助パターンとを設けたフォトマスクの製造方法である。 
 図7は、図1に示す本発明のフォトマスクを製造する方法の第1の実施形態を示す工程断面模式図である。図7(a)に示すように、合成石英基板などの透明基板71上に半透明膜72を形成し、半透明膜72を透過する光と透明基板71の透明領域を透過する光の位相差がほぼ180度となる膜厚とし、続いて上記の半透明膜72上に遮光膜73を形成したフォトマスクブランクスを準備する。 
 半透明膜72、遮光膜73の形成は、従来公知の方法が適用でき、例えば、半透明膜72がモリブデンシリサイド酸化膜(MoSiO)の場合は、モリブデンとシリコンとの混合ターゲット(Mo:Si=1:2mol%)を用い、アルゴンと酸素との混合ガス雰囲気で、反応性スパッタリング法により形成することができる。遮光膜73が、例えばクロムなどの金属膜である場合にも、スパッタリング法などで所定の膜厚を成膜して形成できる。 
 上記の半透明膜72の膜厚を光の位相差がほぼ180度となる膜厚とするのは、以下の理由による。半透明膜72をドライエッチングしてマスクパターンを形成する際には、通常、透明基板71表面も僅かにエッチングされる。エッチングの深さは、好ましくは4nmであり、本発明では上限を10nmとしている。10nmを超えるとマスク特性に良くない影響を生じてくる。そこで、本発明のハーフトーンマスクでは、半透明膜72をドライエッチング時の透明基板71表面のエッチング深さを0~10nmの範囲の所定の深さに制御し、あらかじめこの深さを含めて位相差を設定するものである。したがって、成膜時の半透明膜の厚さは、透明基板のエッチングによる変動をあらかじめ考慮して、位相差がほぼ180度となる膜厚とし、最終的に主パターン形成後に180度の位相差を得るものである。以下の実施形態では、上記の所定のエッチング深さを、一例として4nmとして説明する。本発明においては、膜厚の測定には原子間力顕微鏡(AFM)を用い、位相差の測定は位相シフト量測定装置(レーザテック社製:MPM193)で行った。 
 次に、上記の遮光膜73上に第1のレジストパターン74を形成し、遮光膜73および半透明膜72を順にパターン状にドライエッチングし、主パターン部75と補助パターン部76を形成する(図7(b))。 
 次に、上記の第1のレジストパターン74を剥離し、遮光膜上に第2のレジストパターン77を形成し、補助パターン部76の遮光膜73をエッチングして除去する(図7(c))。 
 半透明膜72が、例えばモリブデンシリサイド系材料の半透明膜の場合には、CF、CHF、Cなどのフッ素系ガス、あるいはこれらの混合ガス、あるいはこれらのガスに酸素を混合したガスをエッチングガスとして用いることによりドライエッチングを行い、パターン形成することができる。また、遮光膜73が、例えばクロムの場合には、Clと酸素の混合ガスをエッチングガスとして用いてドライエッチングし、半透明膜72および透明基板71に損傷を与えずにパターン形成することができる。上記の図7(c)の工程では、ドライエッチングではなく、遮光膜73を硝酸第二セリウムアンモニウム塩の水溶液などでウェットエッチングして除去することも可能である。 
 次いで、第2のレジストパターン77を剥離し、透明基板71の一主面上全面を半透明膜72のエッチング条件でドライエッチングし、補助パターンを透過する光と透明基板71の透明領域を透過する光が70度~115度の範囲の所定の位相差となる膜厚まで、補助パターン部の半透明膜をドライエッチングして補助パターン78を形成する(図7(d))。上記の位相差を得るための補助パターン78のエッチング量は、主パターン部の半透明膜との膜厚差で24nm~40nmの範囲の所定の膜厚差に相当する。主パターン部は遮光膜73で覆われているのでエッチングされず、半透明膜成膜時の膜厚が保持されている。図7(d)の工程では、ドライエッチングすることにより、マスク全面に均一で高精度なエッチングを行うことができ、補助パターン78の位相差を所定の値に高精度に制御することができる。 
 次に、主パターン部の遮光膜をエッチングして除去して主パターン79を形成し、補助パターンを有し、主パターン79を透過する光と透明基板71の透明領域を透過する光に180度の位相差を生じさせるハーフトーンマスク70を形成する(図7(e))。図7(e)の工程では、遮光膜73をドライエッチングあるいはウェットエッチングのいずれの方法でも除去することができる。 
 上記の第1の実施形態によるフォトマスクの製造方法によれば、透明基板71表面に図11で説明したような段差を生じることがなく、補助パターン78を有する高品質のハーフトーンマスク70を得ることができる。
 例えば、膜厚68nmのモリブデンシリサイドを半透明膜とした場合、主パターン(膜厚68nm)がArFエキシマレーザ光の透過率6%、透明基板の透明領域との位相差180度であり、補助パターンが主パターンと膜厚差24nm~40nmの範囲の所定の膜厚差で、透明基板の透明領域との位相差70度~115度の範囲の所定の位相差である高品質のハーフトーンマスクを容易に製造することができる。 
〔第2の実施形態〕
 図8は、図1に示す本発明のフォトマスクを製造する方法の第2の実施形態を示す工程断面模式図であり、図7(a)と同様に、透明基板81上に半透明膜82を形成し、半透明膜82を透過する光と透明基板81の透明領域を透過する光の位相差がほぼ180度となる膜厚とし、続いて上記の半透明膜82上に遮光膜83を形成したフォトマスクブランクスを準備する(図8(a))。 
 次に、遮光膜83上に第1のレジストパターン84を形成し、遮光膜83および半透明膜82を順にドライエッチングし、半透明膜82をハーフエッチングした途中段階でエッチングを止める。この段階で、透明基板81上には除去すべき半透明膜82の薄層がハーフエッチングされた状態で部分的に残っているが、主パターン部85と補助パターン部86はハーフエッチング部分を残した状態で形成されている(図8(b))。この段階におけるハーフエッチングされた半透明膜82のハーフエッチング部分の膜厚は、後工程で補助パターンのエッチング時に同時にエッチング除去される膜厚となるように、あらかじめ設定しておく。 
 次に、上記の第1のレジストパターン84を剥離し、遮光膜上に第2のレジストパターン87を形成し、補助パターン部の遮光膜をエッチングして除去する(図8(c))。図8(c)の工程では、遮光膜83をドライエッチングあるいはウェットエッチングのいずれの方法でも除去することができる。 
 次いで、第2のレジストパターン87を剥離し、透明基板81の一主面上全面を半透明膜82のエッチング条件でドライエッチングし、補助パターンを透過する光と透明基板81の透明領域を透過する光が70度~115度の範囲の所定の位相差となる膜厚まで、補助パターン部の半透明膜をドライエッチングし、補助パターン88を形成する(図8(d))。上記の位相差を得るための補助パターン88のエッチング量は、主パターンとの膜厚差で24nm~40nmの範囲の所定の膜厚差に相当する。このとき、ハーフエッチングされて残存する半透明膜82のハーフエッチング部分は、同時にエッチングされる。主パターン部は遮光膜83で覆われているのでエッチングされない。 
 次に、主パターン部の遮光膜をエッチングして除去して主パターン89を形成し、主パターン89を透過する光と透明基板81の透明領域を透過する光に180度の位相差を生じさせ、補助パターン88を有するハーフトーンマスク80を形成する(図8(e))。図8(e)の工程では、遮光膜83をドライエッチングあるいはウェットエッチングのいずれの方法でも除去できる。 
 上記の第2の実施形態によるフォトマスクの製造方法によれば、透明基板81表面に図11で説明したような段差を生じることがなく、補助パターン88を有する高品質のハーフトーンマスク80を得ることができる。 
〔第3の実施形態〕
 図9は、図2に示す本発明のフォトマスクを製造する方法の実施形態を示す工程断面模式図である。図9(a)に示すように、合成石英基板などの透明基板91上に半透明膜92a、半透明膜92を順に成膜し、2層の半透明膜を形成する。下層の半透明膜92aは、上層の半透明膜92をドライエッチングする時のエッチング停止層の機能を有し、かつ半透明膜のマスク材としての機能も有するものである。2層の半透明膜を透過する光と透明基板91の透明領域を透過する光の位相差はほぼ180度となる膜厚とし、続いて上記の2層の半透明膜上に遮光膜93を形成したフォトマスクブランクスを準備する。 
 半透明膜92a、半透明膜92および遮光膜93の形成は、従来公知の方法が適用できる。例えば、下層の半透明膜92aとして、クロム系材料である酸化クロム膜(CrO)、窒化クロム膜(CrN)、酸化窒化クロム膜(CrON)が用いられる。上記のクロム系材料の薄膜は露光光に対して半透明であり、モリブデンシリサイド系材料のドライエッチングに用いるフッ素系ガスに対して耐性があるからである。クロム系材料は、従来公知の反応性スパッタリング法により形成できる。上層の半透明膜92としては、上記のモリブデンシリサイド系材料が例示できる。半透明膜92がモリブデンシリサイド酸化膜(MoSiO)の場合は、モリブデンとシリコンとの混合ターゲット(Mo:Si=1:2mol%)を用い、アルゴンと酸素との混合ガス雰囲気で、反応性スパッタリング法により形成することができる。遮光膜93はクロムが用いられ、スパッタリング法などで、所定の膜厚を成膜して形成できる。 
 次に、上記の遮光膜93上に第1のレジストパターン94aを形成し、遮光膜93、半透明膜92および半透明膜92aを順にパターン状にドライエッチングし、主パターン部95と補助パターン部96を形成する(図9(b))。半透明膜92aのエッチング時には、透明基板91は損傷されない。
 図9(b)の工程において、遮光膜93が、例えばクロムの場合には、Clと酸素の混合ガスをエッチングガスとして用いてドライエッチングし、半透明膜および透明基板に損傷を与えずにパターン形成することができる。半透明膜92が、例えばモリブデンシリサイド系材料の半透明膜の場合には、CF、CHF、Cなどのフッ素系ガス、あるいはこれらの混合ガス、あるいはこれらのガスに酸素を混合したガスをエッチングガスとして用いることによりドライエッチングを行い、パターン形成することができる。半透明膜92aが、例えば酸化窒化クロム膜などのクロム系材料の場合には、Clと酸素の混合ガスをエッチングガスとして用いてドライエッチングすることができる。 
 次に、上記の第1のレジストパターン94aを剥離し、遮光膜上に第2のレジストパターン94bを形成し、補助パターン部96の遮光膜93をエッチングして除去する(図9(c))。遮光膜93のエッチングは、ドライエッチングでもよいし、硝酸第二セリウムアンモニウム塩の水溶液などでウェットエッチングして除去することも可能である。 
 次いで、第2のレジストパターン94bを剥離し、透明基板91の一主面上全面を半透明膜92のエッチング条件でドライエッチングし、補助パターンを透過する光と透明基板91の透明領域を透過する光が70度~115度の範囲の所定の位相差となる膜厚まで、補助パターン部の半透明膜をドライエッチングして補助パターン98を形成する(図9(d))。上記の位相差を得るための補助パターン98のエッチング量は、主パターンとの膜厚差で24nm~40nmの範囲の所定の膜厚差に相当する。主パターン部は遮光膜93で覆われているのでエッチングされない。 
 次に、主パターン部の遮光膜93をエッチングして除去して主パターン99を形成し、補助パターン98を有し、主パターン99を透過する光と透明基板91の透明領域を透過する光に180度の位相差を生じさせるハーフトーンマスク90を形成する(図9(e))。図9(e)の工程では、遮光膜93をドライエッチングあるいはウェットエッチングのいずれの方法でも除去することができる。 
 上記の第3の実施形態によるフォトマスクの製造方法によれば、透明基板91表面に図11で説明したような段差を生じることがなく、マスク面内やパターン間の透明基板の掘り込み深さのばらつきが防止された高品質のハーフトーンマスク90を得ることができる。 
〔第4の実施形態〕
 図10は、本発明のフォトマスクを製造する方法の第4の実施形態を示す工程断面模式図である。第4の実施形態は、上記の第1の実施形態~第3の実施形態において、必要とする所定の箇所の遮光膜を残す場合のフォトマスクを製造する方法である。
 通常、投影露光においては、マスク外周部が多重露光されるのでマスク外周部に遮光領域を設けたフォトマスクが使用される。第4の実施形態は、フォトマスクの外周部に遮光領域を設ける例であり、途中工程までは第1の実施形態~第3の実施形態に示す工程と同じなので、以下、図7を参照しながら、図10により説明する。図10では図7と同じ箇所は同じ符号を用いている。 
 図10(a)に示すように、図7(d)に示す工程まで製造工程を進め、補助パターン部108を形成する。このとき、フォトマスクとして必要とする所定の箇所の遮光膜はあらかじめ残しておく。図10では、フォトマスクの外周部に遮光領域としての遮光膜104を残す場合を例示している。 
 次に、図10(b)に示すように、必要とする所定の箇所の遮光膜104上に遮光領域用レジストパターン105を形成する。遮光領域用レジストパターン105は、遮光膜104上のみならず、補助パターン108を覆うようにしてもよい。次に、主パターン上の遮光膜103をエッチングして除去し(図10(c))、次いで遮光領域用レジストパターン105を剥離し、主パターン109を形成するとともに、補助パターン108を有し、フォトマスクの外周部に遮光領域としての遮光膜104を設けたハーフトーンマスク100を形成する(図10(d))。 
 上記の第4の実施形態によるフォトマスクの製造方法によれば、透明基板101表面に図11で説明したような段差を生じることがなく、マスク外周部に遮光領域を設けた、補助パターンを有する高品質のハーフトーンマスクを得ることができる。
 本発明のフォトマスクの製造方法である第2の実施形態および第3の実施形態においても、同様にしてマスク外周部などの所望する領域に遮光領域を設けることができる。 
(SRAFエッチング量とウェハ上SRAF寸法)
 次に、本発明の製造方法について、ライン/スペースパターンでピッチを変えたときの実施形態について、さらに詳しく説明する。
 SRAFをウェハ上に転写させないようにするためには、上記のように、SRAF光強度/スライスレベルが1以上であることが必要である。図12は、図3に示すCquad照明における実施形態において、10%の余裕をみてSRAF光強度/スライスレベル=1.1を満たすSRAFのエッチング量(マスク上)とSRAF CD(ウェハ上の寸法)との関係を示す図である。SRAFのエッチング量は、SRAF部の位相差に対応しており、SRAF部のエッチング量が大きくなるほどウェハ上に転写されたSRAF寸法は大きくなる。SRAFのエッチング量は、エッチング後のSRAF膜厚と主パターンの膜厚(半透明膜の初期膜厚:68nm)との膜厚差を示す。 
 図12において、図中に点線矢印で示すSRAFエッチング量が48nm以上の領域は、SRAF部の位相差が50度以下の領域(上記の特許文献2の発明に記載の範囲)に相当する。この場合、ウェハ上のSRAF CDは50nm以上となる。しかし、ウェハ上のSRAF寸法が50nm(4倍マスク上では200nm)以上では、主パターンとSRAFのスペースがマスク上で200nm以下と狭くなり、マスク製造工程におけるアライメントずれがほとんど許されないという厳しい値となる。現在のマスク製造のレーザ露光装置では、通常、アライメントずれを考慮したパターン間のスペースとして200nm以上が求めらているので、SRAF寸法が大きすぎてもマスク製造が困難となる。一方、SRAFエッチング量が24nm(ウェハ上のSRAF CDは20nm)未満では、SRAF寸法を十分に大きくできない。したがって、図12では、実線両矢印で示される領域がマスク製造を考慮した好ましいSRAFエッチング量領域である。 
(SRAFエッチング量誤差の主パターンCDへの影響)
 次に、SRAFのエッチング量に誤差を生じた場合、SRAFに隣接した主パターンCDへ与える影響について、図13により説明する。図13は、図3に示すCquad照明における実施形態において、SRAFエッチング量が28nm、38nm、48nmのときのエッチング量誤差に対するウェハ上の主パターンCD誤差を示し、SRAFエッチング量が大きいほど、ウェハ上の主パターンCD変動が大きいことがわかる。SRAFエッチング量が48nmのときには、僅かなエッチング誤差が繰り返し端の主パターンの寸法に大きく影響することが示されている。したがって、本発明においては、SRAFエッチング量48nm以上(特許文献2の位相差50度以下に相当)は製造工程上好ましくない範囲である。 
(SRAFエッチング量と繰り返し端主パターンへの影響)
 SRAFエッチング量を変えたとき、繰り返し端主パターンCDとデフォーカスへの影響、および光強度分布について説明する。
 図14は、図3に示すCquad照明における実施形態において、SRAFエッチング量を24nm~48nmの範囲で4nmごとに変えたとき、ウェハ上の繰り返し端の主パターンCDとデフォーカス(Defocus)との関係を示す図である。参考として、SRAF自体が無い場合、SRAFエッチングが無い場合も図示してある。SRAFエッチング量24nm~40nmの範囲では、デフォーカスの変化に対して主パターンCDの変動は比較的緩やかでほぼ同じ挙動を示す。しかし、SRAFエッチング量44nm、48nmでは、デフォーカスの変化に対して主パターンCDは大きな変動を示す。 
 図15は、図3に示すCquad照明における実施形態において、SRAFエッチング量を24nm~48nmの範囲で4nmごとに変えたとき、ウェハ上の繰り返し端の主パターンの光強度分布を示す。SRAFエッチング量24nm~40nmの範囲では、光強度分布の傾きは比較的大きくほぼ同じ挙動を示す。しかし、SRAFエッチング量44nm、48nmでは、光強度分布の傾きが小さくなり、主パターンの解像性が低くなることが示される。 
 したがって、図12~図15に示す結果より、SRAFエッチング量44nm以上は不適切な範囲であり、焦点深度を向上させ、高解像のパターンを形成するためには、SRAFエッチング量は24nm~40nmが好ましい範囲である。このエッチング量は、位相差115度~70度に相当する。位相差の測定は、上記の位相シフト量測定装置(レーザテック社製:MPM193)で行った。 
(主パターン間SRAFでの検証)
 次に、他の実施形態として主パターン間に補助パターン(SRAF)がある場合について、本発明を検証する。
 シミュレーション・ソフトウェアとしては、上記と同じくEM-Suite(商品名:Panoramic Technology社製)を用いた。主なシミュレーション条件は、ArFエキシマレーザ(193nm)を照明光源とし、NAは1.35、図16に示すクエーサ(Quasar;登録商標)瞳フィルタ161を用いた。同図(a)はQuasar161の平面模式図、同図(b)はQuasar161を用いてマスク163に露光光を照射したとき(Quasar照明と記す)の斜視模式図、同図(c)はマスクパターン164の平面模式図である。である。Quasarは、扇状光透過部の開口角30度、外径0.85、内径0.65(瞳フィルタの半径を1とする)とした。マスクとしては、モリブデンシリサイド系の露光波長193nmにおける透過率6%の本発明の補助パターンを有するハーフトーンマスク(6%ハーフトーン)を用いた。ウェハ上のターゲットCDは60nm、主パターン165の間にSRAF166が1本ずつあり、パターンピッチは最小ピッチ120nmからのスルーピッチ・ライン/スペースで、SRAF166はピッチ250nmとした。 
 図17は、図16に示すQuasar照明の実施形態において、SRAFのエッチング量(マスク上)とSRAF CD(ウェハ上の寸法)との関係を示す図である。図17において、図12と同様に、図中に点線矢印で示すSRAFエッチング量が48nm以上の領域は、SRAF部の位相差が50度以下の領域(上記の特許文献2の発明に記載の範囲)に相当する。本実施形態の場合には、図12に示したライン/スペース繰り返し端の主パターン、Cquadの条件に比べて、もともとのSRAF寸法がウェハ上で9nm(マスク上で36nm)と非常に小さいため、SRAFエッチング量が48nm以上の領域においても、ウェハ上のSRAF寸法が大きすぎるという問題は生じない。 
 図18は、図16に示すQuasar照明の実施形態において、マスク上のSRAFのエッチング量に誤差を生じた場合、ウェハ上の主パターンCDへ与える影響について示す図である。図13と同様に、SRAFエッチング量は28nm、38nm、48nmの場合を示す。図18が示すように、SRAFのエッチング量誤差に対してウェハ上の主パターンCD誤差は極めて小さい。 
 図19は、図16に示すQuasar照明の実施形態において、図14と同様に、SRAFエッチング量を24nm~48nmの範囲で4nmごとに変えたとき、主パターンCDとデフォーカス(Defocus)との関係を示す図である。参考として、SRAFが無い場合、SRAFエッチングが無い場合も図示してある。 
 図19に示されるように、SRAF無しに対して、図中の実線矢印に示すように、SRAFを設けることにより焦点深度は拡大する。しかし、SRAFエッチング無しの場合であっても、デフォーカスに対して非対称である。図中の点線矢印に示すように、SRAFのエッチング量を増やすほど、デフォーカスの非対称性は拡大していき、デフォーカスのマイナス側でウェハ上の主パターンCDは上がり、デフォーカスのプラス側でウェハ上の主パターンCDは下がり、ウェハ上の主パターンの寸法変動は非対称となる。例えば、エッチング量48nmとSRAFエッチング量を増すと、非対称性のため転写画像特性が悪くなる。図17~図19に示す結果より、本発明においては、SRAFエッチング量の上限を40nmに設定した。したがって、主パターン間SRAF(Quasar照明)の場合も、主パターン繰り返し端SRAF(Cquad照明)と同様に、本発明のフォトマスクが示す効果が検証された。 
 上記のように、本発明のフォトマスクの製造方法は、主パターンと補助パターンとが同一材料よりなる半透明膜で構成されているので、半透明膜の成膜工程が容易である。また、補助パターンを透過する光と透明基板の透明領域を透過する光の位相差を70度~115度の範囲の所定の位相差とし、補助パターンの半透明膜をドライエッチングし、主パターンと補助パターンの膜厚差を24nm~40nmの範囲の所定の膜厚差として、すなわち補助パターンのエッチング量として求めることにより、所望の補助パターンの位相差を容易に得ることができる。さらに、主パターンと補助パターンとの間のスペースをより広くし、アライメントずれの余裕度を上げた製造方法とすることができ、マスク製造の難度を上げずにパターンの転写特性を改善するフォトマスクを得ることができる。
C.フォトマスク
 本発明のフォトマスクは、透明基板の一主面上に、上記投影露光により転写対象面に転写される主パターンと、上記主パターンの近傍に形成され上記転写対象面に転写されない補助パターンとを設けたフォトマスクであって、上記主パターンと上記補助パターンとが同一材料よりなる半透明膜で構成されており、上記補助パターンの膜厚が上記主パターンの膜厚よりも薄く、膜厚差が24nm~40nmの範囲の所定の膜厚差であることを特徴とするものである。
 本発明のフォトマスクによれば、主パターンおよび補助パターンの膜厚差を所定の範囲とすることにより、補助パターンの一部が欠けたり、補助パターンが基板表面から剥がれたり、補助パターンがその線幅方向へ倒れたりする現象が発生することを抑制することができる。
 本発明のフォトマスクとしては、上述した、図1および図2に示されたフォトマスクと同様のものを例示することができる。
 また、本発明のフォトマスクは、露光光源として、短波長の露光光源を用いることが好ましい。このような短波長の露光光源としては、例えば、Arエキシマレーザ、KrFエキシマレーザ等のエキシマレーザ、および水銀灯のi線等を挙げることができ、中でも、エキシマレーザが好ましく、特にArエキシマレーザが好ましい。
 さらに、本発明のフォトマスクは、通常の照明による露光に用いられるフォトマスクであっても良く、変形照明による投影露光に用いられるフォトマスクであっても良い。なお、フォトマスクの部材について、およびその他の技術的特徴についての説明は、上記「A.フォトマスク」および上記「B.フォトマスクの製造方法」に記載した内容と同様であるので、ここでの記載は省略する。
D.フォトマスクの修正方法
 本発明のフォトマスクの修正方法が対象とするフォトマスクは、ArFエキシマレーザを露光光源とし、変形照明による投影露光に用いられるマスクであり、好ましくはウェハ上のハーフピッチが65nm以下、さらには45nm、32nmの微細な半導体素子形成に用いられることを対象とする補助パターンを有するマスクである。 
(補助パターンを有するフォトマスクの転写特性)
 本発明の修正方法について述べる前に、まず補助パターンを有するフォトマスクの転写特性について、ハーフトーンマスクを例にして説明する。本発明者は、ウェハ上にハーフピッチ45nm以下の細密パターンを形成するための補助パターンを有するハーフトーンマスクの転写特性を、バイナリマスクと比較しながら、シミュレーションにより調べた。 
 シミュレーションにおいては、マスクパターンの転写特性を見積もるためのシミュレーション・ソフトウェアとして、EM-Suite(商品名:Panoramic Technology社製)を用いた。主なシミュレーション条件は、上述した図3を用いて説明した内容と同様である。
 図4は、シミュレーションに用いた評価パターン(同図(a))と、評価パターンの位置に対応した光強度を示す空間像の図(同図(b))である。評価パターンの内容については、上述した図4を用いて説明した内容と同様である。
 また、上記の補助パターンを有するハーフトーンマスクにおいて、ライン/スペースパターンの端の補助パターン(SRAF)の転写性については、上述した通りである。
 図23は、上記のシミュレーションにより得られた主パターンと補助パターン(SRAF)の膜厚が同じ場合のハーフトーンマスクとバイナリマスクにおける、ウェハ上でのSRAFのCD(横軸)に対して、規格化された光強度閾値のスライスレベルに対するSRAF部の光強度の比(縦軸)を示す図である。ハーフトーンマスク(図中の三角点)は、主パターンのCDが3通り(ウェハ上で32nm;36nm;40nm)の場合を示す。上記の比が1以下であるとSRAFは転写されてしまうので、SRAFが転写されないようにするには上記の比を1を超えた値にしなければならない。図中に点線で示すハーフトーンマスクの主パターンCDが32nm(マスク上では128nm)のときには、SRAFのCDを14nm(マスク上では56nm)以下にしないとSRAFが解像してしまうことになる。 
 上記は、SRAFを有する6%ハーフトーンマスクを用いた場合のシミュレーション結果であり、ハーフトーンマスクの主パターンCDが32nmと微細なときには、SRAF寸法が極めて小さくなり、実際のマスク製造が困難であることがわかる。 
<本発明のフォトマスクの修正方法>
 次に、上記の結果を参考にしながら、本発明のフォトマスクの修正方法の実施形態について、図面に基づいて詳細に説明する。以下のマスクパターンの転写特性の説明では、上記の図3に示すCquad瞳フィルタ31を用い、シミュレーション・ソフトウェアとして、EM-Suite(商品名:Panoramic Technology社製)を用いた。主なシミュレーション条件は、ArFエキシマレーザ(193nm)を照明光源とし、NAは1.35である。評価パターンは、上記の図4(a)に示すパターンを用いている。 
 図25は、本発明の補助パターンを有するフォトマスクの修正方法の一実施形態の工程概要を示す断面模式図であり、ライン/スペースパターンを設けたハーフトーンマスクの場合を例示している。図25(a)は、修正前のフォトマスクの断面模式図であり、合成石英基板などの透明基板11上に、露光光を所定の透過率で透過し位相を変える主パターン12が設けられ、主パターン12は単層の半透明膜14で構成され、主パターン12の近傍に、主パターン12と同一材料で同一膜厚の半透明膜で構成された補助パターン(SRAF)13が形成されたハーフトーンマスク10である。図25では、主パターン12、補助パターン13ともに2本で、マスクパターンの一部しか示していないが、もとよりこれに限定されるわけではない。また、主パターンは孤立パターンまたは周期パターンであってもよい。 
 本実施形態の補助パターンを有するハーフトーンマスク10は、主パターン12を透過する露光光と透明基板11のパターンのない透明領域を透過する露光光とで180度の位相差を生じさせるように設定されている。位相差の測定は、位相シフト量測定装置(例えば、レーザテック社製:MPM193)で行うことができる。 
 ここで、図25(a)に示すハーフトーンマスク10は、ArFエキシマレーザを露光光源とし、変形照明による投影露光によりウェハ上にマスクパターンを転写したときに、主パターン12に近接した補助パターン13aおよび13bが、転写対象面のウェハ上に解像されてしまうマスクである。 
 図25(b)は、上記のウェハ上に補助パターン13aおよび13bが解像されてしまうフォトマスクの修正中の状態を示す断面模式図である。上記の転写対象面に解像されてしまう補助パターン13aおよび13bは、マスク上に本来あってはならない不要な余剰欠陥いわゆる「黒欠陥」と呼ばれている欠陥とは異なる性質のものであり、ウェハ上へのマスクパターン形成にとって必要不可欠な領域である。マスク上の転写対象面に解像されてしまう補助パターン13aおよび13bは、黒欠陥を検出する従来のマスク欠陥検査装置では欠陥として検出することができない。解像されてしまう補助パターン13aおよび13bの検出は、例えば、エアリアルイメージ測定システム(Aerial Image Measurement System:カールツァイス社製、AIMS(登録商標)と略称される。以後、AIMSとも記す。)などのリソグラフィシミュレーション顕微鏡を用いた検証や、実際の露光装置での露光テストで行うことができる。 
 本発明の修正方法においては、転写対象面に解像されてしまう補助パターン13aおよび13bの該当する領域の表面をエッチングもしくは研削し、補助パターン13aおよび13bが転写対象面であるウェハ上に解像されなくなるまで、補助パターン13aおよび13bの該当する領域の膜厚を薄くするものである。図25(b)は、一例として、電子ビームマスク修正装置により、ウェハ上に解像されてしまう補助パターン13aの領域をエッチングして膜厚を薄くして修正している場合を例示している。 
 修正においては、必ずしも1ラインあるいは複数ラインの補助パターン全面をエッチングして薄膜化する必要はなく、解像されてしまう補助パターンの領域のみをエッチングして膜厚を薄くすればよい。もとより、1ラインの補助パターン全面が転写されてしまう場合には、1ライン全面の膜厚を薄くすればよく、複数のラインの補助パターン全面が転写されてしまう場合には、複数のライン全面の膜厚を薄くすればよい。 
 上記の転写対象面に解像されてしまう補助パターン13aおよび13bの表面をエッチングもしくは研削する工程において、補助パターン13aをエッチングもしくは研削して取り除くべき膜厚は、あらかじめシミュレーションにより求めておくことができる。 
 図25(c)は、転写対象面に解像されてしまう補助パターン13aおよび13bの表面をエッチングして膜厚を薄くして補助パターン13a´、13b'とした修正後のフォトマスクの状態を示す断面模式図である。修正箇所は、エッチングにより膜厚差Tだけ修正前の膜厚よりも薄くなっている。 
 本発明において、上記の転写対象面に解像されてしまう補助パターンの領域の膜厚を薄くする方法としては、従来フォトマスク上の黒欠陥の修正に用いられてきた各種の方法を適用することができる。例えば、集束イオンビーム(FIB)マスク修正装置のイオンビームを用いたガスアシスト・エッチング方法、あるいは上記の図25(b)に示すように、電子ビーム(EB)マスク修正装置の電子ビーム(EB)を用いたガスアシスト・エッチング方法、あるいは原子間力顕微鏡(AFM)の探針を用いて欠陥を有する補助パターンを物理的に研削する方法、あるいはマスク上にレジストパターンを形成して補助パターンの欠陥領域のみを露出させ、ドライエッチングにより選択的に欠陥領域の膜厚を薄くする方法などが用いられる。 
 しかし、上記の方法のうち、FIBマスク修正装置によるガスアシスト・エッチング方法は、イオンビームとして通常用いるガリウムが透明基板に打ち込まれガリウムステインという現象により修正部位の光透過率を低下させたり、あるいは修正部位の周辺の透明基板にオーバーエッチングによるリバーベッドと呼ばれる透明基板の掘り込み現象が発生しやすい。また、レジストパターンを形成する方法は、レジスト塗布、パターン描画、レジスト剥膜の工程が必要であり、修正工程が長くなるという問題を生じる。 
 一方、EBマスク修正装置によるガスアシスト・エッチング方法は、一例として、図25(b)に示すように、欠陥を有する補助パターン13aに絞り込まれて走査する電子ビーム16の近くのガスノズル15から、エッチングに最適なアシストガスが吐出され、ガスの分子が修正すべき補助パターン13a表面に付着し、電子ビームにより化学反応が引き起こされ、補助パターン材料を揮発性の物質に変えてエッチングが行われる方法で、微細パターンに適し、修正箇所に損傷を与えない方法である。修正箇所の確認は、EBマスク修正装置に備えられたSEMで行う。上記のEBマスク修正装置としては、例えば、MeRiT 65(カールツアイス社製)などがある。 
 また、AFMの探針で研削する方法は、ダイヤモンド針などの硬い探針に一定の加重をかけて、欠陥を直接削り取る方法で、カンチレバーの先端に探針を取り付け、原子間力顕微鏡の原理を用いてカンチレバーを制御している。修正箇所の確認は、AFMに備えられたSEMで行い、修正すべき欠陥を有する補助パターン表面を探針で走査し、次に欠陥そのものを探針で削り取る方法である。微細パターンの修正に適し、削除する面積、膜厚が小さい場合に適する方法である。上記のAFMの探針を用いたマスク修正装置としては、例えば、RAVEnm650(RAVE社製)などがある。 
 したがって、本発明においては、微細パターンを形成した補助パターンの膜厚を薄くする方法としては、上記のEBマスク修正装置によるガスアシスト・エッチング方法あるいはAFMの探針で研削する方法がより好ましい。
 本発明において、図25(c)に示すように、エッチングもしくは研削して薄くした修正後の補助パターン13a´、13b'の膜厚と、修正前の補助パターン13a、13bの膜厚との膜厚差T(図中のSRAF膜厚差)は、1nm~40nmの範囲であることが好ましい。膜厚の測定は、原子間力顕微鏡(AFM)で行うことができる。 
 補助パターンは、本来、転写対象面に解像しないようにマスク設計して作製されているので、ウェハ上に転写されてしまう補助パターンはその一部分であることが多く、また修正すべき膜厚は非常に薄くてよい場合が多い。したがって、修正すべき膜厚は僅かな場合もあり得ることであり、本発明では修正すべき膜厚の下限を修正可能な1nmとしている。修正膜厚1nm未満では、修正の効果を確認し得ないからである。一方、補助パターンの特性上、修正すべき膜厚の上限を40nmとしている。修正すべき膜厚が40nmを超えてしまうと、SRAFによる主パターンの解像度向上効果や焦点深度拡大効果など、本来のSRAFの機能が低減あるいは消滅してしまうからである。 
(本発明の修正方法を適用し得るフォトマスク)
 本発明のフォトマスクの修正方法は、補助パターンを有するマスクならば、ハーフトーンマスク、バイナリマスクのいずれのマスクにも用いることができ、特に限定されることはないが、図26に、補助パターンを有するハーフトーンマスクおよびバイナリマスクの代表的なマスクを例示しながら説明する。図26において、同じ部位を示す場合には同じ符号を用いている。もとより本発明のフォトマスクの修正方法は、図26に示すフォトマスクに限定されるわけではない。 
 図26(a)~図26(d)は、本発明の修正方法を適用し得る主パターンと補助パターンとが半透明膜で構成されているハーフトーンマスクの例を示す部分断面模式図である。図26(a)~図26(d)のフォトマスクは、透明基板41上に主パターン42と補助パターン43とが設けられており、いずれのマスクも、主パターン42を透過する露光光と透明基板41の透明領域を透過する露光光とで180度の位相差を生じる膜厚であるように、主パターン42の膜厚が設定されている。 
 さらに、図26(a)は、主パターン42と補助パターン43とが同一の半透明膜で同一の膜厚で構成されているハーフトーンマスクである。図26(b)は、主パターン42と補助パターン43とが同一の半透明膜で構成され、補助パターン43を透過する露光光と透明基板41の透明領域を透過する露光光に70度~115度の範囲の所定の位相差を生じさせるようにしたマスクであり、補助パターン43の膜厚を主パターン42の膜厚よりも薄くしたマスクである。図26(c)は、主パターン42が透明膜/半透明膜の2層で構成され、補助パターン43が半透明膜よりなるマスクであり、半透明膜層の膜厚は主パターン42と補助パターン43とも同じである。図26(d)は、主パターン42が半透明膜/半透明膜の2層で構成され、補助パターン43が半透明膜よりなるマスクであり、透明基板41に接した半透明膜層の膜厚は主パターン42と補助パターン43とも同じである。 
 上記の図26(a)~図26(d)に示した補助パターンを有するマスクは、代表的な例であり、本発明の修正方法を適用し得るマスクとしては、主パターンと補助パターンとが半透明膜/半透明膜の2層で構成したマスクなどにも適用することができる。 
 図26(e)および図26(f)は、主パターンが露光光を遮光する遮光膜で構成されているバイナリマスクの例を示す部分断面模式図である。図26(e)は、透明基板41上に主パターン42が遮光膜/半透明膜の2層で構成され、補助パターン43が半透明膜よりなるマスクであり、半透明膜層の膜厚は主パターン42と補助パターン43とも同じである。図26(f)は、主パターン42と補助パターン43とが同一の遮光膜で同一の膜厚で構成されているマスクである。 
 上記のように、本発明の修正方法を適用するフォトマスクにおける主パターンおよび補助パターンの半透明膜は、露光光を所定の透過率で透過する半透明の薄膜を意味するものであり、薄膜が半透明の単層膜、あるいは半透明膜と透明膜もしくは透過率の異なる他の半透明膜との2層膜以上の構成であってもよい。また、本発明の修正方法を適用するフォトマスクにおける主パターンおよび補助パターンの遮光膜は、露光光を遮光する薄膜を意味するものであり、薄膜が遮光膜の単層膜、あるいは遮光膜と半透明膜を有する2層膜以上の構成であってもよい。 
 本発明のフォトマスクの修正方法において、図26(a)~図26(e)に示すマスクの主パターン42および補助パターン43を構成する半透明膜としては、材料として特に限定されるわけではないが、例えば、モリブデンシリサイド系材料であるモリブデンシリサイド酸化膜(MoSiO)、モリブデンシリサイド窒化膜(MoSiN)、モリブデンシリサイド酸化窒化膜(MoSiON)などの半透明膜、クロム系材料である酸化クロム膜(CrO)、酸化クロム膜(CrN)、酸化窒化クロム膜(CrON)などの半透明膜、酸化スズ(SnO2)などの半透明膜が挙げられる。モリブデンシリサイド系半透明膜はハーフトーンマスク材料として実用されており、より好ましい材料である。図26(c)に示す主パターン42を構成する透明膜としては、酸化シリコン膜(SiO)などが挙げられる。図26(e)に示す主パターン42を構成する遮光膜、および図26(f)に示すマスクの主パターン42および補助パターン43を構成する遮光膜としては、クロム膜(Cr)などの金属薄膜、モリブデンシリサイド(MoSi)などの金属シリサイド薄膜が挙げられる。 
 図25(b)に示す電子ビームマスク修正機の電子ビームを用いたガスアシスト・エッチング工程において、主パターン42および補助パターン43を構成する半透明膜が、例えばモリブデンシリサイド系材料の半透明膜の場合には、CF、CHF、Cなどのフッ素系ガス、あるいはこれらの混合ガス、あるいはこれらのガスに酸素を混合したガスをアシストガスとして用いて選択的にエッチングを行い、補助パターンの膜厚を選択的に薄くすることができる。また、主パターン42および補助パターン43を構成する遮光膜が、例えばクロムの場合には、Clと酸素の混合ガスをアシストガスとして用いて選択的にエッチングを行い、補助パターンの膜厚を選択的に薄くすることができる。 
(修正後の補助パターンの転写性)
 次に、図25に示した本発明のフォトマスクの修正方法により、補助パターン(SRAF)表面をエッチングもしくは研削し、補助パターンの膜厚を薄く修正した薄膜化の効果について説明する。マスクとしては、一例として、図26(a)に示す部分断面模式図の形状で、膜厚68nmのモリブデンシリサイドを半透明膜とし、主パターン(膜厚68nm)がArFエキシマレーザ光(193nm)の透過率6%、透明基板の透明領域との位相差180度であり、修正前の補助パターンの膜厚も68nmであるハーフトーンマスクを例にして説明する。 
 シミュレーション・ソフトウェアとしては、EM-Suite(商品名:Panoramic Technology社製)を用いた。主なシミュレーション条件は、照明光源ArFエキシマレーザ(193nm)、NA1.35、変形照明とし、Cquad瞳フィルタを用い、Cquad21は、扇状光透過部の開口角35度、外径0.9、内径0.7(瞳フィルタの半径を1とする)とした。マスクには、上記のハーフトーンマスクの値を用いた。 
 図5は、シミュレーションにより得られた結果であり、ウェハ上での主パターンのCDが32nmの上記のハーフトーンマスクにおいて、SRAFのCDを変えたとき、SRAF膜厚差(横軸)とSRAFの光強度/規格化された光強度閾値のスライスレベル(縦軸)との関係を示す図である。図5においては、SRAFの光強度/スライスレベルを1以上にしないと、SRAFがウェハ上に解像してしまうことを示す。 
 図5が示すように、SRAFのCDが14nm(マスク上では56nm)と微細なときには、修正後のSRAF膜厚差が0と同じ(修正がされなかった場合と同じである)であっても、SRAFの光強度/スライスレベルは1以上であり、本来的にSRAFは転写されないことになる。次に、SRAFのCDが22nm(マスク上では88nm)のときには、修正後のSRAFの膜厚差が24nm以上であれば、SRAFは解像せず転写されないことが示される。同様に、SRAFのCDが26nm(マスク上で104nm)のとき、修正後のSRAFの膜厚差30nm以上、SRAFのCDが30nm(マスク上で88nm)のとき、修正後のSRAFの膜厚差が34nm以上であれば、SRAFは転写されない。 
 上記の図5および図23で説明したように、主パターンと補助パターン(SRAF)が同一材料、同一膜厚で構成されたハーフトーンマスクでは、主パターンのCDを32nmとするとSRAFのCDが14nm以下でしかSRAFが用いられなかったが、上記のように、転写されてしまうSRAFを薄膜化する本発明の修正方法を適用することにより、SRAFのCDを26nm~30nmと2倍程度に大きい寸法としても、SRAFが解像せず転写されないで使用することも可能となる。本発明の修正方法により、従来微細化が難しく使用することが困難であったSRAFを有するハーフトーンマスクの使用可能性が広くなる。 
 次に、SRAFを修正により薄くしたときの影響について述べる。図6は、シミュレーションにより得られたSRAFのCDを変えたとき、ウェハ上の主パターン端のラインのCDとデフォーカス(Defocus:焦点位置変動)との関係を示す図である。それぞれのSRAFのCDに対して、SRAFが解像しないようにエッチングによる修正により膜厚を薄くして、修正前の膜厚との膜厚差(SRAF膜厚差:24nm、32nm、40nm)を有している。図6に示されるように、SRAFのCDを22nm~30nm(ウェハ上)と大きくし、SRAFの膜厚を薄くする修正を行うことにより、フォーカスを振ったときの各SRAF寸法間におけるCD変動はなく、ほぼ同じ傾向を示す。すなわち、本発明の修正方法によるSRAFの薄膜化は、デフォーカスに対して悪影響はなく、修正をしていないSRAFのCD14nmの場合と同様の寸法精度が得られることが示される。 
 上記の実施形態においては、補助パターン(SRAF)を有するフォトマスクとして、主パターンの両端にSRAFを有するマスク形態を例に説明をしてきたが、本発明はこれに限定されるわけではなく、主パターン間にSRAFがあるマスク形態、あるいは主パターンが孤立パターンであるマスク形態などにおいても、本発明のフォトマスクの修正方法を適用することが可能である。 
 本発明のフォトマスクの修正方法によれば、補助パターンが転写対象面に解像して転写されてしまう場合のフォトマスクの修正方法において、補助パターン表面をエッチングもしくは研削し、補助パターンが転写対象面に解像されなくなるまで、補助パターンの膜厚を薄くすることで、補助パターンが解像して転写されてしまう問題を解決し、かつ、補助パターンとしての焦点深度拡大効果を保ちつつ、コントラストの高い転写画像を形成するフォトマスクに修正することができる。本発明のフォトマスクの修正方法は、補助パターンの線幅方向にマスクパターンを修正する従来のフォトマスクの修正方法とは異なり、補助パターンの厚み方向にマスクパターンを修正する方法であって、補助パターンを有するフォトマスクを確実で比較的容易な方法で修正することが可能となる。 
E.修正されたフォトマスク
<本発明の修正されたフォトマスク>
 本発明の修正されたフォトマスクは、上記のフォトマスクの修正方法により補助パターンが修正されたフォトマスクであって、一例として、図25(c)に示すように、エッチングもしくは研削して薄くした修正後の補助パターン13a´および13b'を備え、修正前の補助パターンの膜厚との膜厚差(図中のSRAF膜厚差:T)を有するものである。本発明のフォトマスクは、補助パターンが転写対象面に解像されて転写されてしまうフォトマスクを、補助パターンを膜厚の厚み方向に修正することにより、補助パターンが転写対象面に解像し転写されないようにし、焦点深度拡大効果を保ちつつ、コントラストの高い転写画像を形成することができる。
 以下、実施例により本発明を説明する。
 ArFエキシマレーザ(波長193nm)用マスクとして、補助パターンを有するMoSi系の193nmにおける透過率6%のハーフトーンマスクを作製した。ウェハ上のターゲットライン寸法は45nm、パターンはピッチ90nm(マスク上でピッチ360nm)のライン/スペース繰り返しパターンで、図4に示すパターンを形成した。ウェハ上において、主パターンとしてハーフピッチ45nmのライン/スペースが9本、端の主パターンの解像性を向上させるために、主パターンの両端にSRAFを2本(SRAFのピッチ90nm)入れている。主パターン、SRAFともに上記の6%ハーフトーンで構成され、マスク上のパターンの膜厚はともに68nmとした。マスク上における主パターンのCDおよびSRAFのCDは、ともに128nmとした。 
 上記のハーフトーンマスクを用いてArFエキシマレーザ露光を行った。露光系のNAは1.35、変形照明として、図3に示すCquad瞳フィルタを用いた。しかし、ウェハ上に転写されてはならないSRAFパターンが、ウェハ上に解像してしまうという問題が生じた。 
 そこで、SRAFをエッチングもしくは研削して膜厚を薄くするべく、あらかじめシミュレーションにより転写特性の見積りを行った。また、リソグラフィシミュレーション顕微鏡AIMS45-193i(カールツァイス社製)を上記の露光系と同一の露光条件にて用い、SRAFの膜厚を薄くしたときのウェハへの転写特性の検証を行った。 
 まず、テスト試料によりSRAFのエッチング条件の確認を行った。パターンはマスク上でピッチ360nm(ウェハ上で90nm)のライン/スペースパターンで、主パターンの両端にSRAFを2本設けた。図27は、EBマスク修正装置MeRiT65(カールツアイス社製)を用い、CFをアシストガスとしてガスアシスト・エッチングを行い、石英基板上のMoSi薄膜のSRAF部(S1とS2)を部分的に30nmエッチングした後のSEM平面写真である。図27の破線内の領域が、エッチングにより薄膜化処理した部分であり、エッチングした領域は、微視的にはエッチングされていない領域とSEM写真上僅かな差異が認められるが、良好な表面状態を示している。 
 図28は、上記のテスト試料でSRAF部(S1とS2)を部分的に30nmエッチングした後のリソグラフィシミュレーション顕微鏡AIMS(AIMS45-193i;カールツァイス社製)による検証画像で、ウェハ上光強度分布の平面状態を示す。図28の破線内がエッチングにより薄膜化処理したSRAF部分に相当し、この部分の光強度が上がり、レジストパターンが解像しないことが示されている。 
 AIMSを用いたシミュレーションによる転写特性の検証結果を図29~図34に示す。図29は、SRAFを薄くする前のマスクパターンの位置に対応した光強度を示す空間像の図であり、一組のパターン両端片側のSRAF(S1、S2)と主パターンの一部が示されている。図29では、横軸に主パターンの一部とSRAFの一組のパターン位置、縦軸にパターンがない透過部の光強度を1としたときの規格化した光強度を示している。光強度プロファイルが複数表示されているのは、SRAFの効果を見るためにフォーカス(焦点深度)を変えた場合を示している。図29に示すように、光強度が0.25~0.57の範囲において、スライスレベルをどこにとっても、SRAFのうちのS1はウェハ上に解像してしまい、さらに、スライスレベルを0.4以上にすると、S2も解像してしまうことが示された。 
 図30は、図29の部分拡大図で、フォーカスを変えたときの繰り返し端主パターンと補助パターンS1のSRAF薄膜化処理前のパターン位置と光強度との関係を示す空間像の図である。ライン/スペース繰り返し部の主パターンのCDが45nmとなる光強度閾値を0.42とした。図30では、図29で述べたように、SRAFの効果を見るためにフォーカスを変えた場合の5本の光強度プロファイルを示している。図30が示すように、SRAFのうちのS1はフォーカスに係らずに解像してしまう。 
 ここで図31は、図30の比較参考として、SRAFが無い場合の繰り返し端の主パターンと補助パターンS1のパターン位置と光強度との関係を示す空間像の図である。図31が示すように、SRAFが無いと繰り返し端の主パターンはほとんど解像しない。 
 次に、SRAFを修正により薄膜化した場合の転写特性をシミュレーションにより見積った。図32は、シミュレーションによる転写特性の見積り結果であり、SRAFを30nmほど薄くした後のマスクパターンの位置に対応した光強度を示す空間像の図である。SRAFを薄膜化したことにより、SRAFによる光量が拡大し、SRAFの光強度の最小値は大きくなり、0.25~0.55の範囲においてSRAFパターンは解像しないことが示され、光強度のスライスレベル選定の余裕度が拡大した。また、SRAFを薄膜化しても、主パターンの光学像は低下しないことが確認された。 
 図33は、図27、図28の破線部内の状況を示し、図32の部分拡大図であり、繰り返し端主パターンと補助パターンS1のSRAF薄膜化処理後のパターン位置と光強度との関係を示す空間像の図である。図33では、SRAFの効果を見るためにフォーカスを変えた場合の光強度プロファイルを示している。図33が示すように、SRAFを薄膜化することにより、SRAFのS1は解像しなくなる。 
 図34は、SRAF薄膜化処理をした後の繰り返し端主パターンの焦点深度を示す図である。上記のように、ライン/スペース繰り返し部の主パターンのCDが45nmとなる光強度〔a.u.〕閾値を0.42とした。比較のために、SRAFが無い場合も図示してある。SRAFが無い場合(no)は解像するフォーカス範囲が狭いが、薄膜化したSRAFを設けた場合(thin)には、解像するフォーカス範囲が広いことが示された。また、SRAFを解像しないようにしながら、SRAFとしての効果を保っていることが示された。 
 上記のエッチングテスト結果およびシミュレーション結果に基づいて、ウェハ上に解像してしまうSRAFパターンを有する上記のハーフトーンマスクのSRAF部を修正した。上記のテスト試料と同じエッチング条件により、1組の主パターン端の両側にある各2本のSRAF表面全面をエッチングして、初期膜厚との膜厚差が30nmとなるまで薄膜化した。 
 上記のSRAFの膜厚を薄膜化して修正したハーフトーンマスクを用いて、再度、ArFエキシマレーザ露光を行ったところ、SRAFはまったく解像されずに、主パターンのみがウェハ上に高解像で転写された。また、露光時における焦点深度拡大効果も得られた。
 10、20 ハーフトーンマスク
 11、21 透明基板
 12、22 主パターン
 13、13a、13a´、13b、13b'、23 補助パターン(SRAF)
 14 半透明膜
 15 ガスノズル
 16 電子ビーム
 24 下層の半透明膜(エッチング停止層)
 25 上層の半透明膜
 31、161 瞳フィルタ
 32、162 照明光
 33、163 マスク
 41 透明基板
 42 主パターン
 43 補助パターン(SRAF)
 164 マスクパターン
 165 主パターン
 166 SRAF
 70、80、90、100 ハーフトーンマスク
 71、81、91、101 透明基板
 72、82、102 半透明膜
 73、83、93、103 遮光膜
 74、84 第1のレジストパターン
 75、85、95 主パターン部
 76、86、96 補助パターン部
 77、87 第2のレジストパターン
 78、88、98 補助パターン
 79、89、99 主パターン
 92a 下層の半透明膜(エッチング停止層)
 92 上層の半透明膜
 94a 第1のレジストパターン
 94b 第2のレジストパターン
 94c 第3のレジストパターン
 104 遮光膜
 105 遮光領域用レジストパターン
 110 従来製造法のハーフトーンマスク
 111 透明基板
 112 半透明膜
 113 遮光膜
 114 第1のレジストパターン
 115 主パターン部
 116 補助パターン部
 117 第2のレジストパターン
 118 補助パターン
 119 主パターン
 121 透明基板表面の段差
 1 主パターン
 2 半透明補助パターン
 301 透明基板
 302 半透明膜
 304 透明膜

Claims (22)

  1.  ArFエキシマレーザを露光光源とし、変形照明による投影露光に用いられるフォトマスクにおいて、該フォトマスクが、透明基板の一主面上に、前記投影露光により転写対象面に転写される主パターンと、前記主パターンの近傍に形成され前記転写対象面に転写されない補助パターンとを設けたフォトマスクであって、
     前記主パターンと前記補助パターンとが同一材料よりなる半透明膜で構成されており、
     前記主パターンを透過する光と前記透明基板の透明領域を透過する光に180度の位相差を生じさせ、かつ前記補助パターンを透過する光と前記透明基板の透明領域を透過する光に70度~115度の範囲の所定の位相差を生じさせることを特徴とするフォトマスク。
  2.  前記補助パターンの膜厚が前記主パターンの膜厚よりも薄く、膜厚差が24nm~40nmの範囲の所定の膜厚差であることを特徴とする請求の範囲第1項に記載のフォトマスク。
  3.  前記膜厚差がドライエッチングにより形成されたことを特徴とする請求の範囲第2項に記載のフォトマスク。
  4.  前記補助パターンの露光光透過率が15%~29%の範囲の所定の透過率であることを特徴とする請求の範囲第1項から第3項までのいずれかに記載のフォトマスク。
  5.  前記同一材料よりなる半透明膜が単層の半透明膜または2層の半透明膜よりなることを特徴とする請求の範囲第1項から第4項までのいずれかに記載のフォトマスク。
  6.  前記単層の半透明膜がモリブデンシリサイド系材料の半透明膜であり、前記2層の半透明膜が前記透明基板上にクロム系材料の半透明膜、モリブデンシリサイド系材料の半透明膜を順に設けたものであることを特徴とする請求の範囲第5項に記載のフォトマスク。
  7.  請求の範囲第1項から第6項までのいずれかに記載のフォトマスクにおいて、前記フォトマスクの外周部に遮光領域が形成されていることを特徴とするフォトマスク。
  8.  前記主パターンおよび前記補助パターンがいずれもラインパターンであり、前記主パターンが孤立パターンまたは周期パターンであることを特徴とする請求の範囲第1項から第7項までのいずれかに記載のフォトマスク。
  9.  ArFエキシマレーザを露光光源とし、変形照明による投影露光に用いられ、透明基板の一主面上に、前記投影露光により転写対象面に転写される主パターンと、前記主パターンの近傍に形成され前記転写対象面に転写されない補助パターンとを設けたフォトマスクの製造方法であって、
     (a)前記透明基板の一主面上に半透明膜、遮光膜を順に形成し、前記半透明膜を透過する光と前記透明基板の透明領域を透過する光の位相差がほぼ180度となる膜厚とする工程と、
     (b)前記遮光膜上に第1のレジストパターンを形成し、前記遮光膜および前記半透明膜を順にドライエッチングし、主パターン部と補助パターン部を形成する工程と、
     (c)前記第1のレジストパターンを剥離し、次に前記遮光膜上に第2のレジストパターンを形成し、前記補助パターン部の遮光膜をエッチングして除去する工程と、
     (d)前記第2のレジストパターンを剥離し、次に前記透明基板の一主面上全面をドライエッチングし、前記補助パターンを透過する光と前記透明基板の透明領域を透過する光が70度~115度の範囲の所定の位相差となる膜厚まで、前記補助パターン部の半透明膜をドライエッチングして補助パターンを形成する工程と、
     (e)前記主パターン部の遮光膜をエッチングして除去して主パターンを形成し、前記主パターンを透過する光と前記透明基板の透明領域を透過する光に180度の位相差を生じさせる工程と、
     を含むことを特徴とするフォトマスクの製造方法。
  10.  請求の範囲第9項に記載のフォトマスクの製造方法において、工程(b)の前記半透明膜のドライエッチングが前記半透明膜の膜厚の途中までのハーフエッチングであることを特徴とするフォトマスクの製造方法。
  11.  ArFエキシマレーザを露光光源とし、変形照明による投影露光に用いられ、透明基板の一主面上に、前記投影露光により転写対象面に転写される主パターンと、前記主パターンの近傍に形成され前記転写対象面に転写されない補助パターンとを設けたフォトマスクの製造方法であって、
     (a)前記透明基板の一主面上に半透明膜、遮光膜を順に形成し、前記半透明膜が2層の半透明膜よりなり、前記透明基板側の下層の半透明膜が上層の半透明膜のエッチング停止層を兼ね、前記2層の半透明膜を透過する光と前記透明基板の透明領域を透過する光の位相差がほぼ180度となる膜厚とする工程と、
     (b)前記遮光膜上に第1のレジストパターンを形成し、前記遮光膜および前記2層の半透明膜を順にドライエッチングし、主パターン部と補助パターン部を形成する工程と、
     (c)前記第1のレジストパターンを剥離し、次に前記遮光膜上に第2のレジストパターンを形成し、前記補助パターン部の遮光膜をエッチングして除去する工程と、
     (d)前記第2のレジストパターンを剥離し、次に前記透明基板の一主面上全面をドライエッチングし、前記補助パターンを透過する光と前記透明基板の透明領域を透過する光が70度~115度の範囲の所定の位相差となる膜厚まで、前記補助パターン部の半透明膜をドライエッチングして補助パターンを形成する工程と、
     (e)前記主パターン部の遮光膜をエッチングして除去して主パターンを形成し、前記主パターンを透過する光と前記透明基板の透明領域を透過する光に180度の位相差を生じさせる工程と、を含むことを特徴とするフォトマスクの製造方法。
  12.  前記補助パターンと前記主パターンとの膜厚差が、24nm~40nmの範囲の所定の膜厚差であることを特徴とする請求の範囲第9項から第11項までのいずれかに記載のフォトマスクの製造方法。
  13.  請求の範囲第9項から第12項までのいずれかに記載のフォトマスクの製造方法において、前記補助パターンを形成する工程(d)の後に、遮光領域用レジストパターンを形成し、前記主パターン上の遮光膜をドライエッチングして除去し主パターンを形成するとともに、前記フォトマスクの外周部に遮光領域を形成する工程、をさらに含むことを特徴とするフォトマスクの製造方法。
  14.  透明基板の一主面上に、前記投影露光により転写対象面に転写される主パターンと、前記主パターンの近傍に形成され前記転写対象面に転写されない補助パターンとを設けたフォトマスクであって、
     前記主パターンと前記補助パターンとが同一材料よりなる半透明膜で構成されており、
     前記補助パターンの膜厚が前記主パターンの膜厚よりも薄く、膜厚差が24nm~40nmの範囲の所定の膜厚差であることを特徴とするフォトマスク。
  15.  ArFエキシマレーザを露光光源とし、変形照明による投影露光に用いられ、透明基板の一主面上に、前記投影露光により転写対象面に転写される主パターンと、前記主パターンの近傍に形成された補助パターンとを有するフォトマスクにおいて、前記投影露光により前記補助パターンが前記転写対象面に解像されてしまう場合のフォトマスクの修正方法であって、
     前記解像されてしまう補助パターンの表面をエッチングもしくは研削し、前記補助パターンが前記転写対象面に解像されなくなるまで、前記解像されてしまう補助パターンの膜厚を薄くすることを特徴とするフォトマスクの修正方法。
  16.  前記エッチングもしくは研削して薄くした修正後の前記補助パターンの膜厚と、修正前の前記補助パターンの膜厚との膜厚差が、1nm~40nmの範囲であることを特徴とする請求の範囲第15項に記載のフォトマスクの修正方法。
  17.  前記エッチングが電子ビームマスク修正機の電子ビームを用いたガスアシスト・エッチングであり、前記研削が原子間力顕微鏡の探針を用いた研削であることを特徴とする請求の範囲第15項または第16項に記載のフォトマスクの修正方法。
  18.  前記主パターンと前記補助パターンとが半透明膜で構成されており、前記主パターンの膜厚が、前記主パターンを透過する光と前記透明基板の透明領域を透過する光とで180度の位相差を生じる膜厚であることを特徴とする請求の範囲第15項から第17項までのいずれかに記載のフォトマスクの修正方法。
  19.  前記主パターンが遮光膜から構成され、前記補助パターンが半透明膜よりなることを特徴とする請求の範囲第15項から第17項までのいずれかに記載のフォトマスクの修正方法。
  20.  前記主パターンと前記補助パターンとが遮光膜で構成されていることを特徴とする請求の範囲第15項から第17項までのいずれかに記載のフォトマスクの修正方法。
  21.  前記主パターンおよび前記補助パターンがいずれもラインパターンであり、前記主パターンが孤立パターンまたは周期パターンであることを特徴とする請求の範囲第15項から第20項までのいずれかに記載のフォトマスクの修正方法。
  22.  請求の範囲第15項から第21項までのいずれかに記載のフォトマスクの修正方法により補助パターンが修正され、修正後の前記補助パターンの膜厚が修正前の前記補助パターンの膜厚よりも薄いことを特徴とするフォトマスク。
PCT/JP2010/051635 2009-02-16 2010-02-04 フォトマスク、フォトマスクの製造方法及び修正方法 WO2010092901A1 (ja)

Priority Applications (9)

Application Number Priority Date Filing Date Title
KR1020117018799A KR101420907B1 (ko) 2009-02-16 2010-02-04 포토마스크, 포토마스크의 제조 방법 및 수정 방법
EP10741178.7A EP2397900B1 (en) 2009-02-16 2010-02-04 Photomask and method for manufacturing a photomask
CN2010800070220A CN102308256B (zh) 2009-02-16 2010-02-04 光掩模、光掩模的制造方法及修正方法
US13/147,634 US8974987B2 (en) 2009-02-16 2010-02-04 Photomask and methods for manufacturing and correcting photomask
KR1020137011701A KR101396078B1 (ko) 2009-02-16 2010-02-04 포토마스크, 포토마스크의 제조 방법 및 수정 방법
US14/607,541 US9519211B2 (en) 2009-02-16 2015-01-28 Photomask and methods for manufacturing and correcting photomask
US15/341,480 US10048580B2 (en) 2009-02-16 2016-11-02 Photomask and methods for manufacturing and correcting photomask
US16/033,596 US10394118B2 (en) 2009-02-16 2018-07-12 Photomask and methods for manufacturing and correcting photomask
US16/508,917 US10634990B2 (en) 2009-02-16 2019-07-11 Photomask and methods for manufacturing and correcting photomask

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2009-033216 2009-02-16
JP2009033216A JP5104774B2 (ja) 2009-02-16 2009-02-16 フォトマスクおよびその製造方法
JP2009207682A JP5104832B2 (ja) 2009-09-09 2009-09-09 フォトマスクの修正方法および修正されたフォトマスク
JP2009-207682 2009-09-09

Related Child Applications (2)

Application Number Title Priority Date Filing Date
US13/147,634 A-371-Of-International US8974987B2 (en) 2009-02-16 2010-02-04 Photomask and methods for manufacturing and correcting photomask
US14/607,541 Division US9519211B2 (en) 2009-02-16 2015-01-28 Photomask and methods for manufacturing and correcting photomask

Publications (1)

Publication Number Publication Date
WO2010092901A1 true WO2010092901A1 (ja) 2010-08-19

Family

ID=42561743

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2010/051635 WO2010092901A1 (ja) 2009-02-16 2010-02-04 フォトマスク、フォトマスクの製造方法及び修正方法

Country Status (6)

Country Link
US (5) US8974987B2 (ja)
EP (2) EP2738791B1 (ja)
KR (2) KR101420907B1 (ja)
CN (1) CN102308256B (ja)
TW (1) TWI422965B (ja)
WO (1) WO2010092901A1 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103339711A (zh) * 2011-01-07 2013-10-02 美光科技公司 成像装置、其形成方法以及形成半导体装置结构的方法
JP2017058407A (ja) * 2015-09-14 2017-03-23 株式会社東芝 パターンデータ作成方法、パターンデータ作成装置及びマスク

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2010092901A1 (ja) 2009-02-16 2010-08-19 大日本印刷株式会社 フォトマスク、フォトマスクの製造方法及び修正方法
US8846273B2 (en) * 2012-06-04 2014-09-30 Micron Technology, Inc. Photomasks, methods of forming a photomask, and methods of photolithographically patterning a substrate
JP6063650B2 (ja) * 2012-06-18 2017-01-18 Hoya株式会社 フォトマスクの製造方法
WO2015025922A1 (ja) * 2013-08-21 2015-02-26 大日本印刷株式会社 マスクブランクス、ネガ型レジスト膜付きマスクブランクス、位相シフトマスク、およびそれを用いるパターン形成体の製造方法
KR102195580B1 (ko) 2014-01-14 2020-12-29 삼성디스플레이 주식회사 위상 반전 마스크, 이를 이용한 패턴 형성 방법 및 이를 이용한 표시 패널의 제조 방법
CN103994740B (zh) * 2014-04-22 2016-08-24 京东方科技集团股份有限公司 膜厚测量装置和膜厚测量方法
KR102305092B1 (ko) 2014-07-16 2021-09-24 삼성전자주식회사 포토리소그래피용 마스크와 그 제조 방법
CN104267580A (zh) * 2014-09-05 2015-01-07 京东方科技集团股份有限公司 掩模板、阵列基板及其制备方法、显示装置
CN106200255B (zh) * 2015-05-05 2020-05-26 华邦电子股份有限公司 相位移光罩及其制造方法
KR102374204B1 (ko) 2016-03-25 2022-03-14 삼성전자주식회사 반도체 장치 제조 방법
TWI659262B (zh) * 2017-08-07 2019-05-11 日商Hoya股份有限公司 光罩之修正方法、光罩之製造方法、光罩及顯示裝置之製造方法
CN107643651B (zh) * 2017-10-09 2021-04-16 上海华力微电子有限公司 一种光刻辅助图形的设计方法
KR102314284B1 (ko) 2018-05-30 2021-10-19 주식회사 엘지화학 임프린팅용 포토마스크 및 이의 제조방법
US11036129B2 (en) * 2018-07-31 2021-06-15 Taiwan Semiconductor Manufacturing Company Ltd. Photomask and method for forming the same
EP3850432A4 (en) * 2018-09-14 2022-06-08 Synopsys, Inc. HANDLING OF REFLECTIVE EUV MASK ABSORBER TO ENHANCE EDGE CONTRAST
CN110707044B (zh) * 2018-09-27 2022-03-29 联华电子股份有限公司 形成半导体装置布局的方法

Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0389346A (ja) * 1989-09-01 1991-04-15 Hitachi Ltd レジストパターンの形成方法
JPH07140639A (ja) 1993-01-12 1995-06-02 Nippon Telegr & Teleph Corp <Ntt> マスク
JPH0973166A (ja) * 1995-06-29 1997-03-18 Nec Corp 露光用フォトマスクおよびその製造方法
JPH11202475A (ja) * 1998-01-16 1999-07-30 Nec Corp マスク修正方法
JP2953406B2 (ja) 1996-10-17 1999-09-27 日本電気株式会社 フォトマスクおよびその製造方法
JP2003195481A (ja) * 2001-12-27 2003-07-09 Toshiba Corp フォトマスクの修正方法及び修正装置
JP2003302739A (ja) * 2002-04-12 2003-10-24 Elpida Memory Inc フォトマスク
JP2005044843A (ja) * 2003-07-23 2005-02-17 Sii Nanotechnology Inc ナノインプリントリソグラフィ用の原版の欠陥修正方法
JP2005157022A (ja) * 2003-11-27 2005-06-16 Elpida Memory Inc 補助パターン付きマスクの製造方法
JP2007018005A (ja) * 2003-02-17 2007-01-25 Matsushita Electric Ind Co Ltd フォトマスク
JP2007305972A (ja) * 2006-04-11 2007-11-22 Toshiba Corp 露光条件設定方法及び半導体デバイスの製造方法
JP2008122722A (ja) * 2006-11-14 2008-05-29 Dainippon Printing Co Ltd フォトマスク
JP2008158499A (ja) * 2006-11-29 2008-07-10 Sii Nanotechnology Inc フォトマスクの欠陥修正方法
JP2008304737A (ja) * 2007-06-08 2008-12-18 Sii Nanotechnology Inc フォトマスクの欠陥修正方法及び異物除去方法

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08234410A (ja) * 1995-02-28 1996-09-13 Dainippon Printing Co Ltd 位相シフトフォトマスク及び位相シフトフォトマスクドライエッチング方法
US5786113A (en) * 1995-06-29 1998-07-28 Nec Corporation Photo-mask used in aligner for exactly transferring main pattern assisted by semi-transparent auxiliary pattern and process of fabrication thereof
JP2923905B2 (ja) * 1996-04-19 1999-07-26 日本電気株式会社 フォトマスク
US5780208A (en) * 1996-10-17 1998-07-14 Vlsi Technology, Inc. Method and mask design to minimize reflective notching effects
US6150058A (en) * 1998-06-12 2000-11-21 Taiwan Semiconductor Manufacturing Company Method of making attenuating phase-shifting mask using different exposure doses
US6103430A (en) * 1998-12-30 2000-08-15 Micron Technology, Inc. Method for repairing bump and divot defects in a phase shifting mask
JP4163331B2 (ja) * 1999-07-14 2008-10-08 アルバック成膜株式会社 位相シフタ膜の製造方法、位相シフトマスク用ブランクスの製造方法、および、位相シフトマスクの製造方法
DE10021096A1 (de) * 2000-04-20 2001-10-31 Infineon Technologies Ag Maske für optische Projektionssysteme und ein Verfahren zu ihrer Herstellung
KR100618811B1 (ko) * 2001-03-20 2006-08-31 삼성전자주식회사 반도체 소자 제조를 위한 위상 반전 마스크 및 그 제조방법
KR100434494B1 (ko) * 2001-10-23 2004-06-05 삼성전자주식회사 위상 반전 마스크의 패턴 교정방법 및 이를 이용하여교정된 위상 반전 마스크
JP2003287875A (ja) * 2002-01-24 2003-10-10 Hitachi Ltd マスクの製造方法および半導体集積回路装置の製造方法
DE10244399B4 (de) * 2002-09-24 2006-08-03 Infineon Technologies Ag Defekt-Reparatur-Verfahren zur Reparatur von Masken-Defekten
KR100523646B1 (ko) 2003-02-04 2005-10-24 동부아남반도체 주식회사 보조 패턴을 갖는 위상 반전 마스크 및 그 제조 방법
US7147975B2 (en) * 2003-02-17 2006-12-12 Matsushita Electric Industrial Co., Ltd. Photomask
US7014962B2 (en) * 2003-09-13 2006-03-21 Chartered Semiconductor Manufacturing, Ltd Half tone alternating phase shift masks
US7312004B2 (en) * 2004-03-18 2007-12-25 Photronics, Inc. Embedded attenuated phase shift mask with tunable transmission
US20060147814A1 (en) * 2005-01-03 2006-07-06 Ted Liang Methods for repairing an alternating phase-shift mask
TW200717176A (en) * 2005-09-21 2007-05-01 Dainippon Printing Co Ltd Photo mask having gradation sequence and method for manufacturing the same
US7579121B2 (en) 2005-10-07 2009-08-25 Taiwan Semiconductor Manufacturing Co., Ltd. Optical proximity correction photomasks
JP2007279440A (ja) * 2006-04-07 2007-10-25 Toshiba Corp ハーフトーン型位相シフトマスク及びその製造方法
DE102007055540A1 (de) * 2006-11-29 2008-06-19 Sii Nano Technology Inc. Verfahren zum Korrigieren von Photomaskendefekten
JP4914272B2 (ja) 2007-04-02 2012-04-11 エルピーダメモリ株式会社 投影露光用のレチクル、該投影露光用のレチクルの製造方法及び該レチクルを用いた半導体装置
KR20080099924A (ko) * 2007-05-11 2008-11-14 주식회사 하이닉스반도체 어시스트 패턴을 갖는 포토마스크 및 그 형성방법
US8003283B2 (en) * 2008-06-18 2011-08-23 Rave Llc System and a method for improved crosshatch nanomachining of small high aspect three dimensional structures by creating alternating superficial surface channels
WO2010092901A1 (ja) * 2009-02-16 2010-08-19 大日本印刷株式会社 フォトマスク、フォトマスクの製造方法及び修正方法

Patent Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0389346A (ja) * 1989-09-01 1991-04-15 Hitachi Ltd レジストパターンの形成方法
JPH07140639A (ja) 1993-01-12 1995-06-02 Nippon Telegr & Teleph Corp <Ntt> マスク
JPH0973166A (ja) * 1995-06-29 1997-03-18 Nec Corp 露光用フォトマスクおよびその製造方法
JP2953406B2 (ja) 1996-10-17 1999-09-27 日本電気株式会社 フォトマスクおよびその製造方法
JPH11202475A (ja) * 1998-01-16 1999-07-30 Nec Corp マスク修正方法
JP2003195481A (ja) * 2001-12-27 2003-07-09 Toshiba Corp フォトマスクの修正方法及び修正装置
JP2003302739A (ja) * 2002-04-12 2003-10-24 Elpida Memory Inc フォトマスク
JP2007018005A (ja) * 2003-02-17 2007-01-25 Matsushita Electric Ind Co Ltd フォトマスク
JP2005044843A (ja) * 2003-07-23 2005-02-17 Sii Nanotechnology Inc ナノインプリントリソグラフィ用の原版の欠陥修正方法
JP2005157022A (ja) * 2003-11-27 2005-06-16 Elpida Memory Inc 補助パターン付きマスクの製造方法
JP2007305972A (ja) * 2006-04-11 2007-11-22 Toshiba Corp 露光条件設定方法及び半導体デバイスの製造方法
JP2008122722A (ja) * 2006-11-14 2008-05-29 Dainippon Printing Co Ltd フォトマスク
JP2008158499A (ja) * 2006-11-29 2008-07-10 Sii Nanotechnology Inc フォトマスクの欠陥修正方法
JP2008304737A (ja) * 2007-06-08 2008-12-18 Sii Nanotechnology Inc フォトマスクの欠陥修正方法及び異物除去方法

Non-Patent Citations (3)

* Cited by examiner, † Cited by third party
Title
N. V. LAFFERTY ET AL., PROC. OF SPIE, vol. 5377, 2004, pages 381 - 392
NEAL V. LAFFERTY ET AL.: "Gray Assist Bar OPC, Optical Microlithography XVII", PROC. OF SPIE, vol. 5377, 2004, pages 381 - 392, XP008164597 *
See also references of EP2397900A4 *

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103339711A (zh) * 2011-01-07 2013-10-02 美光科技公司 成像装置、其形成方法以及形成半导体装置结构的方法
US9140977B2 (en) 2011-01-07 2015-09-22 Micron Technology, Inc. Imaging devices, methods of forming same, and methods of forming semiconductor device structures
JP2017058407A (ja) * 2015-09-14 2017-03-23 株式会社東芝 パターンデータ作成方法、パターンデータ作成装置及びマスク

Also Published As

Publication number Publication date
KR101396078B1 (ko) 2014-05-15
US20190332006A1 (en) 2019-10-31
US20110294045A1 (en) 2011-12-01
EP2397900A4 (en) 2013-09-04
US9519211B2 (en) 2016-12-13
US20150140480A1 (en) 2015-05-21
CN102308256B (zh) 2013-09-25
EP2397900A1 (en) 2011-12-21
EP2397900B1 (en) 2014-10-08
KR101420907B1 (ko) 2014-07-17
CN102308256A (zh) 2012-01-04
US10394118B2 (en) 2019-08-27
TW201040661A (en) 2010-11-16
US10048580B2 (en) 2018-08-14
EP2738791A2 (en) 2014-06-04
EP2738791A3 (en) 2014-06-18
KR20130058081A (ko) 2013-06-03
US20180321582A1 (en) 2018-11-08
TWI422965B (zh) 2014-01-11
US20170075213A1 (en) 2017-03-16
EP2738791B1 (en) 2015-08-19
US10634990B2 (en) 2020-04-28
US8974987B2 (en) 2015-03-10
KR20110126617A (ko) 2011-11-23

Similar Documents

Publication Publication Date Title
US10634990B2 (en) Photomask and methods for manufacturing and correcting photomask
JP2007241137A (ja) ハーフトーン型位相シフトマスク及びその製造方法
JP5104832B2 (ja) フォトマスクの修正方法および修正されたフォトマスク
US9057961B2 (en) Systems and methods for lithography masks
JP5668356B2 (ja) 転写方法
JP5104774B2 (ja) フォトマスクおよびその製造方法
KR101319311B1 (ko) 포토마스크 블랭크 및 포토마스크의 제조방법
JP5630592B1 (ja) フォトマスクの製造方法
JP5644973B1 (ja) フォトマスクの製造方法
US20050026053A1 (en) Photomask having an internal substantially transparent etch stop layer
JP2017227804A (ja) マスクパターンの白欠陥修正方法及びフォトマスクの製造方法
JP5239799B2 (ja) ハーフトーン型位相シフトマスク
JP4563101B2 (ja) マスクパターンデータ補正方法
Yoshioka Optical Masks: An Overview
JP4539955B2 (ja) 位相シフトマスク及びその製造方法並びに露光方法
JP2004317547A (ja) ハーフトーン型位相シフトマスク用ブランクス及びその製造方法並びにハーフトーン型位相シフトマスク
JPH10307382A (ja) ハーフトーン型位相シフトマスク用ブランク及びハーフトーン型位相シフトマスク及びそれらの製造方法
JP2013254098A (ja) フォトマスクおよびその製造方法

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 201080007022.0

Country of ref document: CN

121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 10741178

Country of ref document: EP

Kind code of ref document: A1

WWE Wipo information: entry into national phase

Ref document number: 2010741178

Country of ref document: EP

WWE Wipo information: entry into national phase

Ref document number: 13147634

Country of ref document: US

ENP Entry into the national phase

Ref document number: 20117018799

Country of ref document: KR

Kind code of ref document: A

NENP Non-entry into the national phase

Ref country code: DE