WO2004007800A1 - Thermal processing apparatus and method for evacuating a process chamber - Google Patents

Thermal processing apparatus and method for evacuating a process chamber Download PDF

Info

Publication number
WO2004007800A1
WO2004007800A1 PCT/US2003/021644 US0321644W WO2004007800A1 WO 2004007800 A1 WO2004007800 A1 WO 2004007800A1 US 0321644 W US0321644 W US 0321644W WO 2004007800 A1 WO2004007800 A1 WO 2004007800A1
Authority
WO
WIPO (PCT)
Prior art keywords
chamber
valve
mass flow
flow rate
pass
Prior art date
Application number
PCT/US2003/021644
Other languages
French (fr)
Other versions
WO2004007800A9 (en
Inventor
Taiquing Qiu
Original Assignee
Aviza Technology, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Aviza Technology, Inc. filed Critical Aviza Technology, Inc.
Priority to AU2003249028A priority Critical patent/AU2003249028A1/en
Publication of WO2004007800A1 publication Critical patent/WO2004007800A1/en
Publication of WO2004007800A9 publication Critical patent/WO2004007800A9/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4409Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber characterised by sealing means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67772Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving removal of lid, door, cover
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67775Docking arrangements

Definitions

  • the present invention relates generally to the field of semiconductor equipment and processing. More specifically, the present invention relates to a thermal processing apparatus having a vacuum system and method of evacuating down a process chamber.
  • Thermal processing apparatuses are commonly used in the manufacture of semiconductors and integrated circuits (ICs). Thermal processing of semiconductor wafers includes, for example, deposition, etching, heat treating, annealing, diffusion etc. Some processes such as etching and chemical vapor deposition (CVD) are performed under low pressure or vacuum conditions.
  • CVD chemical vapor deposition
  • the process chamber is evacuated from an initial pressure to an operating pressure.
  • the process chamber may initially be at atmospheric pressure for loading wafers, then evacuated to an operational pressure in the milli-torr range.
  • the initial evacuation cycle for a process is sometimes referred to as a "pump down cycle".
  • a pump down cycle is accomplished by using a vacuum pump in flow communication with the process chamber.
  • the present invention provides a thermal processing apparatus having a vacuum system and a method that provides for fast pumping down of a process chamber without generating particulate contamination.
  • the thermal processing apparatus of the invention comprises a process chamber and a vacuum system for evacuating the process chamber.
  • the vacuum system comprises a pump unit in flow communication with the chamber and a valve assembly between the pump unit and the chamber for controlling a mass flow from the chamber to the pump unit.
  • the valve assembly comprises a main vacuum valve and one or more by-pass valves. The one or more by-pass valves are connected to the vacuum line via by-pass lines and in parallel flow relation with the main vacuum valve.
  • the method of evacuating a process chamber of the invention comprises determining a critical maximal mass flow rate and maintaining substantially the maximal gas flow rate during the evacuation of the chamber.
  • the a critical maximal mass flow rate can be empirically determined based a Reynolds number of less than about 2000 to ensure laminar flow.
  • the critical maximal mass flow rate can be determined based on shear force being substantially equal to gravity force for a preidentified particulate type of concern.
  • the step of determining a critical maximal gas flow rate can be accomplished by calculating according to the following formula:
  • m max represents critical maximal mass flow rate
  • represents gas viscosity
  • A represents area of cross section of the annulus flow area formed between a wafer stack and chamber wall
  • h represents distance between a wafer stack and a wall of the chamber.
  • FIG. 1 is a schematic showing a thermal processing apparatus having a vacuum system according to one embodiment of the present invention.
  • FIG. 2 is a schematic showing velocity profiles of a laminar flow and turbulent flow near a chamber surface.
  • FIG. 3 is a schematic showing a flow profile between a wafer stack and a process chamber wall.
  • FIG. 4 is a plot showing flow regimes for particles of different size at different pressures at temperature of 650°C.
  • FIG. 5 is a plot showing an optimized pump down pressure trajectory according to one embodiment of the present invention.
  • the present invention is directed to a thermal processing apparatus and method for processing a batch of semiconductor substrates or wafers that significantly reduce processing cycle times and improve process uniformity.
  • the thermal processing apparatus of the present invention will now be described. For purpose of clarity, some elements not relevant to the present invention are omitted to avoid complicating the description.
  • the thermal processing system 100 comprises a process chamber 102 and a vacuum system 104 in flow communication with the chamber 102 for evacuating the chamber to low pressure or vacuum conditions.
  • the process chamber 102 can be made in any size suitable for processing either a large batch or mini batch of wafers.
  • the process chamber 102 can be a thermal processing chamber such as a chamber for chemical vapor deposition
  • the process chamber 102 is preferably made of a material that is capable of withstanding thermal and mechanical stresses of high temperature and vacuum operation, and resistant to erosion from gases and vapor used or released during processing.
  • the process chamber 102 is made of quartz or silicon carbide.
  • the process chamber 102 is preferably a vertical reaction chamber.
  • An entrance is provided at the lower portion of the chamber 102 for conveying a carrier or boat 106 carrying a batch of wafers 108 into and out of the process chamber 102 through a movable pedestal 110.
  • the upper portion of the chamber 102 is closed to form a reaction zone.
  • the vertical reaction chamber 102 preferably has an inner tube or liner 112 and an outer tube 114.
  • the inner tube or liner 112 is opened at the lower end and at least partially opened at the upper end.
  • the inner tube 112 directs gas flow and prevents diffusion of impurities outside of the liner on the wafers 108.
  • the boat 106 carrying the wafers 108 is encompassed within the inner tube 112.
  • the outer tube 114 is closed at the upper end but open at the lower end.
  • An annular passageway 116 is formed between the inner and outer tubes 112 and 114 for exhausting a gas in a downward direction.
  • the inner and outer tubes 112 and 114 are hermetically connected to a plenum 118 by seals, such as o-rings.
  • the plenum 118 is made of a material having thermal durability and corrosion resistance, such as stainless steel or quartz.
  • the plenum 118 is sized in a short cylindrical form including an upper flange, a bottom flange and sidewall.
  • the upper flange is adapted to receive and support the outer tube 114 of the chamber 102.
  • the bottom flange is adapted to receive and support the inner tube or liner 112 of the chamber 102.
  • An injection assembly 120 is disposed within the plenum 118 for introducing a process or purge gas into the chamber 102.
  • the injection assembly 120 is disposed in such a manner as to inject the process or purge gas into the reaction zone encompassed by the inner tube 112 of the chamber 102.
  • An exhaust port 122 is provide at the sidewall of the plenum 118 for connecting the process chamber 102 and plenum 118 to the vacuum system 104.
  • the exhaust port 122 is disposed in such a manner as to communicate with the annular passageway 116 formed between the inner and outer tubes 112 and 114.
  • the vacuum system 104 comprises a pump unit 124 and a valve assembly
  • the pump unit 124 is connected to the exhaust port 122 via a vacuum line 128 and in flow communication with the process chamber 102.
  • the valve assembly 126 is disposed between the pump unit 124 and process chamber 102 on the vacuum line 128.
  • the valve assembly 126 controls a gas flow from the process chamber 102 to the pump unit 124 and is capable of completely isolating the process chamber 102 from the pump unit 124.
  • the pump unit 124 can comprise a dry pump, booster pump, Roots pump, or any combination of two or more of the pumps.
  • the pump unit 124 can be a dry pump system such as Model iQDP80/iQMB1200F available from BOC Edwards in Boston, Massachusetts.
  • the peak pump speed of such dry pump system is 15.6 m 3 /min around 10 torr, and the ultimate vacuum is 5 mtorr.
  • the valve assembly 126 comprises a main vacuum valve 130 disposed on the vacuum line 128.
  • the main vacuum valve 130 can be any type that provides complete isolation between the process chamber 102 and the pump unit 124.
  • the main valve 130 is a gate valve available in the prior art.
  • the gate valve can be of an on/off type that operates between a fully open and fully close position.
  • the gate valve is a DC motor driven valve that can be adjusted in any position between a fully open and close position.
  • the valve assembly 126 comprises a by-pass valve 132.
  • the by-pass valve 132 is disposed at the vacuum line 128 via a by-pass line 133 and in parallel flow relation with the main vacuum valve 130.
  • the valve assembly 126 may further comprise a second by-pass valve (not shown) that is disposed at the vacuum line 128 via a by-pass line (not shown) and in parallel flow relation with the main vacuum valve 130.
  • the combination of a main vacuum valve and one or more by-pass valves can achieve fast pump down of the process chamber with a substantially constant mass flow rate as described below.
  • the by-pass valves 132 are orifice valves having a predetermined opening area.
  • the valve assembly 126 may comprise a first and a second orifice valve, each of which has an opening area.
  • the second orifice valve can have an opening area greater than that of the first orifice valve.
  • the first orifice valve has an opening with a diameter ranging from about 1 to 3 mm.
  • the second orifice valve can have an opening with a diameter ranging from about 2 to 4 mm.
  • a mass flow controller (not shown) can be used to maintain a constant mass flow rate from the chamber 102 to the pump unit 124 and completely isolate the chamber 102 from the pump unit 124.
  • the vacuum system 104 may further include a throttle or butter fly valve 134 between the valve assembly 126 and the pump unit 124.
  • the throttle or butter fly valve 134 has a disk 136 that changes its angle by a controlled rotary actuator (not shown).
  • the throttle valve 134 is used to stabilize the chamber pressure to a predetermined value at a predetermined flow rate of process chemicals.
  • the throttle valve 134 also changes the vacuum conductance of the valve assembly 126 and thus the chamber pressure. This can be done by adjusting the angle of the actuated disk 136. When the disk 136 is parallel to the flow, the throttle valve 134 provides the highest vacuum conductance and thus the lowest chamber pressure at the steady state.
  • the thermal processing apparatus 100 may further comprise one or more temperature sensing elements (not shown) disposed between the inner and outer tubes 112 and 114 for monitoring the temperature within the process chamber 102.
  • the thermal processing apparatus 100 may also comprise one or more pressure sensors or transducers (not shown) configured to measure the pressure within the process chamber 102.
  • the first by-pass valve 132 is opened first from an initial condition where both the main vacuum valve 130 and the second by-pass valve (not shown) are closed to perform a first stage of the pump down process. Then the first by-pass valve 132 is closed and the second by-pass-valve is opened simultaneously at a second pump down stage when the main vacuum valve 130 is closed. At the following third pump down stage, both the first and second by-pass valves are opened while the main vacuum 130 remains closed. Finally the first and second bypass valves are closed and the main vacuum valve 130 is opened to perform a hard pump down stage. During the final pump down stage, the throttle valve 134 is adjusted to stabilize the pressure within the process chamber 102.
  • Each semiconductor process such as chemical vapor deposition (CVD) produces a small amount of particles. Over times, the particles accumulate on the chamber walls, as shown in FIG. 2. When there exists no gas flow within the chamber, the adhesion force between the particles and the chamber wall keeps the particles on the wall and prevents them from migrating to the semiconductor wafers.
  • CVD chemical vapor deposition
  • a pump down process produces a gas flow along the chamber wall.
  • the flow creates a shear force on particles formed on the wall. If the shear force is greater than a critical value, the particles will be dislodged from the chamber wall. Once becoming loose, the particles flow with the gas and can deposit on the semiconductor wafers, causing particle contamination.
  • the pump down process As described above, it is desirable to have the pump down process complete as quickly as possible to achieve minimal overall cycle times during the manufacturing process. However, the speed of pump-down gas in the chamber must be controlled to avoid particulate contamination.
  • the inventor has developed flow models for the pump down process and found that if these models are followed, the pump down cycle time can be significantly reduced, and particles undesirably deposited on the chamber wall and wafer carrier or boat will not be disturbed and therefore particulate contamination during the pump down process is avoided.
  • the method of evacuating a process chamber of the invention comprises determining a critical maximal gas flow rate which minimizes dislodging of particulate contaminates formed in the chamber, and maintaining substantially the maximal gas flow rate during the evacuation of the chamber.
  • a turbulent flow refers to a flow where both the flow velocity and flow pressure fluctuate randomly.
  • a laminar flow refers to a flow where both the flow velocity and flow pressure are stable.
  • FIG. 2 schematically shows velocity profiles of a laminar flow and turbulent flow near a chamber wall. In a turbulent flow, the mean flow velocity increases rapidly away from the wall. In a laminar flow, the flow velocity increases only slowly away from the surface. At the center position of a particle, the velocity of a turbulent flow (U T ) is much greater than that of a laminar flow (U ).
  • the Reynolds number (Re) indicates whether a gas flow between a wafer stack and chamber wall is turbulent or laminar.
  • the Reynolds number can be expressed by the following equation, with reference to FIG. 3:
  • the gas density (p) decreases as the chamber pressure drops.
  • the gas viscosity ( ⁇ ) is independent of the chamber pressure.
  • the Reynolds number can also be expressed by the following equation using mass flow rate according to the gas law:
  • Equation (3) shows that to keep the Reynolds number below 2000 during the pump down process, the mass flow rate should be kept below a constant value. Since the gas viscosity increases with temperature, e.g., 2.7x10 " Ns/m at 300°C and 3.9x10 "5 Ns/m 2 at 700°C, a higher mass flow rate is allowed at a higher temperature to avoid a turbulent flow.
  • the flow velocity (U ) at the center position of a particle can be expressed by the following equation:
  • the share force created by a gas flow on a particle varies depending on the relative size of the particle and the molecular mean free path ( ⁇ ) of the flowing gas.
  • the molecular mean free path ( ⁇ ) refers to the distance that a molecule travels between two consecutive collisions and can be expressed by the following equation:
  • k is the Boltzmann constant
  • k 1.38xl0 "23 J/k
  • T is the chamber temperature in Kelvin
  • is the cross section area of molecular collisions
  • 4.3xl0 "19 m 2
  • P is the chamber pressure
  • the shear force on the particle is expressed by the following equation:
  • R is the gas constant.
  • the gas constant (R) for nitrogen is 297 J/kg-K.
  • FIG. 4 is a plot showing the flow regimes for different size particles at different pressures at temperature of 650°C.
  • a relatively large particle may experience different type of gas flows during the pump down process.
  • the gas in the chamber is dense, and the molecular mean free path is small.
  • the flow around a particle of two- micron diameter on the wall is continuum.
  • the chamber pressure decreases.
  • the molecular mean free path becomes comparable to the particle diameter.
  • the gas flow around the particle of two-micron diameter becomes free molecular flow.
  • Equation 7 characterize the shear force produced by the free molecular flow on the particles, which can be further expressed by the gas density and mass flow rate according to the following equation:
  • Equation (9) shows that the shear force on a particle is proportional to the particle volume (d 3 ) and mass flow rate (m). Accordingly, the ratio (N) of shear force to gravity force can be expressed by the following equation:
  • p p is the mass density of the particle
  • g is the gravity acceleration
  • R and T are defined as above.
  • the shear force must be comparable or smaller than the gravity force as expressed by the following equation:
  • the critical maximal mass flow rate can be determined by the following equation:
  • the pressure in the chamber is related to the mass of gas in the chamber according to the following equation:
  • PV MRT (13) where P is the chamber pressure, V is the chamber volume, M is the total mass of gas in the chamber, and T is the chamber temperature.
  • P the chamber pressure
  • V the chamber volume
  • M the total mass of gas in the chamber
  • T the chamber temperature
  • the method of fast pumping down a process chamber comprises determining a critical maximal mass flow rate by calculating according to equation (12), and evacuating the chamber by flowing the gas through a mass flow controller.
  • the mass flow controller is set substantially at the critical maximal mass flow rate so that the mass flow of the gas evacuated from the chamber during the evacuation is substantially equal to the critical maximal mass flow rate throughout the evacuation.
  • the evacuation is performed by directing the gas from the chamber through a vacuum system having a valve assembly with an adjustable effective orifice size.
  • the gas flow is controlled by setting the valve assembly to a first effective orifice size during a first segment of the evacuation beginning at a first pressure, and maintaining substantially the maximal mass flow rate during the first segment of the evacuation.
  • the valve assembly is set to a second effective orifice size greater than the first effective orifice size during a second segment of the evacuation beginning at a second pressure, and maintaining substantially the maximal mass flow rate during the second segment of the evacuation.
  • the first effective orifice size is a function of the first pressure and the critical maximal mass flow rate.
  • the second effective orifice size is a function of the second pressure and the critical maximal mass flow rate.
  • the valve assembly may comprise a main vacuum valve, and a first and second by-pass valve.
  • the second by-pass valve has an opening area greater than that of the first by-pass valve.
  • the first by-pass valve is opened first and maintains the maximal mass flow rate as calculated according to equation (12) at a first time period.
  • the first by-pass valve is closed and the second by-pass valve is opened simultaneously and maintains the maximal gas flow rate at a second time period when the main vacuum valve is closed.
  • both the first and second by-pass valves are opened and maintain the maximal gas flow rate at a third time period.
  • both the first and second by-pass valves are closed and the main vacuum valve is opened simultaneously and maintains the maximal flow rate at a fourth time period.
  • FIG. 5 is a plot showing an example according to the method described above.
  • the process chamber is initially pumped down from about 760 torr to about 400 torr in 1.47 minutes by using a first orifice valve having an opening diameter of about 2.2mm. Then the chamber is pumped down from about 400 torr to about 120 torr in 1.48 minutes by using a second orifice valve having an opening diameter of about 3.0mm. Then the chamber is pumped down from about 120 torr to about 20 torr in 1.45 minutes by opening both orifice valves. Finally, the chamber is pumped down from to about 20 mtorr in about 1.20 minutes by closing both orifice valves and opening a gate valve.
  • the present invention further provides a method of optimizing a pump down process comprising the following steps: a. setting a safety margin (S) to prevent particle generation during the fast pump down process, S>1; b. determining the maximal mass flow rate (m 0 ) in the pump down process;
  • Ti is the gas temperature at the orifice, which is typically about 400 K; d. defining a pressure Pi, at which the first by-pass valve is closed and the second by-pass valve is opened; e. determining the time (ti) needed to pump down the chamber from pressure P a to Pi,
  • RTm determining the orifice area of a second by-pass valve (A );
  • ⁇ 2 - (23) RTm i. determining the pressure P 3 , at which the mass flow rate is m 0 when both the by-pass valves are closed, the gate valve is fully open, and the throttle valve is at the close position.
  • the pressure P 3 depends on the actual vacuum system setup, such as the vacuum line diameter and length, and vacuum conductance of the throttle valve at the close position. It is typically in the range from about 1 torr to about 20 torr; j. determining the time (t 3 ) needed to pump down the chamber from pressure

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Furnace Details (AREA)
  • Resistance Heating (AREA)
  • Control By Computers (AREA)
  • Physical Vapour Deposition (AREA)
  • Control Of Fluid Pressure (AREA)
  • Control Of Non-Electrical Variables (AREA)
  • Control Of Resistance Heating (AREA)
  • Muffle Furnaces And Rotary Kilns (AREA)

Abstract

A thermal processing apparatus (100) is provided comprising a process chamber (102) and a vacuum system (104) for evacuating the process chamber. The vacuum system comprises a pump unit (124) in flow communication with the chamber and a valve assembly (126) between the pump unit and the chamber for controlling a mass flow from the chamber to the pump unit. The valve assembly comprises a main vacuum valve (130) and one or more by-pass valves (132). The one or more by-pass valves are connected to a vacuum line (128) via by-pass lines (133) and in parallel flow in relation with the main vacuum valve.

Description

THERMAL PROCESSING APPARATUS AND METHOD FOR EVACUATING A PROCESS CHAMBER
RELATED APPLICATION The present application claims the benefit of and priority from commonly assigned U.S. Provisional Patent Applications Serial Nos. 60/396,536, entitled Thermal Processing System, and filed July 15, 2002, and 60/428,526, entitled Thermal Processing System and Method for Using the Same, and filed November 22, 2002, both of which are incorporated herein by reference in their entirety.
FIELD OF THE INVENTION The present invention relates generally to the field of semiconductor equipment and processing. More specifically, the present invention relates to a thermal processing apparatus having a vacuum system and method of evacuating down a process chamber.
BACKGROUND Thermal processing apparatuses are commonly used in the manufacture of semiconductors and integrated circuits (ICs). Thermal processing of semiconductor wafers includes, for example, deposition, etching, heat treating, annealing, diffusion etc. Some processes such as etching and chemical vapor deposition (CVD) are performed under low pressure or vacuum conditions.
During the processes under low pressure or vacuum conditions, the process chamber is evacuated from an initial pressure to an operating pressure. For example, the process chamber may initially be at atmospheric pressure for loading wafers, then evacuated to an operational pressure in the milli-torr range. The initial evacuation cycle for a process is sometimes referred to as a "pump down cycle". Typically a pump down cycle is accomplished by using a vacuum pump in flow communication with the process chamber.
It is desirable to have the pump down cycle complete as quickly as possible in order to achieve minimal overall cycle times during the manufacturing process. However, if the speed of pump down gas in the chamber is too fast, turbulent flow motion is generated. Such turbulent motion tears away particles that have been deposited on the chamber wall, wafer carrier, or other parts of the thermal processing apparatus, and transports and redistributes them in areas that can be critical, for example, on the substrates where integrated circuits are being made. The conventional vacuum systems and methods for evacuating a process chamber are limited by the deleterious physical effects of particulate contamination during the pump down process.
SUMMARY OF THE INVENTION
The present invention provides a thermal processing apparatus having a vacuum system and a method that provides for fast pumping down of a process chamber without generating particulate contamination.
The thermal processing apparatus of the invention comprises a process chamber and a vacuum system for evacuating the process chamber. The vacuum system comprises a pump unit in flow communication with the chamber and a valve assembly between the pump unit and the chamber for controlling a mass flow from the chamber to the pump unit. The valve assembly comprises a main vacuum valve and one or more by-pass valves. The one or more by-pass valves are connected to the vacuum line via by-pass lines and in parallel flow relation with the main vacuum valve.
The method of evacuating a process chamber of the invention comprises determining a critical maximal mass flow rate and maintaining substantially the maximal gas flow rate during the evacuation of the chamber. The a critical maximal mass flow rate can be empirically determined based a Reynolds number of less than about 2000 to ensure laminar flow. Alternatively, the critical maximal mass flow rate can be determined based on shear force being substantially equal to gravity force for a preidentified particulate type of concern. The step of determining a critical maximal gas flow rate can be accomplished by calculating according to the following formula:
500μA mr
wherein m max represents critical maximal mass flow rate, μ represents gas viscosity, A represents area of cross section of the annulus flow area formed between a wafer stack and chamber wall, and h represents distance between a wafer stack and a wall of the chamber.
BRIEF DESCRIPTION OF THE DRAWINGS The present invention becomes better understood upon reading of the following detailed description of the invention and upon reference to the following drawings, in which: FIG. 1 is a schematic showing a thermal processing apparatus having a vacuum system according to one embodiment of the present invention.
FIG. 2 is a schematic showing velocity profiles of a laminar flow and turbulent flow near a chamber surface.
FIG. 3 is a schematic showing a flow profile between a wafer stack and a process chamber wall.
FIG. 4 is a plot showing flow regimes for particles of different size at different pressures at temperature of 650°C.
FIG. 5 is a plot showing an optimized pump down pressure trajectory according to one embodiment of the present invention.
DETAILED DESCRIPTION OF THE INVENTION The present invention is directed to a thermal processing apparatus and method for processing a batch of semiconductor substrates or wafers that significantly reduce processing cycle times and improve process uniformity. With reference to FIG. 1, the thermal processing apparatus of the present invention will now be described. For purpose of clarity, some elements not relevant to the present invention are omitted to avoid complicating the description. In general, the thermal processing system 100 comprises a process chamber 102 and a vacuum system 104 in flow communication with the chamber 102 for evacuating the chamber to low pressure or vacuum conditions.
The process chamber 102 can be made in any size suitable for processing either a large batch or mini batch of wafers. The process chamber 102 can be a thermal processing chamber such as a chamber for chemical vapor deposition
(CVD) and etching etc. The process chamber 102 is preferably made of a material that is capable of withstanding thermal and mechanical stresses of high temperature and vacuum operation, and resistant to erosion from gases and vapor used or released during processing. Preferably the process chamber 102 is made of quartz or silicon carbide.
The process chamber 102 is preferably a vertical reaction chamber. An entrance is provided at the lower portion of the chamber 102 for conveying a carrier or boat 106 carrying a batch of wafers 108 into and out of the process chamber 102 through a movable pedestal 110. The upper portion of the chamber 102 is closed to form a reaction zone.
The vertical reaction chamber 102 preferably has an inner tube or liner 112 and an outer tube 114. The inner tube or liner 112 is opened at the lower end and at least partially opened at the upper end. The inner tube 112 directs gas flow and prevents diffusion of impurities outside of the liner on the wafers 108. The boat 106 carrying the wafers 108 is encompassed within the inner tube 112. The outer tube 114 is closed at the upper end but open at the lower end. An annular passageway 116 is formed between the inner and outer tubes 112 and 114 for exhausting a gas in a downward direction. The inner and outer tubes 112 and 114 are hermetically connected to a plenum 118 by seals, such as o-rings. The plenum 118 is made of a material having thermal durability and corrosion resistance, such as stainless steel or quartz. The plenum 118 is sized in a short cylindrical form including an upper flange, a bottom flange and sidewall. The upper flange is adapted to receive and support the outer tube 114 of the chamber 102. The bottom flange is adapted to receive and support the inner tube or liner 112 of the chamber 102. An injection assembly 120 is disposed within the plenum 118 for introducing a process or purge gas into the chamber 102. The injection assembly 120 is disposed in such a manner as to inject the process or purge gas into the reaction zone encompassed by the inner tube 112 of the chamber 102. An exhaust port 122 is provide at the sidewall of the plenum 118 for connecting the process chamber 102 and plenum 118 to the vacuum system 104. The exhaust port 122 is disposed in such a manner as to communicate with the annular passageway 116 formed between the inner and outer tubes 112 and 114. The vacuum system 104 comprises a pump unit 124 and a valve assembly
126. The pump unit 124 is connected to the exhaust port 122 via a vacuum line 128 and in flow communication with the process chamber 102. The valve assembly 126 is disposed between the pump unit 124 and process chamber 102 on the vacuum line 128. The valve assembly 126 controls a gas flow from the process chamber 102 to the pump unit 124 and is capable of completely isolating the process chamber 102 from the pump unit 124.
The pump unit 124 can comprise a dry pump, booster pump, Roots pump, or any combination of two or more of the pumps. For example, the pump unit 124 can be a dry pump system such as Model iQDP80/iQMB1200F available from BOC Edwards in Boston, Massachusetts. The peak pump speed of such dry pump system is 15.6 m3/min around 10 torr, and the ultimate vacuum is 5 mtorr.
The valve assembly 126 comprises a main vacuum valve 130 disposed on the vacuum line 128. The main vacuum valve 130 can be any type that provides complete isolation between the process chamber 102 and the pump unit 124. Preferably the main valve 130 is a gate valve available in the prior art. The gate valve can be of an on/off type that operates between a fully open and fully close position. Preferably, the gate valve is a DC motor driven valve that can be adjusted in any position between a fully open and close position.
In one embodiment, the valve assembly 126 comprises a by-pass valve 132. The by-pass valve 132 is disposed at the vacuum line 128 via a by-pass line 133 and in parallel flow relation with the main vacuum valve 130. In another embodiment, the valve assembly 126 may further comprise a second by-pass valve (not shown) that is disposed at the vacuum line 128 via a by-pass line (not shown) and in parallel flow relation with the main vacuum valve 130. The combination of a main vacuum valve and one or more by-pass valves can achieve fast pump down of the process chamber with a substantially constant mass flow rate as described below.
Preferably the by-pass valves 132 are orifice valves having a predetermined opening area. For example, the valve assembly 126 may comprise a first and a second orifice valve, each of which has an opening area. Preferably, the second orifice valve can have an opening area greater than that of the first orifice valve. For the example, the first orifice valve has an opening with a diameter ranging from about 1 to 3 mm. The second orifice valve can have an opening with a diameter ranging from about 2 to 4 mm. By using orifice valves having different opening areas, an desirable pump down process can be achieved as described below.
Alternatively, a mass flow controller (not shown) can be used to maintain a constant mass flow rate from the chamber 102 to the pump unit 124 and completely isolate the chamber 102 from the pump unit 124.
The vacuum system 104 may further include a throttle or butter fly valve 134 between the valve assembly 126 and the pump unit 124. The throttle or butter fly valve 134 has a disk 136 that changes its angle by a controlled rotary actuator (not shown). The throttle valve 134 is used to stabilize the chamber pressure to a predetermined value at a predetermined flow rate of process chemicals. The throttle valve 134 also changes the vacuum conductance of the valve assembly 126 and thus the chamber pressure. This can be done by adjusting the angle of the actuated disk 136. When the disk 136 is parallel to the flow, the throttle valve 134 provides the highest vacuum conductance and thus the lowest chamber pressure at the steady state. When the disk 136 is perpendicular to the flow, it produces the lowest conductance, resulting the highest chamber pressure at the steady state. The thermal processing apparatus 100 may further comprise one or more temperature sensing elements (not shown) disposed between the inner and outer tubes 112 and 114 for monitoring the temperature within the process chamber 102. The thermal processing apparatus 100 may also comprise one or more pressure sensors or transducers (not shown) configured to measure the pressure within the process chamber 102.
In operation, the first by-pass valve 132 is opened first from an initial condition where both the main vacuum valve 130 and the second by-pass valve (not shown) are closed to perform a first stage of the pump down process. Then the first by-pass valve 132 is closed and the second by-pass-valve is opened simultaneously at a second pump down stage when the main vacuum valve 130 is closed. At the following third pump down stage, both the first and second by-pass valves are opened while the main vacuum 130 remains closed. Finally the first and second bypass valves are closed and the main vacuum valve 130 is opened to perform a hard pump down stage. During the final pump down stage, the throttle valve 134 is adjusted to stabilize the pressure within the process chamber 102.
A method of evacuating or pumping down a process chamber without generating particulate contamination will now be described with reference to FIGS. 2 to 5.
Each semiconductor process such as chemical vapor deposition (CVD) produces a small amount of particles. Over times, the particles accumulate on the chamber walls, as shown in FIG. 2. When there exists no gas flow within the chamber, the adhesion force between the particles and the chamber wall keeps the particles on the wall and prevents them from migrating to the semiconductor wafers.
A pump down process produces a gas flow along the chamber wall. The flow creates a shear force on particles formed on the wall. If the shear force is greater than a critical value, the particles will be dislodged from the chamber wall. Once becoming loose, the particles flow with the gas and can deposit on the semiconductor wafers, causing particle contamination.
As described above, it is desirable to have the pump down process complete as quickly as possible to achieve minimal overall cycle times during the manufacturing process. However, the speed of pump-down gas in the chamber must be controlled to avoid particulate contamination. The inventor has developed flow models for the pump down process and found that if these models are followed, the pump down cycle time can be significantly reduced, and particles undesirably deposited on the chamber wall and wafer carrier or boat will not be disturbed and therefore particulate contamination during the pump down process is avoided.
In general, the method of evacuating a process chamber of the invention comprises determining a critical maximal gas flow rate which minimizes dislodging of particulate contaminates formed in the chamber, and maintaining substantially the maximal gas flow rate during the evacuation of the chamber.
The shear force on a particle on the chamber wall depends on the types of the flow near the chamber wall. A turbulent flow refers to a flow where both the flow velocity and flow pressure fluctuate randomly. A laminar flow refers to a flow where both the flow velocity and flow pressure are stable. FIG. 2 schematically shows velocity profiles of a laminar flow and turbulent flow near a chamber wall. In a turbulent flow, the mean flow velocity increases rapidly away from the wall. In a laminar flow, the flow velocity increases only slowly away from the surface. At the center position of a particle, the velocity of a turbulent flow (UT) is much greater than that of a laminar flow (U ). AS a result, a turbulent flow produces a much greater shear force on particles than a laminar flow and should thus be avoided during the pump down process. The Reynolds number (Re) indicates whether a gas flow between a wafer stack and chamber wall is turbulent or laminar. In accordance with the general gas law, the Reynolds number can be expressed by the following equation, with reference to FIG. 3:
4pUh
Re = (1)
where p is the gas density, U is the mean flow velocity, h is the distance between the wafer stack and chamber wall, and μ is the gas viscosity. To ensure laminar flow, the Reynolds number should be kept below 2000 during the entire pump down process as empirically determined.
Figure imgf000010_0001
During the pump down process, the gas density (p) decreases as the chamber pressure drops. On the other hand, the gas viscosity (μ) is independent of the chamber pressure. As a result, as chamber pressure decreases, the maximum allowable gas flow velocity (U) increases.
The Reynolds number can also be expressed by the following equation using mass flow rate according to the gas law:
Re = — < 2000 (3) μA
where m is the mass flow rate, A is the cross section area of the annulus flow area formed between a wafer stack and chamber wall, and h and μ are defined as above. Equation (3) shows that to keep the Reynolds number below 2000 during the pump down process, the mass flow rate should be kept below a constant value. Since the gas viscosity increases with temperature, e.g., 2.7x10" Ns/m at 300°C and 3.9x10"5 Ns/m2 at 700°C, a higher mass flow rate is allowed at a higher temperature to avoid a turbulent flow.
As described above, the maximum shear force on particles created by the gas flow should be smaller than a critical value to avoid dislodging of the particles from the chamber wall. The flow velocity (U ) at the center position of a particle can be expressed by the following equation:
UL - (4) h
where d is the particle diameter, and U and h are defined as above.
The share force created by a gas flow on a particle varies depending on the relative size of the particle and the molecular mean free path (λ) of the flowing gas.
The molecular mean free path (λ) refers to the distance that a molecule travels between two consecutive collisions and can be expressed by the following equation:
Figure imgf000011_0001
where k is the Boltzmann constant, k=1.38xl0"23 J/k, T is the chamber temperature in Kelvin, σ is the cross section area of molecular collisions, σ = 4.3xl0"19 m2, and P is the chamber pressure.
When the particle diameter is substantially larger than the mean free path, for example, d > 4.5λ, the gas molecules behave as a continuum medium. In this continuum flow regime, the shear force on the particle is expressed by the following equation:
„ , τ τ 4.5πd2μU
Fc = 3πdμUL = — *- (6) h where d, μ, U, UL and h are defined as above.
When the particle diameter is comparable or smaller than the mean free path, for example, d < 4.5λ, the gas molecules behave as individual molecules colliding the particle. In this molecular flow regime, the shear force on the particle is expressed by the following equation:
^d2P^ U
Fm = - 2P- — = = --ddjP- (7) 9 a 3 ah
where a is the mean speed of random motion of gas molecules, which is expressed by the following equation:
Figure imgf000012_0001
where R is the gas constant. For example, the gas constant (R) for nitrogen is 297 J/kg-K.
FIG. 4 is a plot showing the flow regimes for different size particles at different pressures at temperature of 650°C. As shown in FIG. 4, a relatively large particle may experience different type of gas flows during the pump down process. For example, at the initial pump down at 760 torr, the gas in the chamber is dense, and the molecular mean free path is small. The flow around a particle of two- micron diameter on the wall is continuum. As pump down continues, the chamber pressure decreases. When the chamber pressure drops to around 360 torr, the molecular mean free path becomes comparable to the particle diameter. The gas flow around the particle of two-micron diameter becomes free molecular flow.
Small particles of sub-micron are the main concerns of the current and future semiconductor processing technologies. These small particles experience free molecular flow around them throughout the entire pump down process. Equation 7 characterize the shear force produced by the free molecular flow on the particles, which can be further expressed by the gas density and mass flow rate according to the following equation:
Figure imgf000012_0002
Equation (9) shows that the shear force on a particle is proportional to the particle volume (d3) and mass flow rate (m). Accordingly, the ratio (N) of shear force to gravity force can be expressed by the following equation:
F 3.42mVRT
N = ^ (9) Ahp_g
where pp is the mass density of the particle, g is the gravity acceleration, and R and T are defined as above.
To prevent particles from being dislodged from the chamber wall by the gas flow, the shear force must be comparable or smaller than the gravity force as expressed by the following equation:
Figure imgf000013_0001
Combining equations (3) and (10), the critical maximal mass flow rate can be determined by the following equation:
Figure imgf000013_0002
For thermal processing apparatus where h = 1.5cm, T = 700°C, and pp =
5 5000000kkgg//mm3 ,, tthhee RReeyynnoollddss nnuummbbeerr eexxpprreesss! ed by equation (3) dictates the maximal flow rate during the pump down process:
500μA mmax = — f- (12) h
According to the general gas law, the pressure in the chamber is related to the mass of gas in the chamber according to the following equation:
PV = MRT (13) where P is the chamber pressure, V is the chamber volume, M is the total mass of gas in the chamber, and T is the chamber temperature. The chamber pressure drops as gas molecules are removed from the chamber as expressed by the following equation:
dP _ RT dM
(14) dt ~ V dt
Combining equations (12) and (14), the maximum pump down rate without producing particulate contamination is expressed by the following equation:
Figure imgf000014_0001
Accordingly, the fastest possible pressure trajectory is expressed by the following equation:
_ RT 500μA t a V h
where t is the time of pump down, and Pa is ambient pressure (1.013xl05 Pa), and R, T, V, μ, A, and h are defined as above. In one embodiment, the method of fast pumping down a process chamber comprises determining a critical maximal mass flow rate by calculating according to equation (12), and evacuating the chamber by flowing the gas through a mass flow controller. The mass flow controller is set substantially at the critical maximal mass flow rate so that the mass flow of the gas evacuated from the chamber during the evacuation is substantially equal to the critical maximal mass flow rate throughout the evacuation.
In another embodiment, the evacuation is performed by directing the gas from the chamber through a vacuum system having a valve assembly with an adjustable effective orifice size. The gas flow is controlled by setting the valve assembly to a first effective orifice size during a first segment of the evacuation beginning at a first pressure, and maintaining substantially the maximal mass flow rate during the first segment of the evacuation. Then, the valve assembly is set to a second effective orifice size greater than the first effective orifice size during a second segment of the evacuation beginning at a second pressure, and maintaining substantially the maximal mass flow rate during the second segment of the evacuation. The first effective orifice size is a function of the first pressure and the critical maximal mass flow rate. The second effective orifice size is a function of the second pressure and the critical maximal mass flow rate.
For example, the valve assembly may comprise a main vacuum valve, and a first and second by-pass valve. The second by-pass valve has an opening area greater than that of the first by-pass valve. In operation, the first by-pass valve is opened first and maintains the maximal mass flow rate as calculated according to equation (12) at a first time period. Then the first by-pass valve is closed and the second by-pass valve is opened simultaneously and maintains the maximal gas flow rate at a second time period when the main vacuum valve is closed. Then both the first and second by-pass valves are opened and maintain the maximal gas flow rate at a third time period. Finally, both the first and second by-pass valves are closed and the main vacuum valve is opened simultaneously and maintains the maximal flow rate at a fourth time period. The first through fourth time periods are substantially equal during the pump down process. FIG. 5 is a plot showing an example according to the method described above. The process chamber is initially pumped down from about 760 torr to about 400 torr in 1.47 minutes by using a first orifice valve having an opening diameter of about 2.2mm. Then the chamber is pumped down from about 400 torr to about 120 torr in 1.48 minutes by using a second orifice valve having an opening diameter of about 3.0mm. Then the chamber is pumped down from about 120 torr to about 20 torr in 1.45 minutes by opening both orifice valves. Finally, the chamber is pumped down from to about 20 mtorr in about 1.20 minutes by closing both orifice valves and opening a gate valve.
Of advantage of the present method is the very fast pump down of the chamber without generating particulate contamination. It takes only about 5.6 minutes to pump down the process chamber from about 760 torr to 20mtorr, as compared to conventional pump down methods which typically take more than 20 minutes. The present invention further provides a method of optimizing a pump down process comprising the following steps: a. setting a safety margin (S) to prevent particle generation during the fast pump down process, S>1; b. determining the maximal mass flow rate (m0) in the pump down process;
500μA m0 = - (17) hS c. determining the orifice area of a first by-pass valve (A]),
Figure imgf000016_0001
where Ti is the gas temperature at the orifice, which is typically about 400 K; d. defining a pressure Pi, at which the first by-pass valve is closed and the second by-pass valve is opened; e. determining the time (ti) needed to pump down the chamber from pressure Pa to Pi,
Figure imgf000016_0002
where the time constant is
VP. ι = (20)
RTm, determining the orifice area of a second by-pass valve (A );
Figure imgf000016_0003
g. determining a pressure P2, at which both the first and second by-pass valves are opened;
Figure imgf000016_0004
h. determining the time (t2) needed to pump down the chamber from pressure PI to P2,
Figure imgf000016_0005
where the time constant is VP, τ2 = - (23) RTm i. determining the pressure P3, at which the mass flow rate is m0 when both the by-pass valves are closed, the gate valve is fully open, and the throttle valve is at the close position. The pressure P3 depends on the actual vacuum system setup, such as the vacuum line diameter and length, and vacuum conductance of the throttle valve at the close position. It is typically in the range from about 1 torr to about 20 torr; j. determining the time (t3) needed to pump down the chamber from pressure
P2 to P3,
Figure imgf000017_0001
where the time constant is
VP, τ3 = (23)
RTm,
k. repeat steps of d to j until ti = t2 = t
As described above, a thermal processing apparatus having a vacuum system and a method of fast pumping down a chamber are provided. The foregoing description of specific embodiments of the invention have been presented for the purpose of illustration and description. They are not intended to be exhaustive or to limit the invention to the precise forms disclosed, and obviously many modifications, embodiments, and variations are possible in light of the above teaching. It is intended that the scope of the invention be defined by the claims appended hereto and their equivalents.

Claims

CLAIMS We Claim:
1. A thermal processing apparatus comprising: a process chamber and a vacuum system for evacuating the process chamber, the vacuum system comprising a pump unit in flow communication with the chamber and a valve assembly between the pump unit and the chamber for controlling a mass flow from the chamber to the pump unit, wherein said valve assembly comprises: a main vacuum valve; and a by-pass valve, said by-pass valve being connected to the vacuum line via a by-pass line and in parallel flow relation with the main vacuum valve.
2. The thermal processing apparatus of claim 1 wherein the valve assembly further comprises a second by-pass valve connected to the vacuum line via a by-pass line and in parallel flow relation with the main vacuum valve.
3. The thermal processing apparatus of claim 2 wherein said first and second by-pass valves are orifice valves, and the second orifice valve has an opening area greater than that of the first orifice valve.
4. The thermal processing apparatus of claim 1 wherein the vacuum system further comprises a throttle valve between the valve assembly and the pump unit.
5. The thermal processing apparatus of claim 1 wherein said chamber is a chemical vapor deposition chamber.
6. A method of evacuating a process chamber, comprising: determining a critical maximal mass flow rate; evacuating gas from the chamber; and controlling mass flow of the gas evacuated from the chamber during the evacuating step so as not to exceed the critical maximal gas flow rate during the evacuating step while being substantially equal to the critical maximal mass flow rate at at least plural times during the evacuating step.
7. The method of claim 6 wherein the determination of a critical maximal mass flow rate is based on an empirical determination of a Reynolds number of less than about 2000 to ensure laminar flow.
8. The method of claim 6 wherein the determination of a critical maximal mass flow rate is based on shear force being substantially equal to gravity force for a preidentified particulate type of concern.
9. The method of claim 6 wherein the controlling step comprises flowing the gas evacuated from the chamber during the evacuating step through a mass flow controller set substantially at the critical maximal mass flow rate, whereby the mass flow of the gas evacuated from the chamber during the evacuating step is substantially equal to the critical maximal mass flow rate throughout the evacuating step.
10. The method of claim 6 wherein: the evacuating step comprises directing the gas from the chamber through a vacuum system having a valve assembly; and the controlling step comprises: setting the valve assembly to a first effective orifice size during a first segment of the evacuating step beginning at a first pressure, the first effective orifice size being a function of the first pressure and the critical maximal mass flow rate; and setting the valve assembly to a second effective orifice size greater than the first effective orifice size during a second segment of the evacuating step beginning at a second pressure less than the first pressure, the second effective orifice size being a function of the second pressure and the critical maximal mass flow rate.
11. The method of claim 6 wherein the determination of a critical maximal mass flow rate is accomplished by calculating according to the following formula:
500μA max wherein m max represents critical maximal mass rate, μ represents gas viscosity, A represents area of cross section of the annulus flow area formed between a wafer stack and chamber wall, and h represents distance between a wafer stack and a wall of the chamber.
12. A method of evacuating a process chamber, comprising: determining a critical maximal mass flow rate by calculating according to the following formula:
500μA mr
wherein m max represents critical maximal mass rate, μ represents gas viscosity, A represents area of cross section of the annulus flow area formed between a wafer stack and chamber wall, and h represents distance between a wafer stack and a wall of the chamber; and maintaining the maximal mass flow rate by controlling a valve assembly, said valve assembly comprising a main vacuum valve, and first and second by-pass valves, said second by-pass valve having an opening area greater than that of the first by-pass valve, wherein said maintaining of the maximal mass flow is accomplished by opening the first by-pass valve and maintaining substantially the maximal mass flow rate at a first time period; closing the first by-pass valve and opening the second by-pass valve simultaneously and maintaining substantially the maximal mass flow rate at a second time period; opening both the first and second by-pass valves and maintaining substantially the maximal mass flow rate at a third time period; and closing both the first and second by-pass valves and opening the main vacuum valve simultaneously and maintaining substantially the maximal mass flow rate at a fourth time period; wherein said first through fourth time period are substantially equal.
PCT/US2003/021644 2002-07-15 2003-07-10 Thermal processing apparatus and method for evacuating a process chamber WO2004007800A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
AU2003249028A AU2003249028A1 (en) 2002-07-15 2003-07-10 Thermal processing apparatus and method for evacuating a process chamber

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US39653602P 2002-07-15 2002-07-15
US60/396,536 2002-07-15
US42852602P 2002-11-22 2002-11-22
US60/428,526 2002-11-22

Publications (2)

Publication Number Publication Date
WO2004007800A1 true WO2004007800A1 (en) 2004-01-22
WO2004007800A9 WO2004007800A9 (en) 2005-01-13

Family

ID=30118590

Family Applications (9)

Application Number Title Priority Date Filing Date
PCT/US2003/021647 WO2004008494A2 (en) 2002-07-15 2003-07-10 Servomotor control system and method in a semiconductor manufacturing environment
PCT/US2003/021575 WO2004008491A2 (en) 2002-07-15 2003-07-10 Thermal processing system and configurable vertical chamber
PCT/US2003/021644 WO2004007800A1 (en) 2002-07-15 2003-07-10 Thermal processing apparatus and method for evacuating a process chamber
PCT/US2003/021648 WO2004008054A1 (en) 2002-07-15 2003-07-10 Variable heater element for low to high temperature ranges
PCT/US2003/021646 WO2004008008A2 (en) 2002-07-15 2003-07-10 Control of a gaseous environment in a wafer loading chamber
PCT/US2003/021642 WO2004008493A2 (en) 2002-07-15 2003-07-10 Method and apparatus for supporting semiconductor wafers
PCT/US2003/021641 WO2004007105A1 (en) 2002-07-15 2003-07-10 Apparatus and method for backfilling a semiconductor wafer process chamber
PCT/US2003/021645 WO2004008052A2 (en) 2002-07-15 2003-07-10 System and method for cooling a thermal processing apparatus
PCT/US2003/021973 WO2004007318A2 (en) 2002-07-15 2003-07-15 Loadport apparatus and method for use thereof

Family Applications Before (2)

Application Number Title Priority Date Filing Date
PCT/US2003/021647 WO2004008494A2 (en) 2002-07-15 2003-07-10 Servomotor control system and method in a semiconductor manufacturing environment
PCT/US2003/021575 WO2004008491A2 (en) 2002-07-15 2003-07-10 Thermal processing system and configurable vertical chamber

Family Applications After (6)

Application Number Title Priority Date Filing Date
PCT/US2003/021648 WO2004008054A1 (en) 2002-07-15 2003-07-10 Variable heater element for low to high temperature ranges
PCT/US2003/021646 WO2004008008A2 (en) 2002-07-15 2003-07-10 Control of a gaseous environment in a wafer loading chamber
PCT/US2003/021642 WO2004008493A2 (en) 2002-07-15 2003-07-10 Method and apparatus for supporting semiconductor wafers
PCT/US2003/021641 WO2004007105A1 (en) 2002-07-15 2003-07-10 Apparatus and method for backfilling a semiconductor wafer process chamber
PCT/US2003/021645 WO2004008052A2 (en) 2002-07-15 2003-07-10 System and method for cooling a thermal processing apparatus
PCT/US2003/021973 WO2004007318A2 (en) 2002-07-15 2003-07-15 Loadport apparatus and method for use thereof

Country Status (6)

Country Link
EP (2) EP1540258A1 (en)
JP (2) JP2005533232A (en)
CN (1) CN1643322A (en)
AU (9) AU2003249030A1 (en)
TW (9) TW200409176A (en)
WO (9) WO2004008494A2 (en)

Cited By (160)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9216156B2 (en) 2006-03-03 2015-12-22 Mymetics Corporation Virosome-like vesicles comprising gp41-derived antigens
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11956977B2 (en) 2015-12-29 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
US11976359B2 (en) 2020-01-06 2024-05-07 Asm Ip Holding B.V. Gas supply assembly, components thereof, and reactor system including same
US11986868B2 (en) 2020-02-28 2024-05-21 Asm Ip Holding B.V. System dedicated for parts cleaning
US11987881B2 (en) 2020-05-22 2024-05-21 Asm Ip Holding B.V. Apparatus for depositing thin films using hydrogen peroxide
US11996292B2 (en) 2019-10-25 2024-05-28 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11996309B2 (en) 2019-05-16 2024-05-28 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method

Families Citing this family (177)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7966969B2 (en) * 2004-09-22 2011-06-28 Asm International N.V. Deposition of TiN films in a batch reactor
US7427571B2 (en) 2004-10-15 2008-09-23 Asm International, N.V. Reactor design for reduced particulate generation
US20070119370A1 (en) 2005-11-04 2007-05-31 Paul Ma Apparatus and process for plasma-enhanced atomic layer deposition
NL1030360C2 (en) * 2005-11-07 2007-05-08 Holding Mij Wilro B V Furnace and method for the production of photovoltaic solar cells using a diffusion process.
US20070194470A1 (en) * 2006-02-17 2007-08-23 Aviza Technology, Inc. Direct liquid injector device
US7691757B2 (en) 2006-06-22 2010-04-06 Asm International N.V. Deposition of complex nitride films
DE102007058053B4 (en) * 2007-11-30 2009-10-15 Von Ardenne Anlagentechnik Gmbh Diffusion furnace and method for generating a gas flow
US9157150B2 (en) * 2007-12-04 2015-10-13 Cypress Semiconductor Corporation Method of operating a processing chamber used in forming electronic devices
JP4885901B2 (en) * 2008-03-31 2012-02-29 株式会社山武 Flow control system
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US7833906B2 (en) 2008-12-11 2010-11-16 Asm International N.V. Titanium silicon nitride deposition
US8136618B2 (en) 2009-01-21 2012-03-20 The Raymond Corporation Cyclonic motor cooling for material handling vehicles
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5794497B2 (en) * 2010-06-08 2015-10-14 国立研究開発法人産業技術総合研究所 Linkage system
KR101877494B1 (en) * 2010-12-24 2018-07-13 엘지이노텍 주식회사 Vacuum heat treatment apparatus
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US9018567B2 (en) 2011-07-13 2015-04-28 Asm International N.V. Wafer processing apparatus with heated, rotating substrate support
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9147584B2 (en) * 2011-11-16 2015-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Rotating curing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
KR101440307B1 (en) * 2012-09-17 2014-09-18 주식회사 유진테크 Apparatus for processing substrate
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10177014B2 (en) 2012-12-14 2019-01-08 Applied Materials, Inc. Thermal radiation barrier for substrate processing chamber components
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
WO2014151475A1 (en) 2013-03-15 2014-09-25 Watkins Bobby G Ii Flow control and gas metering process
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
WO2015112328A1 (en) * 2014-01-27 2015-07-30 Applied Materials, Inc. High speed epi system and chamber concepts
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9543171B2 (en) * 2014-06-17 2017-01-10 Lam Research Corporation Auto-correction of malfunctioning thermal control element in a temperature control plate of a semiconductor substrate support assembly that includes deactivating the malfunctioning thermal control element and modifying a power level of at least one functioning thermal control element
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (en) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. Semiconductor device and manufacuring method thereof
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
TWI642137B (en) * 2015-08-04 2018-11-21 日商日立國際電氣股份有限公司 Substrate processing apparatus, reaction container, and manufacturing method of semiconductor device
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US20170207078A1 (en) * 2016-01-15 2017-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Atomic layer deposition apparatus and semiconductor process
JP6143964B1 (en) * 2016-01-25 2017-06-07 三菱電機株式会社 Control device
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (en) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. Method of forming metal interconnection and method of fabricating semiconductor device using the same
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
KR102613349B1 (en) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. Exhaust apparatus and substrate processing apparatus and thin film fabricating method using the same
FR3057391B1 (en) * 2016-10-11 2019-03-29 Soitec THERMAL TREATMENT EQUIPMENT WITH COLLECTING DEVICE
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
WO2018150537A1 (en) * 2017-02-17 2018-08-23 株式会社Kokusai Electric Substrate treatment device, method for manufacturing semiconductor device, and program
JP7158133B2 (en) 2017-03-03 2022-10-21 アプライド マテリアルズ インコーポレイテッド Atmosphere-controlled transfer module and processing system
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
TWI629441B (en) 2017-07-07 2018-07-11 寶成工業股份有限公司 Smart oven
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR102401446B1 (en) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
KR102622303B1 (en) * 2017-11-16 2024-01-05 어플라이드 머티어리얼스, 인코포레이티드 High pressure steam annealing processing equipment
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
KR20190128558A (en) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
TWI816783B (en) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
JP7206678B2 (en) * 2018-07-30 2023-01-18 Tdk株式会社 Load port device, semiconductor manufacturing device, and method for controlling atmosphere in pod
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
CN112242296A (en) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 Method of forming topologically controlled amorphous carbon polymer films
CN112309843A (en) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 Selective deposition method for achieving high dopant doping
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11407000B2 (en) 2019-09-23 2022-08-09 S. C. Johnson & Son, Inc. Volatile material dispenser
KR20210042810A (en) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. Reactor system including a gas distribution assembly for use with activated species and method of using same
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
GB2610156A (en) * 2021-04-29 2023-03-01 Edwards Ltd Semiconductor processing system
FI129948B (en) * 2021-05-10 2022-11-15 Picosun Oy Substrate processing apparatus and method
KR102444786B1 (en) * 2021-12-23 2022-09-19 주식회사 에이치피에스피 High Pressure Chamber to Improve Cooling Efficiency
CN114990299B (en) * 2022-08-01 2022-10-04 兴化市天泰合金制品科技有限公司 Heat treatment device for preparing nodular cast iron alloy

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6450116B1 (en) * 1999-04-22 2002-09-17 Applied Materials, Inc. Apparatus for exposing a substrate to plasma radicals
US6537707B1 (en) * 2000-03-15 2003-03-25 Agilent Technologies, Inc. Two-stage roughing and controlled deposition rates for fabricating laser ablation masks
US6589350B1 (en) * 2000-09-08 2003-07-08 Advanced Micro Devices, Inc. Vacuum processing chamber with controlled gas supply valve

Family Cites Families (56)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4401689A (en) * 1980-01-31 1983-08-30 Rca Corporation Radiation heated reactor process for chemical vapor deposition on substrates
EP0164928A3 (en) * 1984-06-04 1987-07-29 Texas Instruments Incorporated Vertical hot wall cvd reactor
JPS61191015A (en) * 1985-02-20 1986-08-25 Hitachi Ltd Semiconductor vapor growth and equipment thereof
US4753192A (en) * 1987-01-08 1988-06-28 Btu Engineering Corporation Movable core fast cool-down furnace
JPH088220B2 (en) * 1988-09-05 1996-01-29 株式会社日立製作所 Semiconductor wafer heat treatment apparatus and heat treatment method
EP0306967B1 (en) * 1987-09-11 1997-04-16 Hitachi, Ltd. Apparatus for performing heat treatment on semiconductor wafers
DE3885833T2 (en) * 1987-09-22 1994-03-24 Nec Corp Chemical vapor deposition apparatus for the production of high quality epitaxial layers with a uniform density.
US4787844A (en) * 1987-12-02 1988-11-29 Gas Research Institute Seal arrangement for high temperature furnace applications
US4914276A (en) * 1988-05-12 1990-04-03 Princeton Scientific Enterprises, Inc. Efficient high temperature radiant furnace
JP2654996B2 (en) * 1988-08-17 1997-09-17 東京エレクトロン株式会社 Vertical heat treatment equipment
JPH02130943A (en) * 1988-11-11 1990-05-18 Tel Sagami Ltd Accommodation jig
US5160545A (en) * 1989-02-03 1992-11-03 Applied Materials, Inc. Method and apparatus for epitaxial deposition
DE3906075A1 (en) * 1989-02-27 1990-08-30 Soehlbrand Heinrich Dr Dipl Ch METHOD FOR THERMALLY TREATING SEMICONDUCTOR MATERIALS AND DEVICE FOR CARRYING OUT THE SAME
US5207835A (en) * 1989-02-28 1993-05-04 Moore Epitaxial, Inc. High capacity epitaxial reactor
US5127365A (en) * 1990-02-27 1992-07-07 Kabushiki Kaisha Toshiba Vertical heat-treatment apparatus for semiconductor parts
JP2819073B2 (en) * 1991-04-25 1998-10-30 東京エレクトロン株式会社 Method of forming doped thin film
JP3040212B2 (en) * 1991-09-05 2000-05-15 株式会社東芝 Vapor phase growth equipment
WO1993023713A1 (en) * 1992-05-15 1993-11-25 Shin-Etsu Quartz Products Co., Ltd. Vertical heat treatment apparatus and heat insulating material
US5383984A (en) * 1992-06-17 1995-01-24 Tokyo Electron Limited Plasma processing apparatus etching tunnel-type
JP3024449B2 (en) * 1993-07-24 2000-03-21 ヤマハ株式会社 Vertical heat treatment furnace and heat treatment method
US5706627A (en) * 1994-02-02 1998-01-13 Tetra Laval Holdings & Finance, S.A. Control system for a packaging machine
JPH088194A (en) * 1994-06-16 1996-01-12 Kishimoto Sangyo Kk Gas phase growth mechanism and heating apparatus in heat treatment mechanism
US6361618B1 (en) * 1994-07-20 2002-03-26 Applied Materials, Inc. Methods and apparatus for forming and maintaining high vacuum environments
US5724786A (en) * 1994-09-28 1998-03-10 Tetra Laval Holdings & Finance S.A. Control system having error correcting apparatus
JP2732224B2 (en) * 1994-09-30 1998-03-25 信越半導体株式会社 Wafer support boat
JPH08213446A (en) * 1994-12-08 1996-08-20 Tokyo Electron Ltd Processing equipment
US5830277A (en) * 1995-05-26 1998-11-03 Mattson Technology, Inc. Thermal processing system with supplemental resistive heater and shielded optical pyrometry
US5871588A (en) * 1995-07-10 1999-02-16 Cvc, Inc. Programmable ultraclean electromagnetic substrate rotation apparatus and method for microelectronics manufacturing equipment
JP3471144B2 (en) * 1995-09-06 2003-11-25 東京エレクトロン株式会社 Vertical heat treatment apparatus, heat insulation structure thereof, and heat shield plate
JP3423131B2 (en) * 1995-11-20 2003-07-07 東京エレクトロン株式会社 Heat treatment equipment and treatment equipment
JPH09306980A (en) * 1996-05-17 1997-11-28 Asahi Glass Co Ltd Vertical wafer boat
US20010052359A1 (en) * 1997-02-21 2001-12-20 Masayoshi Ikeda Method of substrate temperature control and method of assessing substrate temperature controllability
US5846073A (en) * 1997-03-07 1998-12-08 Semitool, Inc. Semiconductor furnace processing vessel base
US5826406A (en) * 1997-05-01 1998-10-27 Tetra Laval Holdings & Finance, S.A. Servo-controlled conveyor system for carrying liquid filled containers
US5900177A (en) * 1997-06-11 1999-05-04 Eaton Corporation Furnace sidewall temperature control system
US6352594B2 (en) * 1997-08-11 2002-03-05 Torrex Method and apparatus for improved chemical vapor deposition processes using tunable temperature controlled gas injectors
WO1999065681A1 (en) * 1998-06-18 1999-12-23 Kline & Walker, Llc Automated devices to control equipment and machines with remote control and accountability worldwide
WO1999036587A1 (en) * 1998-01-15 1999-07-22 Torrex Equipment Corporation Vertical plasma enhanced process apparatus and method
US6204194B1 (en) * 1998-01-16 2001-03-20 F.T.L. Co., Ltd. Method and apparatus for producing a semiconductor device
US6059567A (en) * 1998-02-10 2000-05-09 Silicon Valley Group, Inc. Semiconductor thermal processor with recirculating heater exhaust cooling system
US6051113A (en) * 1998-04-27 2000-04-18 Cvc Products, Inc. Apparatus and method for multi-target physical-vapor deposition of a multi-layer material structure using target indexing
US6030208A (en) * 1998-06-09 2000-02-29 Semitool, Inc. Thermal processor
JP3487497B2 (en) * 1998-06-24 2004-01-19 岩手東芝エレクトロニクス株式会社 Object to be processed accommodation jig and heat treatment apparatus using the same
US6537461B1 (en) * 2000-04-24 2003-03-25 Hitachi, Ltd. Process for treating solid surface and substrate surface
US6140833A (en) * 1998-11-16 2000-10-31 Siemens Aktiengesellschaft In-situ measurement method and apparatus for semiconductor processing
US6449428B2 (en) * 1998-12-11 2002-09-10 Mattson Technology Corp. Gas driven rotating susceptor for rapid thermal processing (RTP) system
US6193811B1 (en) * 1999-03-03 2001-02-27 Applied Materials, Inc. Method for improved chamber bake-out and cool-down
JP2000311862A (en) * 1999-04-28 2000-11-07 Kokusai Electric Co Ltd Substrate treating system
US6121581A (en) * 1999-07-09 2000-09-19 Applied Materials, Inc. Semiconductor processing system
US6391163B1 (en) * 1999-09-27 2002-05-21 Applied Materials, Inc. Method of enhancing hardness of sputter deposited copper films
JP3404674B2 (en) * 2000-01-21 2003-05-12 株式会社真空技研 Ultra high temperature heat treatment equipment
US20020069970A1 (en) * 2000-03-07 2002-06-13 Applied Materials, Inc. Temperature controlled semiconductor processing chamber liner
US6641350B2 (en) * 2000-04-17 2003-11-04 Hitachi Kokusai Electric Inc. Dual loading port semiconductor processing equipment
JP2002083780A (en) * 2000-09-05 2002-03-22 Hitachi Kokusai Electric Inc Semiconductor manufacturing apparatus
US20030082031A1 (en) * 2001-10-30 2003-05-01 Olivier Vatel Wafer handling device and method for testing wafers
JP4873820B2 (en) * 2002-04-01 2012-02-08 株式会社エフティーエル Semiconductor device manufacturing equipment

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6450116B1 (en) * 1999-04-22 2002-09-17 Applied Materials, Inc. Apparatus for exposing a substrate to plasma radicals
US6537707B1 (en) * 2000-03-15 2003-03-25 Agilent Technologies, Inc. Two-stage roughing and controlled deposition rates for fabricating laser ablation masks
US6589350B1 (en) * 2000-09-08 2003-07-08 Advanced Micro Devices, Inc. Vacuum processing chamber with controlled gas supply valve

Cited By (181)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9216156B2 (en) 2006-03-03 2015-12-22 Mymetics Corporation Virosome-like vesicles comprising gp41-derived antigens
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11956977B2 (en) 2015-12-29 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11970766B2 (en) 2016-12-15 2024-04-30 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US12000042B2 (en) 2016-12-15 2024-06-04 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11976361B2 (en) 2017-06-28 2024-05-07 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11581220B2 (en) 2017-08-30 2023-02-14 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11972944B2 (en) 2018-01-19 2024-04-30 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11908733B2 (en) 2018-05-28 2024-02-20 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11814715B2 (en) 2018-06-27 2023-11-14 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11952658B2 (en) 2018-06-27 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11866823B2 (en) 2018-11-02 2024-01-09 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11959171B2 (en) 2019-01-17 2024-04-16 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11798834B2 (en) 2019-02-20 2023-10-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11901175B2 (en) 2019-03-08 2024-02-13 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11996309B2 (en) 2019-05-16 2024-05-28 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11908684B2 (en) 2019-06-11 2024-02-20 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11746414B2 (en) 2019-07-03 2023-09-05 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11996304B2 (en) 2019-07-16 2024-05-28 Asm Ip Holding B.V. Substrate processing device
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11827978B2 (en) 2019-08-23 2023-11-28 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11898242B2 (en) 2019-08-23 2024-02-13 Asm Ip Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11996292B2 (en) 2019-10-25 2024-05-28 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11976359B2 (en) 2020-01-06 2024-05-07 Asm Ip Holding B.V. Gas supply assembly, components thereof, and reactor system including same
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11986868B2 (en) 2020-02-28 2024-05-21 Asm Ip Holding B.V. System dedicated for parts cleaning
US11837494B2 (en) 2020-03-11 2023-12-05 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11987881B2 (en) 2020-05-22 2024-05-21 Asm Ip Holding B.V. Apparatus for depositing thin films using hydrogen peroxide
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Also Published As

Publication number Publication date
TW200406818A (en) 2004-05-01
EP1540258A1 (en) 2005-06-15
WO2004007800A9 (en) 2005-01-13
TW200419890A (en) 2004-10-01
WO2004008054A9 (en) 2005-01-13
AU2003249029A8 (en) 2004-02-02
AU2003249030A8 (en) 2004-02-02
WO2004008494A2 (en) 2004-01-22
AU2003253907A1 (en) 2004-02-02
AU2003259104A8 (en) 2004-02-02
WO2004008008A2 (en) 2004-01-22
WO2004008054A1 (en) 2004-01-22
TW200416774A (en) 2004-09-01
EP1522090A2 (en) 2005-04-13
TW200409176A (en) 2004-06-01
WO2004007318A2 (en) 2004-01-22
TW200416773A (en) 2004-09-01
WO2004008052A3 (en) 2004-05-13
AU2003249028A1 (en) 2004-02-02
AU2003253873A1 (en) 2004-02-02
JP2005533378A (en) 2005-11-04
WO2004008494A3 (en) 2005-04-21
CN1643322A (en) 2005-07-20
AU2003256486A8 (en) 2004-02-02
WO2004008493A2 (en) 2004-01-22
WO2004008493A3 (en) 2004-05-27
AU2003256487A1 (en) 2004-02-02
WO2004008493A9 (en) 2004-07-22
AU2003256486A1 (en) 2004-02-02
WO2004007105A1 (en) 2004-01-22
WO2004008491A2 (en) 2004-01-22
EP1522090A4 (en) 2006-04-05
WO2004008491A3 (en) 2004-06-03
WO2004008008A3 (en) 2004-12-16
WO2004007318A3 (en) 2004-08-05
TW200411717A (en) 2004-07-01
AU2003253874A1 (en) 2004-02-02
AU2003249030A1 (en) 2004-02-02
WO2004008052A2 (en) 2004-01-22
AU2003253874A8 (en) 2004-02-02
AU2003259104A1 (en) 2004-02-02
TW200416775A (en) 2004-09-01
JP2005533232A (en) 2005-11-04
TW200411960A (en) 2004-07-01
AU2003249029A1 (en) 2004-02-02
TW200405401A (en) 2004-04-01
AU2003253907A8 (en) 2004-02-02

Similar Documents

Publication Publication Date Title
WO2004007800A1 (en) Thermal processing apparatus and method for evacuating a process chamber
US20050189074A1 (en) Gas processing apparatus and method and computer storage medium storing program for controlling same
US6916397B2 (en) Methods and apparatus for maintaining a pressure within an environmentally controlled chamber
US6814572B2 (en) Heat treating method and heat treating device
JP6907518B2 (en) Vacuum processing equipment and operation method of the vacuum processing equipment.
JPH07211761A (en) Transfer of material to be treated in treating device
US20090060702A1 (en) Method for transporting object to be processed in semiconductor manufacturing apparatus
US11685992B2 (en) Substrate processing apparatus, quartz reaction tube and method of manufacturing semiconductor device
JP6647260B2 (en) Semiconductor device manufacturing method, substrate processing apparatus, and program
US10731248B2 (en) Vacuum processing apparatus and operation method thereof
TWI534875B (en) Load lock chamber, substrate processing system and method for venting
US8172923B2 (en) Apparatus and method for reducing particle contamination in a vacuum chamber
US8051870B2 (en) Pressure reduction process device, pressure reduction process method, and pressure regulation valve
US10161567B2 (en) Process chamber pressure control system and method
JP4244674B2 (en) Processing apparatus and processing method
WO2020175314A1 (en) Method for producing semiconductor device, substrate processing apparatus, and program
US20040083588A1 (en) Vacuum/purge operation of loadlock chamber and method of transferring a wafer using said operation
US6139640A (en) Chemical vapor deposition system and method employing a mass flow controller
US20220002873A1 (en) Method of manufacturing semiconductor device, substrate processing apparatus and non-transitory computer-readable recording medium
JP2000232071A (en) Substrate-processing method and apparatus
JP4414869B2 (en) Vacuum processing equipment
JP2001060555A (en) Substrate treating method
JP2000269303A (en) Semiconductor manufacturing device
KR20030040070A (en) Method for fabricating a semiconductor device and a substrate processing apparatus
JPH02107775A (en) Exhausting method

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
COP Corrected version of pamphlet

Free format text: PAGES 1/4-4/4, DRAWINGS, REPLACED BY NEW PAGES 1/4-1/4; DUE TO LATE TRANSMITTAL BY THE RECEIVING OFFICE

122 Ep: pct application non-entry in european phase
NENP Non-entry into the national phase

Ref country code: JP

WWW Wipo information: withdrawn in national office

Country of ref document: JP