CN1643322A - Heat treatment system and formable vertical chamber - Google Patents

Heat treatment system and formable vertical chamber Download PDF

Info

Publication number
CN1643322A
CN1643322A CN 03806135 CN03806135A CN1643322A CN 1643322 A CN1643322 A CN 1643322A CN 03806135 CN03806135 CN 03806135 CN 03806135 A CN03806135 A CN 03806135A CN 1643322 A CN1643322 A CN 1643322A
Authority
CN
China
Prior art keywords
process chamber
carriage
substrates
bearing
heating element
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN 03806135
Other languages
Chinese (zh)
Inventor
戴尔·R·杜博伊斯
杰米·H·纳姆
克雷格·威尔德曼
邱泰庆
杰弗里·M·科瓦尔斯基
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML US Inc
Aviza Technology Inc
Original Assignee
ASML US Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML US Inc filed Critical ASML US Inc
Publication of CN1643322A publication Critical patent/CN1643322A/en
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4409Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber characterised by sealing means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67772Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving removal of lid, door, cover
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67775Docking arrangements

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Furnace Details (AREA)
  • Resistance Heating (AREA)
  • Control By Computers (AREA)
  • Control Of Fluid Pressure (AREA)
  • Control Of Non-Electrical Variables (AREA)
  • Physical Vapour Deposition (AREA)
  • Control Of Resistance Heating (AREA)
  • Muffle Furnaces And Rotary Kilns (AREA)

Abstract

An apparatus (100) and method are provided for thermally processing substrates (108) held in a carrier (106). The apparatus (100) includes a vessel (101) having a top (134), side (136) and bottom (138), and a heat source (110) with heating elements (112-1, 112-2, 112-3) proximal thereto. The vessel (101) is sized to enclose a volume substantially no larger than necessary to accommodate the carrier (106), and to provide an isothermal process zone (128) extending throughout. In one embodiment, the bottom wall (138) includes a movable pedestal (140) with a bottom heating element therein (112-1), and the pedestal can be lowered and raised to insert the carrier (106) into the vessel (101). The apparatus (100) can include a movable shield (146) that is inserted between the pedestal (140) and the carrier (106) to shield the substrates (108) from the heating element (112-1) and to maintain pedestal temperature. A magnetically coupled repositioning system (162) repositions the carrier (106) during processing of the substrates (108) without use of a movable feedthrough into the volume enclosed by the vessel (101), and without moving the bottom heating element (112-1) in the pedestal (140).

Description

Heat treatment system and formable vertical chamber
The cross reference of related application
The present invention requires the sequence number that is entitled as " heat treatment system " in application on July 15th, 2002 to be 60/396536 and to be the interests and the priority of 60/428526 the interim patent of the U.S. of granting by ordinary procedure at the sequence number that is entitled as " method of heat treatment system and this system of use " of application on November 22nd, 2002.Here it is for reference to quote in full these two patents.
Technical field
In general, the present invention relates to the system and method for heat treatment object (for example substrate).More particularly, the present invention relates to heat treatment, annealing and with material layer depositions on semiconductor wafer or substrate or remove the apparatus and method of material layer from semiconductor wafer or substrate.
Background technology
In making the integrated circuit (IC) or semiconductor devices that constitutes by semiconductor chip or wafer, use annealing device usually.The heat treatment of semiconductor wafer comprises for example heat treatment, annealing, diffusion or drives the dopant material, the deposition of material layer or generation and etching or remove material from the substrate.These processing requirements before this is handled and among, be heated to wafer up to 1300 ℃ and be low to moderate 300 ℃ temperature, and one or more fluids (for example handling gas or reactant) are delivered to wafer.In addition, these handle general requirement, change although the temperature of processing gas or this gas import the speed of process chamber, and in this was handled, this wafer still remained under the consistent temperature.
Common annealing device generally comprises the bulky process chamber that is placed in the heating furnace or is heated the stove encirclement.The substrate of heat-treating is sealed in this process chamber, utilizes heating furnace that this process chamber is heated to then and handles temperature desired.For many processes (for example chemical vapour desposition (CVD)), at first the process chamber with sealing vacuumizes, and after this process chamber reaches temperature desired, feeds reaction or handles gas, so that form or the deposition reactant material on this substrate.
In the past, annealing device (particularly vertical heat processing apparatus) requirement will protect heater (guardheaters) to be placed on above the treatment region of handling wafer product and the place of the sidewall of following, close this process chamber.This structure is undesirable, because it needs bigger cavity volume, and the essential step-down of this volume, regulate the flow of vital energy body or steam of use is full of and refills or clean, and the result increases the processing time.In addition since from heater to the observation factor of this wafer bad (a poor view factory of thewafers from the heaters), so this structure occupies a large amount of spaces and power.
Before the other problems of common annealing device was included in processing, the temperature that improves this process chamber and wafer to be processed needed a lot of times and reduce temperature after processing to need the time.In addition, also need the extra time to guarantee that the temperature of this process chamber as one man has been stabilized in temperature desired before processing can begin usually.May for half an hour or still less, the time of preliminary treatment and post processing be generally wanted 1~3 hour or longer although handle real time that wafer needs.The required time of temperature to an even temperature that raises like this, fast and/or reduce process chamber has been limited the productivity ratio of common annealing device greatly.
Raising and the time of reducing temperature are in common annealing device than a long fundamental cause, before heating effectively or cooling off wafer, and essential the heating or the process chamber of cooling and/or the thermal mass of heating furnace.
A kind of common reduce or the method to this restriction of common annealing device productivity ratio of compensate be increased in once circulation or turn round in manageable wafer number.Handle the useful efficiency that a large amount of wafers can increase this device by the effective processing time that reduces each wafer simultaneously.Yet if go wrong in processing procedure, this method has also increased dangerous degree.That is, if such as in the single treatment cyclic process, equipment or processing are broken down, and then may destroy or damage a large amount of wafers.This is for larger-size wafer and complicated integrated circuit problem especially because according to the processing stage difference, a wafer may Jia Zhi $1000~$10000 dollar.
Another problem of this solution is that the size that increases process chamber can increase the influence of process chamber thermal mass to hold a large amount of wafers, thereby reduces the speed of wafer heating or cooling.In addition, the bigger process chamber of handling more large batch of wafer causes or produces the fault of " first advances last has ", at this moment the wafer in first chamber of packing into also is the last wafer that takes out, cause these wafer long periods to expose at high temperature like this, reduce the uniformity of measuring wafer by the gross.
Another problem of said method is that employed system of many processing and device are not suitable for handling simultaneously a large amount of wafers before and after heat treatment.Like this, the wafer that heat treatment is in enormous quantities or a large amount of, in the productivity ratio that increases annealing device, total productivity ratio of improving semiconductor manufacturing facility is contributed seldom, and in fact may reduce total productivity ratio, because before this annealing device, will accumulate wafer, these wafers are become at the other system in its downstream and the bottleneck of device.
Quick heat treatment rapid thermal treatment (RTP) system that is used for wafer as the alternative device of above-mentioned this common annealing device for exploitation.Generally, common RTP system uses high-intensity lamp, little, transparent being generally in the process chamber that quartz makes, heats a wafer or a spot of wafer selectively.The RTP system can reduce or eliminate the influence of process chamber thermal mass, and because this light fixture has low-down thermal mass, by instantaneous this lamp that opens or closes, can heat or cool off wafer apace.
Yet common RTP system has important disadvantages, and these shortcomings comprise the layout of this lamp.In the past, this lamp is arranged in the zone or the lamp group of a large amount of lamp of each sidewall that all comprises close this process chamber.This structure is problematic, because observe factor bad (poor view factory), effectively to work in order to make these lamps, they occupy a large amount of spaces and need a large amount of electric energy, and in the latest generation semiconductor processing equipment, all these is very valuable.
Another problem of common RTP system is, it can not a plurality of wafers in a collection of wafer on and even wafer on form even temperature and distribute.This temperature distributing disproportionation is even several reasons: (i) utilize one or more lamps, and bad to the observation factor of one or more wafers; (ii) the power output of lamp changes.
In addition, the output fault of a lamp or variation have adverse effect to the Temperature Distribution of wafer.For this reason, in the system of great majority based on lamp, in processing procedure, rotate a wafer or a plurality of wafer, the temperature non that the variation of exporting owing to lamp with assurance causes can not passed on to wafer.Yet, rotate the needed moving parts of wafer, especially feed the revolution lead-in wire of process chamber, the cost of system and complexity are increased, thereby reduce total reliability of system.
The place of another trouble of RTP system is to keep the outward flange of wafer and the uniform temperature at center to distribute.Most of common RTP systems do not have the device of the temperature non of suitable this form of adjusting.As a result, on wafer surface, produce instantaneous temperature fluctuation, unless use the susceptor body (black body susceptor) of the diameter black bigger than wafer, this may be formed on the slippage dislocation in the high temperature lower wafer.
The common shortcoming that also has other based on the RTP system of lamp.For example, unless use phase angle control, otherwise do not have proper device to can be provided between transient period (for example lamp switches on and off) uniform power distribution and even temperature in the process; And adopt phase angle control can produce electrical noise.The reproducibility of performance also is a shortcoming based on the system of lamp.Because each lamp is aging all different to its performance.Changing lamp also is that cost is high and time taking, particularly all the more so when given lamp system has 180 lamps of surpassing.Power demand is possibility cost height also, because the peak power consumption of lamp can reach about 250kw.
Therefore, need be in heat treatment process, can be fast and equably a collection of one or more substrates are heated to the apparatus and method that all reach temperature desired on the surface of each substrate in this batch.
Summary of the invention
The invention provides the method that solves these and other problems, and other advantage is arranged than prior art.
The invention provides the apparatus and method of a kind of isothermal heated parts (for example semiconductor chip or wafer).Can carry out such as annealing, diffusion or drive dopant material, the deposition of material layer or growth, etching or remove the processing of material from the wafer.
A kind of annealing device is provided, and it can at high temperature be handled and be contained in a substrate in the carriage.This device comprises having roof, a process chamber and a heating source of sidewall and diapire; This heating source has a plurality of heating element heaters near this processing wall roof, sidewall and diapire, can form isothermal environment in the treatment region of placing this carriage, with this substrate of heat treatment.According to an aspect, the size of this process chamber can be selected to such an extent that can seal one haply unlike holding the required capacious volume of carriage, and this treatment region extends in the entire process chamber haply.The size of preferred this process chamber can be selected to such an extent that can seal one haply unlike 125% a big volume that holds the necessary volume of carriage.Preferred, this device also comprises a pumping system, so that before reaching processing pressure this process chamber is vacuumized, also comprises a purging system in addition, is used at the back back-filling process chamber of finishing dealing with.The size of this process chamber should be chosen to and can vacuumize this process chamber fast and quick this process chamber of back-filling.
According to another aspect of the present invention, the diapire of this process chamber comprises a movable support with at least one heating element heater, and this movable support liftable takes out from process chamber so that the carriage of carrying substrates is inserted this process chamber neutralization.In one embodiment, this device also comprises a movable heat shield piece, can insert between the heating element heater and the interior substrate that is carried of carriage in the bearing.The heat energy reflected back bearing that this heat shield piece can produce the heating element heater from this bearing, and the substrate of shielding on carriage are not subjected to the influence of the heat energy of the heating element heater generation on the bearing.In a kind of form of this embodiment, this device also comprises a baffle plate, can when this bearing when dipping, move to this position above carriage, process chamber is separated.This device also comprises a pumping system that vacuumizes to process chamber, this baffle plate can be with process chamber sealing so that when this bearing when dipping, this pumping system vacuumizes process chamber.
In yet another embodiment, this device also comprises the reorientation system of a magnetic couplings, and it can reorientate carriage in the process of heat treatment substrate.Preferred, the reorientation system of this magnetic couplings is the rotary system of magnetic couplings, and it can rotate this carriage in treatment region in heat treatment substrate process.
According to a further aspect of the invention, this device also comprises a lining and distributed or cross flow one spraying system that roof and sidewall with this carriage and process chamber separate; This spraying system is used for the surface of each substrate that the guide of flow of fluid is carried to the carriage.This cross flow one spraying system generally comprises a cross flow one injector with a plurality of jets of arranging with respect to the substrate that is carried in the carriage, and fluid can be delivered on the one side of these a plurality of substrates by this injector.With respect to the substrate that is carried in the carriage arrange, a plurality of exhaust outlets in the lining flow fluid on the surface of substrate.The fluid of being introduced by the cross flow one spraying system comprises to be handled gas or steam and is used for cleaning or this chamber of back-filling or be used for inert purge gases or steam at this chamber cooling substrate.
Description of drawings
Of the present invention these with different other characteristics and advantages, will be clear when reading below in conjunction with the detailed description of accompanying drawing and appended claim.Wherein:
Fig. 1 is according to an embodiment of the invention, uses the cross-sectional view of annealing device of heater that volume is waited the band bearing of temperature control that has of common upwards flow structure;
Fig. 2 is the perspective view of the base plate of another embodiment of using in annealing device shown in Figure 1;
Fig. 3 is for according to one embodiment of present invention, has the cross-sectional view of a part of the annealing device of the heater of band bearing and heat shield piece;
Fig. 4 is the heater of band bearing shown in Figure 3 according to an embodiment of the invention and the schematic diagram of heat shield piece;
Fig. 5 is the schematic diagram according to an embodiment of the heat shield piece of the lower floor that has the top layer made by the material of high absorbent capacity and made by the material of high reflectance of the present invention;
Fig. 6 is the schematic diagram according to another embodiment of heat shield piece of the present invention, as to have a cooling duct;
Fig. 7 is the perspective view according to heat shield piece of the present invention and actuator;
Fig. 8 has the cross-sectional view of a part of the annealing device of baffle plate according to one embodiment of present invention;
Fig. 9 is the cross-sectional view according to a process chamber of the wafer rotary system of heater one embodiment of the invention, that have the band bearing and magnetic couplings;
Figure 10 has the cross-sectional view of the annealing device of cross flow one ejector system for according to one embodiment of present invention;
Figure 11 is according to an embodiment of the invention, and expression injector aperture is with respect to the position of lining and the air discharge duct cross-sectional side view with respect to the part of the annealing device shown in Figure 10 of the position of wafer;
Figure 12 is for according to one embodiment of present invention, the plane of the part of the annealing device of being got along the A-A line of Figure 10 shown in Figure 10, and expression is injected in the gas flow of wafer and exhaust outlet from the aperture of main and auxiliary ejector;
Figure 13 is for according to another embodiment of the invention, the plane of the part of the annealing device of being got along the A-A line of Figure 10 shown in Figure 10, and expression is injected in the gas flow of wafer and exhaust outlet from the aperture of main and auxiliary ejector;
Figure 14 is for according to still another embodiment of the invention, the plane of the part of the annealing device of being got along the A-A line of Figure 10 shown in Figure 10, and expression is injected in the gas flow of wafer and exhaust outlet from the aperture of main and auxiliary ejector;
Figure 15 is for according to still a further embodiment, the plane of the part of the annealing device of being got along the A-A line of Figure 10 shown in Figure 10, and expression is injected in the gas flow of wafer and exhaust outlet from the aperture of main and auxiliary ejector;
Figure 16 is according to an embodiment of the invention, has the cross-sectional view of another kind to the annealing device of upper reaches ejector system;
Figure 17 is according to an embodiment of the invention, has the cross-sectional view of another kind to the annealing device of dirty ejector system;
Figure 18 is the flow chart of an embodiment of the processing of a collection of wafer of expression heat treatment according to an embodiment of the invention, and wherein each wafer in this batch wafer all is heated to temperature desired fast and equably; With
Figure 19 is the flow chart of another embodiment of the processing of a collection of wafer of expression heat treatment according to an embodiment of the invention, and wherein each wafer in this batch wafer all is heated to temperature desired fast and equably.
The specific embodiment
The invention provides a kind of apparatus and method of handling one or more workpiece of a spot of or small lot, this workpiece for example can be semiconductor chip or the wafer that is carried on the carriage (for example box or evaporimeter), like this uniformity of circulation timei that can reduce to handle and improvement processing.
Terminology used here " small lot " is meant a plurality of wafers of a hundreds of wafer that is less than in the general batch system, preferably in 1~about 53 semiconductor wafer scopes, or 1~50 semiconductor wafer is wherein arranged is the finished product wafer, and all the other are to be used to monitor purpose and as the non-finished product wafer of baffle wafers.
Heat treatment is meant the processing that workpiece or wafer is heated to the desired temperature of about 350 ℃~1300 ℃ of scopes.The heat treatment of semiconductor wafer can comprise: the heat treatment of dopant material, annealing, diffusion or driving, the deposition of material layer or growth (for example chemical vapour desposition or CVD) and etching or remove material from the wafer.
Referring now to Fig. 1 annealing device according to an embodiment is described.For the sake of clarity, omitted the details of many annealing devices well-known and known to those skilled in the art.The explanation in No. 4770590, the United States Patent (USP) of granting by ordinary procedure in more detail of these details, it is for reference to quote this patent here.
Fig. 1 is the cross-sectional view of an embodiment of a kind of annealing device of heat treatment bulk of semiconductor crystal chips.As shown in the figure, this annealing device 100 generally includes a container 101 and thermal source or heating furnace 110: volume of these container 101 sealings forms a process chamber 102; This process chamber has supporting 104, can place a carriage or evaporimeter 106; 108 of a collection of wafers are loaded in this evaporimeter 106; This thermal source or heating furnace have a plurality of heating element heater 112-1,112-2 and 112-3 (being referred to as heating element heater 112 later on), are used for chip temperature is increased to heat treated temperature desired.This annealing device 100 also comprises one or more optics or electric temperature-sensing element (for example Resistance Temperature Device (RTD) or thermocouple (T/C)), be used to monitor in process chamber 102 temperature and/or control heating element heater 112 work.In an illustrated embodiment, temperature-sensing element is the T/C114 that makes certain contour shape.This T/C114 has a plurality of independently temperature detection nodes or is used to detect the some (not shown) of the temperature of a plurality of positions in process chamber 102.This annealing device 100 also can comprise one or more injectors 116 (only having represented an injector among the figure), is used for fluid (for example gas or steam) is introduced this process chamber 102, carries out the processing and/or the cooling of wafer 108; And this annealing device also comprises one or more Butterworth Hatch or exhaust outlet 118 (only having represented an exhaust outlet among the figure), is used to introduce gas, cleans this process chamber and/or cooling wafer.Lining 120 is increased near the zone that will handle wafer or the wafer in the treatment region 128 108 the processing gas or the concentration of steam, and can reduce by the deposit flaking that can form on the inner surface of process chamber 102 or peel off the wafer contamination that causes.Handle gas or steam,, discharge from this treatment region by exhaust outlet in this process chamber lining 120 or groove 121.
Other structures that are fit to of some of injector 116, manufacturing technology and material, in more detail what grant by ordinary procedure, examining, sequence number is TBD, be entitled as in the PCT patent application of " apparatus and method in back-filling semiconductor wafer processing chamber " and be described.This patent application quotes in full for reference here being proposed for FP-71750-PC number by agency's numbering on the same day.
Generally, this container 101 is sealed on a platform or the base plate 124 by a seal (for example o RunddichtringO) 122, to form process chamber 102; This process chamber is in heat treatment process, with wafer 108 complete closed.Select the size of this process chamber 102 and this base plate 124, apace this process chamber is vacuumized Fast Heating and quick this process chamber of back-filling.Favourable, the size of this container 101 and base plate 124 makes the size that makes this process chamber 102 and surrounds a capacious volume required unlike the carriage that holds carrying wafer 108 106 haply.Preferably, the size of this container 101 and base plate 124 makes and process chamber 102 is of a size of hold about 125~150% of the carriage 106 necessary volumes that carrying wafer 108, more preferably, the size of this process chamber is not more than holds about 125% of this carriage and the necessary volume of crystal, so that reduce the volume in this chamber, help pumping and the needed time of back-filling.
Utilize seal (for example O RunddichtringO), VCR Or CF Part, sealing injector 116, the aperture of T/C114 and exhaust outlet 118.As shown in Figure 1, gas or the steam emitting in processing procedure or introduce are discharged by the fore line or the exhaust outlet 126 that form in the wall of these process chamber 102 (not shown)s or in the chamber 127 of base plate 124.This process chamber 102 can remain in heat treatment process under the atmospheric pressure, or passes through a pumping system (not shown), is evacuated to be low to moderate 5mTorr.This pumping system comprises pump, air blast, high-vacuum pump and the preliminary throttling fore line valve (roughing, throttle and foreline valves) that one or more preliminary pumps are taken out.
In another embodiment shown in Figure 2, this base plate 124 comprises that also is an annular flow channel 129 haply.This passage 129 can hold and support injector 116.This injector 116 comprises a ring 131, and a plurality of vertical gun hoses or injector 116A hang from above from this ring.As described below, the size and dimension of this injector 116 is made can form upwards and is flowed, and flows downward or the flow pattern of cross flow one.The position of this ring 131 and injector 116A is in the process chamber of gas being spurted between evaporimeter 106 and container 101 102.In addition, this injector 116A separates each other round this ring 131, sends in the process chamber 102 so that will handle gas or steam equably, and can in cleaning or back-filling process, be used for purge gas is fed this process chamber as needs.The size of this base plate 124 is made short drum forms, has outwardly directed upper flange 133, sidewall 135 and the base 137 that inwardly stretches out.This upper flange 133 is suitable for accepting and supporting this container 101, and holds an O RunddichtringO 122 with this container and upper flange sealing.This base 137 is supported the outside at place at the ring 131 of this injector 116, accepts and support sleeve 120.
In addition, there are various mouthfuls on the base plate 124 shown in Figure 2, comprise back-filling/purge gas inlet port 139,143, be used for making cooling fluid at the cooling vent 145,147 of base plate 124 circulations and the pressure monitoring mouth 149 of the pressure of monitoring in process chamber 102.Handle gas inlet port 151,161 gas is delivered to injector 116 from the supply source (not shown).This back-filling/Butterworth Hatch 139,143 is configured on the sidewall 135 of this base plate 124, is mainly used in gas is delivered to exhaust outlet 118 from discharge/purge gas supply source (not shown).Mass flow controller (not shown) and any other suitable flow controller are placed on the pipeline between gas supply source and mouthfuls 139,143,151 and 161, in order to the gas flow in the control inflow process chamber 102.
Container 101 and lining 120 can be by any metal, potteries, can bear the heat of high temperature and high vacuum work and mechanical stress and the crystal or the glass material that can tolerate the corrosion of the gas that in processing procedure, uses or emit and steam make.Preferably, this container 101 and lining 120 are made by opaque translucent or transparent quartz glass, and quartz glass has enough thickness, handle the deposition of byproduct to bear mechanical stress with tolerance, thereby can reduce the potentially contaminated of processing environment.Preferred, this container 101 and lining 120 are by reducing or eliminating from zone of handling wafer 108 or the quartz that treatment region 128 conducts the heat that and make.Wafer 108 is in batch sent in the annealing device 100 by a load fore shaft or load port (not shown), then by sending in the process chamber 102 with the process chamber of its formation gas-tight seal inlet or the hole on base plate 124.In structure shown in Figure 1, process chamber 102 is a vertical reactor, and should use a movable bearing 130 by inlet, and this bearing raises in processing procedure, with a sealing (for example o RunddichtringO 132) sealing on base plate 124; And descending makes operator or automatic assembling and disassembling system (for example evaporimeter handler (BHU) does not illustrate) that this carriage or evaporimeter 106 are placed in the supporting 104 that is fixed on this bearing.
Heating element heater 112 comprises the top 134 (element 112-3) that is placed near process chamber 102, the element of side 136 (element 112-2) and bottom 138 (element 112-1).Favourable, this heating element heater 112 surrounds this wafer, so that can see wafer well, volume or treatment region 128 in the process chamber of handling wafer 108 is waited temperature control.Heating element heater 112-1 near process chamber 102 bottoms 138 can be placed in the bearing 130 or on it.If desired, also other heating element heater can be placed in the base plate 124 or on it, to replenish the heat of sending from heating element heater 112-1.
In the embodiment shown in fig. 1, preferably be placed on the recess of movable support 130 near the heating element heater 112-1 of process chamber bottom.This bearing 130 is made by thermal insulation and insulating material or the block 140 that insulate, and stratie 112-1 imbeds wherein or be fixed thereon.Bearing 130 also comprises one or more feedback transducers or T/C141, is used to control heating element heater 112-1.Shown in structure in, T/C141 imbed the insulation block 140 the center.
Side heat element 112-2 and top heating element heater 112-3 can center on container 101, are placed in the insulation block 110 or on it.Preferably, this side heat element 112-2 and top heating element heater 112-3 are placed on the recess of insulation block 110.
Heating element heater 112 and insulation block 110 and 140 can be various structures, the manufacturing that ins all sorts of ways, and can be made from a variety of materials.The structure that some are suitable is well-known on manufacturing technology and the material technology, other then be entitled as " from being low to moderate the transformable heating element of high temperature range ", sequence number is to be described the PCT patent application of TBD.This patent application is proposing for FP-71795-PC number with agency's numbering on the same day, and it is for reference to introduce this patent application in full here.
Preferably, in order to obtain the expectation treatment temperature below 1150 ℃, the heating element heater 112-1 of the bottom 138 of close process chamber 102 has the peak power output of about 0.1~10KW, and its highest treatment temperature is at least 1150 ℃.Preferred, the power output of these bottoms heating element heater 112-1 is approximately 3.8KW at least, and the highest treatment temperature is at least 950 ℃.In one embodiment, then be divided into a plurality of districts on this side heat element 112-2 function, comprise lower region and upper zone near this bearing 130, each district can be different power level and load cycle independently of each other, work independently with top heating element heater 112-3 with bottom heating element heater 112-1.
This heating element heater 112 can be controlled with any suitable mode, can utilize control technology mode well-known in the art to control, or be used in be entitled as " feedforward temperature controller ", sequence number is the control method control described in the PCT patent application of TBD.This patent application proposes for FP-71754-PC number with agency's numbering on the same day, and it is for reference to introduce this patent application in full here.
By this heating element heater and insulation block are placed in the silica crucible 142 of a reversing, then can reduce the pollution that (if not eliminating) caused by this insulation block 140 and bottom heating element heater 112-1.This silica crucible can be used as the barrier between heating element heater and insulation block and the process chamber 102.This crucible 142 is gone back and load port and BHU environmental sealing, further to reduce or to eliminate the pollution of processing environment.Generally, the inside of this crucible 142 is the atmospheric pressure of standard, and therefore, this crucible 142 should be enough solid, can bear between process chamber 102 and the bearing 130, across crucible 142 up to 1 atmospheric pressure differential.
When loading or unloading wafer 108, promptly when bearing 130 when down position (Fig. 3), give this bottom heating element heater 112-1 energising, with the maintenance idle running temperature lower than desirable treatment temperature.For example, be 950 ℃ processing for the ideal process temperature of bottom heating element heater, the idle running temperature can be 50~150 ℃.For some processing, can set the idle running temperature higher, (for example wish that treatment temperature is higher and/or rising speed is higher, maybe will reduce the processing of bottom heating element heater 112-1 thermal cycle influence) is to prolong component life.
In order further to reduce the pretreated time, promptly prepare this annealing device 100 and handle the required time, can be in the process that pushes away or adorn, promptly when the bearing 130 that has the evaporimeter 106 that wafer 108 is housed raises, can make this bottom heating element heater 112-1 be warming up to desirable treatment temperature or at this below temperature.Yet, in order to reduce on the wafer 108 and the thermal stress on the part of annealing device 100, preferably, this bottom heating element heater 112-1 is with the top 134 of close process chamber 102 and the heating element heater 112-3 and the 112-2 of side 136 reach desirable treatment temperature simultaneously respectively.Therefore, for some processing (for example, requiring desirable treatment temperature high processing), can when the final wafer 108 in the dress batch time, before bearing 130 begins to raise, make the temperature of this bottom heating element heater 112-1 begin to raise.
Equally, after the processing and drawing or unload in the cyclic process, promptly when bearing 128 reduces, in order to prepare to cool off wafer 108 and unload lower wafer with BHU, can reduce power supply, or remove electric power fully, to begin the making temperature of bearing 130 be reduced to the idle running temperature bottom heating element heater 112-1.
For before drawing or unloading circulation, help bearing 130 is cooled to the temperature of drawing, the detergent line of an air or inert purge gases (for example nitrogen) is installed by this insulation block 140.Preferably, a passage 144 ejections of nitrogen by passing insulation block 140 centers, and from flowing out to the periphery of crucible between the inside of the top of insulation block 140 and crucible 142.Then, the nitrogen of heat is expelled in the environment by " high-efficient granule air (HEPA) filter " (not shown), or to the outlet (not shown) of equipment.The structure of this central-injection can make the center fast cooling of wafer 108, therefore, is desirable for the center that reduces bottom wafer or wafer/lip temperature difference, otherwise wafer can damage owing to the slippage dislocation of lattice structure.
As mentioned above, in order to increase or prolong the life-span of bottom heating element heater 112-1, can set the idle running temperature higher, near desirable treatment temperature, to reduce the influence of thermal cycle.In addition, also wish periodically in the oxygen containing environment of richness, to cure heating element heater 112-1, to promote the formation of protective oxide face coat.For example, by the alloy that contains aluminium (for example, Kanthal ) when making stratie, in the oxygen containing environment of richness, bake heating element heater 112-1 and can impel the alumina surface growth.Like this, this insulation block 140 also can comprise an oxygen pipeline (not shown), to impel in baking heating element heater 112-1 process, forms the protective oxide face coat.Another kind method is that the oxygen that bakes usefulness can be used for supplying with the pipe blow-through that cools off nitrogen by a triple valve and send into by in the processing procedure.
Fig. 3 is the cross-sectional view of the part of annealing device 100.Fig. 3 represents when loading or unloading wafer 108, promptly when the annealing device 100 of this bearing 130 when dipping.In this mode of operation, annealing device 100 also comprises a heat shield piece 146, and it can rotate or slide into the position above the lower wafer 108 in this bearing 130 and evaporimeter 106.In order to improve the performance of this heat shield piece 146, general, on the side of heating element heater 12-1, this heat shield piece is reflexive, then is being absorbefacient on a side of wafer 108.The purpose of this heat shield piece 146 comprises the reduction of the cooling velocity of the wafer 108 that is increased in the evaporimeter 106, with the idle running temperature of assisting to keep this bearing 130 and bottom heating element heater 112-1, process chamber 102 is warming up to the required time of desirable treatment temperature to reduce.Illustrate in greater detail an embodiment of annealing device with a heat shield piece referring now to Fig. 3~6.
Fig. 3 also represents to have an embodiment of the annealing device 100 of bearing heating element heater 112-1 and heat shield piece 146.In an illustrated embodiment, this heat shield piece 146 passes through arm 148 attached on the gyroaxis 150, this gyroaxis is by electric, pneumatic or hydraulic actuator rotates, this heat shield piece 146 can drawn or unloading in the cyclic process, turn to first position between the nethermost wafer in bearing 130 that heats and evaporimeter 106; And, also can just before the bottom of evaporimeter 106 enters chamber 102, remove this heat shield piece 146, or turn to be at least push away or adorn in the decline or terminal process of circulation, second position between this bearing and wafer not.Preferably, this gyroaxis 150 is installed or is fixed on and raises and reduce on mechanism's (not shown) of bearing 130 usefulness, thereby during by this process chamber 102, this heat shield piece 146 is rotate in place at this bearing top.In the dress cyclic process, this shielding part 146 can make heating element heater 112-1 be heated to desired temperature more quickly than alternate manner at assigned position.Equally, in the cyclic process of unloading, from the heat of this bearing heating element heater 112-1 radiation, this shielding part 146 can make wafer (particularly more near the wafer of this bearing) cooling by reflection.
Another kind of scheme is that this gyroaxis 150 can be installed or be fixed on another part of annealing device 100, and when axial and bearing 130 are synchronized with the movement or just think that this bearing descends fully, this heat shield piece 146 is turned to assigned position.
Fig. 4 is the bearing heating element heater 112-1 shown in Figure 3 and the schematic diagram of heat shield piece 146.Heat energy that its expression will be distributed from the bottom heating element heater or heat radiation reflected back bearing 130 and absorb from the heat energy or the heat radiation of lower wafer 108 radiation of a collection of or a pile wafer.Determine, use multiple different material (for example, metal, pottery, glass or polymer coating) separately or comprehensively, can obtain desirable characteristic, high reflectivity and high absorptivity.As an example, following table has been listed various suitable materials and relevant parameters.
Table 1
Material Absorptivity Reflectivity
Stainless steel ????0.2 ????0.8
The non-conducting quartz ????0.5 ????0.5
Polished aluminum ????0.03 ????0.97
Carborundum ????0.9 ????0.1
According to an embodiment, this heat shield piece 146 can be made by a kind of material (for example, carborundum (SiC), non-conducting quartz or stainless steel), a side surface polishing of this material, and another surface marks vestige, weares and teares or makes it coarse.Make a rough surface of heat shield piece 146 can significantly change its heat transfer character, particularly its reflectivity.
In another embodiment, this heat shield piece 146 can be made by two different material layers.Fig. 5 has the top layer 152 made by the material (for example SiC or opaque quartz) of high-absorbility and the schematic diagram of the heat shield piece 146 of the lower floor 154 of being made by the material or the metal (for example Pao Guang stainless steel or polished aluminum) of high reflectance.Though shown is thickness about equally, according to the specific requirement (for example, reducing because the thermal stress between the layer that the thermal coefficient of expansion difference causes) of heat shield piece 146, top layer 152 or lower floor 154 can have big relatively thickness.For example, in certain embodiments, lower floor 154 can be layer or film as thin as a wafer, and it is by depositing on the quartz plate that constitutes this top layer 152, and the metallic film of the polishing that forms or cover is made.These materials can whole constitute, or utilize (for example bonding agent or the securing member) interlocking of common device or couple together.
In yet another embodiment, this heat shield piece 146 also comprises an internal cooling channel 156, is used for further making this wafer 108 and bottom heating element heater 112-1 isolated.In a kind of form of this embodiment shown in Figure 6, cooling duct 156 forms between two different material layers 152 and 154.For example, cooling duct 156 can form in the non-conducting quartz layer 152 of high-absorbable by milling or any other suitable method, and then covers with metal level 154 or coating (for example titanium or aluminized coating).Another kind method is, cooling duct 156 can form in the two at metal level 154 or metal level and quartz layer 152.
Fig. 7 is for comprising heat shield piece 146, arm 148, the perspective view of an embodiment of a heat shield assembly 153 of gyroaxis 150 and actuator 155.
As shown in Figure 8, annealing device 100 also comprises a baffle plate 158, it can rotate or slide or move to position above this evaporimeter 106 with additive method, when the position that reduces fully, this process chamber 102 is separated with this bearing 130 of box lunch with outside or load port environment.For example, when this bearing 130 when dipping, this baffle plate 158 can slide into the position on carriage 106, and raises, to separate this process chamber 102.Another kind of scheme is, when this bearing 130 when dipping, can rotate or rotate this baffle plate 158 in this position carriage 106 above, then rising is to separate process chamber 102.In addition, when baffle plate 158 rotates to position on carriage 108, can around or rotate this baffle plate 158 with respect to screw or bar so that raise this baffle plate simultaneously, process chamber 102 is separated.
For the process chamber 102 (for example in the CVD system) of operate as normal under vacuum, this baffle plate 158 can form a vacuum seal on base plate 124, be depressurized to processing pressure or vacuum by this process chamber 102.For example, wish between each batch wafer successively, to make process chamber 102 step-downs, to reduce or eliminate the potential danger that processing environment pollutes.Preferably, utilize a large diameter sealing (for example o RunddichtringO) to form vacuum seal.And this baffle plate 158 comprises a plurality of aquaporins 160, with the cooling sealing.In the embodiment shown in fig. 8, this baffle plate 158 utilizes when this bearing 130 is used for the identical O RunddichtringO 132 of sealed crucible 142 during at raised position and seals.
For the annealing device 130 of process chamber 102 operate as normal under atmospheric pressure, baffle plate 158 is an insulating plug simply, and it can reduce the heat loss of process chamber bottom.An embodiment who realizes this point comprises and uses opaque quartz plate, below this plate or innerly can or not comprise a plurality of cooling ducts.
When this bearing 130 during in the position that reduces fully, baffle plate 158 moves to the position below process chamber 102, and it is one or more electric to utilize to raise then, and hydraulic pressure or pneumatic actuator (not shown) separate process chamber.Preferably, this actuator is the pneumatic actuator of the air of about 15~60 pounds of/square inch meterings of use (PSIG).This air has in annealing device 100 usually, is used to make pneumatic operated valve work.For example in a kind of form of present embodiment, baffle plate 158 can comprise the plate with a plurality of wheels that are connected with two side by galianconism or cantilever beam.At work, this plate or baffle plate 158 on two closed slides, are rolled into assigned position below process chamber 102.Block on the guide rail pivots this cantilever beam, and the motion of baffle plate 158 is converted to upward to encapsulation process chamber 102.
As shown in Figure 9, annealing device 100 also comprises a wafer rotation system 162 with magnetic couplings.In processing procedure, this wafer rotation system rotating support 104 and the evaporimeter 106 that has supporting wafer 108 thereon.In processing procedure, rotate wafer 108, can be by making any inhomogeneities equalization in heating element heater 112 and processing gas stream, form temperature and substance reaction profile (species reaction profile) on the uniform wafer, and improve the uniformity of (WIW) in the wafer.Generally, the speed that this wafer rotation system 162 can about 0.1~10 rev/min (RPM) is rotated wafer 108.
This wafer rotation system 162 comprises a driven unit or slew gear 164, this mechanism has a turning motor 166 (for example motor or air motor) and one and is enclosed in magnet 168 in the container (for example, the container of the polytetrafluoroethylene (PTFE) of annealing or stainless steel) of resist chemical.Be positioned at the steel loop 170 below the insulation block 140 of bearing 130 and have the driving shaft 172 of the block that insulate, rotation energy is sent to another magnet 174 above the insulation block that is positioned at the bearing top.This steel loop 170, driving shaft 172 and second magnet 174 also are enclosed in the container complex of a resistance to chemical attack.Be positioned at the magnet 174 of bearing 130 sides, by steel loop or magnet 176 magnetic couplings in crucible 142 and the supporting 104 of imbedding or being fixed in the process chamber 102.
Utilize this slew gear 164 of magnetic couplings by bearing 130, need not be placed on this mechanism in the processing environment or not need mechanical lead-in wire, thereby eliminate the potential source that leaks and pollute.In addition, this slew gear 164 is placed on the outside and, can reduces this mechanism and be exposed to wherein maximum temperature, therefore can improve the reliability and the working life of wafer rotation system 162 with a certain distance from process chamber.
Except above-mentioned, this wafer rotation system 162 also can comprise one or more sensor (not shown)s, with the suitable magnetic couplings between the magnet 174 of the tram that guarantees evaporimeter 106 and the steel loop in process chamber 102 or magnet 176 and bearing 130.The sensor or the evaporimeter location verification sensor of relative position of determining evaporimeter 106 is particularly useful.In one embodiment, this evaporimeter location verification sensor is included in a sensor ledge (not shown) on this evaporimeter 106 and is positioned at optics or laser sensor below this base plate 124.At work, after handling wafer 108, this bearing 130 is reduced about 3 inches, to this base plate 124.This wafer rotation system 162 is accepted instruction herein, rotates this evaporimeter 106, till the ledge that can see this evaporator sensor.Then, 162 operations of wafer rotation system are aimed at evaporimeter, can unload lower wafer 108.After this, with this evaporimeter be reduced to adorn/unload the height.Behind initial inspection, only with position from mark sensor checking evaporimeter.
As shown in figure 10, preferably in annealing device 100, use improved injector 216.This injector 216 is distributed or intersects (X) flow injectors 216-1, wherein, handle gas or steam and flow through the surface of wafer with the laminar flow form, discharge in exhaust outlet the process chamber pipeline 120 from opposition side or the groove 182 again by the injector holes on the side of wafer 108 or aperture 180.X-stream injector 216-1 by improve handle gas or steam previous to upper reaches or the distribution on the flow structure downwards, can improve wafer 108 in a collection of wafer 108 to wafer uniformity.
In addition, X-stream injector 216 also can have other purposes, comprises spraying the cooling gas (for example helium, nitrogen, hydrogen) that is used for carrying out the forced convertion cooling between wafer 108.Though wafer 108 be placed on a pile or a collection of bottom or top and be placed in the middle of wafer, with previous to the upper reaches or downwards flow structure relatively use X-stream injector 216 can make the cooling between the wafer 108 more even.Preferably, size, shape and the position of the aperture 180 of this injector 216 can form Sprayable, and this will promote the compulsory convection current cooling between the wafer 108 and can not produce big thermograde on wafer.
Figure 11 is the cross-sectional side view of the part of annealing device 100 shown in Figure 10, and its expression injector aperture 180 is with respect to the part of this chamber lining 120 and air discharge duct 182 part with respect to wafer 108.
Figure 12 is the plane of the part of the annealing device shown in Figure 10 100 got along the A-A line of Figure 10, its expression according to an embodiment from main and the aperture 180-1 of auxiliary ejector 184,186 and the laminar gas flow that 180-2 comes out, on the wafer 108 of a signal, flow, and flow to air discharge duct 182-1 and 182-2.Should be noted that the position of air discharge duct 182 shown in Figure 10 has been departed from air discharge duct 182-1 shown in Figure 12 and the position of 182-2 for expression air discharge duct and injector 216-1 in a cross-sectional view of annealing device.It shall yet further be noted that injector 184,186 and air discharge duct 182-1 and 182-2 are exaggerated with respect to the size of wafer 108 and chamber lining 120 in order to represent that more clearly gas flows to air discharge duct from injector.
And for example shown in Figure 12, for before reaching wafer, make and handle gas or vapor mixing, beginning will be handled gas or evaporation and deflect from wafer 108 and guide lining 120 into.For example in order to form multicomponent film or layer, this structure of aperture 180-1 and 180-2 is useful especially for the processing or the prescription of each the introducing differential responses thing from main and auxiliary ejector 184,186.
Figure 13 is another plane of the part of the annealing device shown in Figure 10 100 got along the A-A line of Figure 10, its expression is come out according to the aperture 180 from main and auxiliary ejector 184,186 of another embodiment, on the wafer 108 of a signal to another gas flow channel of air discharge duct 182.
Figure 14 is another plane of the part of the annealing device shown in Figure 10 100 got along the A-A line of Figure 10, its expression is come out according to the aperture 180 from main and auxiliary ejector 184,186 of another embodiment, on the wafer 108 of a signal to another gas flow channel of air discharge duct 182.
Figure 15 is another plane of the part of the annealing device shown in Figure 10 100 got along the A-A line of Figure 10, its expression is come out according to the aperture 180 from main and auxiliary ejector 184,186 of another embodiment, on the wafer 108 of a signal to another gas flow channel of air discharge duct 182.
Figure 16 is the cross-sectional view according to the two or more annealing devices 100 to upper reaches injector 116-1 and 116-2 of having of another embodiment.In this embodiment, from having accordingly, upwards flow and by wafer 108, the gas of use is from air discharge duct 182 discharges at lining 120 tops at the processing injector 116-1 of the output aperture of process chamber 102 bottoms and processing gas or the steam that 116-2 enters.Also represent an ejector system that upwards flows among Fig. 1.
Figure 17 is the cross-sectional view to the annealing device 100 of dirty ejector system of having according to another embodiment.In this embodiment,, flow downward, and by wafer 108, the gas of use is from air discharge duct 182 discharges of the bottom of lining 120 from having processing gas or the steam that flows at the processing injector 116-1 and the 116-2 of the corresponding aperture on process chamber 102 tops.
Favourable, injector 116,216 and/or lining 120 can be changed or exchange with other injectors and lining rapidly and easily, and it has the different point that sprays and discharge processing gas from treatment region 128.Those skilled in the art will know that, by in process chamber 102, make flow pattern change to Fig. 1 and shown in Figure 16 upwards streamed rapidly and easily from cross flow one form shown in Figure 10, or shown in Figure 17 streamed downwards, the embodiment of X-flow injectors 216 then shown in Figure 10 can increase the flexible degree of processing.By using easy-on injector assembly 216 and lining 120, the geometry that flows is converted to the upper reaches or to dirty from cross flow one, can accomplish this point.
Injector 116,216 and lining 120 can be the part that separates, and perhaps this injector can make a single-piece with lining integral body.The embodiment in back often changes the application scenario of process chamber 102 structures in hope, is useful especially.
The method of work or the process of annealing device 100 are described with reference to Figure 18.Figure 18 is the flow chart of the step of the method for expression heat treatment a collection of wafer 108, and wherein, each wafer in this batch wafer can be fast and is heated to temperature desired equably.In the method, bearing 130 reduces, and moves heat shield piece 142 make heat from bottom heating element heater 112-1 reflected back bearing 130 when bearing 130 reduces, and keeping its temperature, and makes the wafer 108 that disposes completely cut off (step 190).In addition, make baffle plate 158 move to sealing or separate process chamber 102 (step 192), and electric power is added on heating element heater 112-2, the 112-3, begin process chamber 102 is preheated to or remains on a centre or the idle running temperature (step 194).Carriage or evaporimeter 106 that new wafer 108 is housed are placed on the bearing 130 (step 196).This bearing 130 is increased to this evaporimeter in treatment region 128, removes baffle plate 158 and heat shield piece 142 simultaneously, and bottom heating element heater 112-1 is heated up so that wafer is preheated to a medium temperature (step 197).Preferably before evaporimeter 106 is placed in the treatment region 128, remove this heat shield piece 142.Fluid (for example handling gas or steam) is sent into by a plurality of jets 180 on the side of wafer 108 (step 198).Fluid is from jet 180, flow to the outlet 182 (step 199) that is positioned at respect on the lining 120 on the opposite side of the wafer of jet through the surface of wafer 108.In addition, optionally, by this bearing mechanical energy is coupled to this carriage or evaporimeter 106 in the magnetic couplings mode, this carriage of reorientation in wafer heat treatment process (step 200), can be in a collection of wafer 108 processes of heat treatment, evaporimeter 106 is rotated, with the heat treated uniformity of further raising in treatment region 128.
Referring now to Figure 19 method of work or process according to the annealing device 100 of another embodiment are described.Figure 19 is the flow chart of the step of an embodiment of the method for a collection of wafer 108 of expression heat treatment in carriage.In this method, a device 100 with process chamber 102 is provided, the size of this process chamber and volume are haply unlike holding the carriage 106 necessary big (not protecting heater) that is keeping wafer 108.Bearing 130 is descended, and will keep the evaporimeter 106 of wafer 108 to be placed on (step 202) on the bearing.Rising bearing 130 inserts evaporimeter in the process chamber 102, simultaneously pre-108 to medium temperatures (step 204) of thermal bimorph.Electric power is added in each all near the roof 134 of process chamber 102, on heating element heater 112-1, the 112-2 of at least one in sidewall 136 and the diapire 138, the 112-3, begins heat treated chamber (step 206).In addition, optionally, regulate the power at least one heating element heater independently,, be formed on the environment (step 208) of the isothermal haply of desired temperature so that in the treatment region 128 in process chamber 102.When heat treatment wafer 108 and when keeping the desired temperature of treatment region 128, reduce bearing 130, and heat shield piece 142 moved to isolate the wafer 108 process, and with heat from bottom heating element heater 112-1 reflected back bearing 130, the position (step 210) that keeps its temperature.In addition, optionally, baffle plate 158 is moved to the position that seals or separate process chamber 102, and electric power is added on heating element heater 112-2, the 112-3, to keep the temperature (step 212) of process chamber.Another evaporimeter of removing evaporimeter 106 (step 214) and new a collection of wafer to be processed will be housed from bearing 130 is placed on (step 216) on the bearing again.Reorientation or remove baffle plate 158 (step 218), and extraction or reorientation heat shield piece, with 108 to medium temperatures of the wafer of preheating in evaporimeter 106, bearing 130 simultaneously raises, evaporimeter is inserted in the process chamber 102, new a collection of wafer is heat-treated (step 220).
With common systematic comparison, above-mentioned annealing device 100 can will be handled or reduce about 75% circulation timei.For example, common annealing device in enormous quantities can be handled 100 finished product wafers about 232 minutes (comprising preliminary treatment and post processing time).Annealing device of the present invention can be handled 25 finished product wafers 108 of small lot equally in about 58 minutes.
The explanation of above-mentioned specific embodiment is not a limitation of the present invention just in order to illustrate.Consider that above-mentioned explanation can do many transformations, improvement and variation within the scope of the invention rather than the present invention is confined in the above-mentioned form.Scope of the present invention by appending claims and etc. value document determine.

Claims (49)

1. a heat treatment is contained in the device of a plurality of substrates in the carriage, and this device comprises:
One has a roof, a sidewall and a process chamber that comprises the diapire of bearing;
A heating source that has a plurality of heating element heaters, a plurality of substrates are heat-treated; In each heating element heater in these a plurality of heating element heaters close roof, sidewall and the diapire of this process chamber at least one; And at least one is in this bearing in these a plurality of heating element heaters; With
Can insert at least one in these a plurality of heating element heaters in bearing and be contained in a removable heat shield piece between the substrate on the carriage.
2. device as claimed in claim 1, wherein this heat shield piece comprises that towards first surface that is contained in the substrate in this carriage, this first surperficial absorptivity is at least 0.5.
3. device as claimed in claim 2, wherein this heat shield piece comprises second surface towards this bearing, the reflectivity on this second surface is at least 0.8.
4. device as claimed in claim 3, wherein this heat shield piece also is included in a cooling duct between first and second surfaces.
5. device as claimed in claim 1, wherein this heat shield piece comprises a reflecting surface and sorbent surface of being made by the material of selecting in the following material group: stainless steel, quartz, aluminium and carborundum.
6. device as claimed in claim 1, wherein this heat shield piece comprises having towards the reflecting surface of the polishing of this bearing with towards the stainless steel of the sorbent surface that does not have polishing of the substrate on carriage.
7. the device of a plurality of substrates of heat treatment, it comprises:
A hot processing chamber;
Have a release position, one with respect to the detent position of this process chamber and a bearing of a change location between open and detent position with respect to this process chamber;
A distribution heating source, it can produce haply heat uniformly at bearing during at detent position in the treatment region in this process chamber;
A heat shield piece; With
A locator that is connected with this heat shield piece; It can removably be placed on this heat shield piece between this bearing and the process chamber being this bearing during at this change location at least.
8. a heat treatment is contained in the device of a plurality of substrates in the carriage, and this device comprises:
One has a roof, the process chamber of a sidewall and a diapire;
Have a plurality of heating element heaters, the heating source that a plurality of substrates are heat-treated; In each heating element heater in these a plurality of heating element heaters close roof, sidewall and the diapire of this process chamber at least one; With
The reorientation system of a magnetic couplings, it can be in the process of these a plurality of substrates of heat treatment, and the carriage of a plurality of substrates is equipped with in reorientation; Wherein the mechanical energy of this carriage of reorientation is coupled to this carriage by diapire in the magnetic couplings mode.
9. device as claimed in claim 8, wherein this diapire comprises the movable bearing that has in these a plurality of heating element heaters at least one; And the mechanical energy of this carriage of reorientation does not move in described a plurality of heating element heaters in this movable support at least one haply, is coupled in the magnetic couplings mode by this movable support.
10. device as claimed in claim 8, wherein in these a plurality of substrate processes of heat treatment, the system that reorientates of this magnetic couplings turns the carriage that a plurality of substrates are housed.
11. device as claimed in claim 10, wherein the speed that the reorientation system of this magnetic couplings can about 0.1~10 rev/min (RPM) is rotated this carriage.
12. device as claimed in claim 8, wherein the reorientation system of this magnetic couplings can make this carriage vibration.
13. device as claimed in claim 8, wherein this carriage comprises that the mechanical energy of this carriage of reorientation can pass through diapire, a magnetic part that is coupled in the magnetic couplings mode.
14. device as claimed in claim 8, wherein it also comprise one in process chamber carriage put thereon supporting; And this supporting comprises the magnetic part that the mechanical energy of this carriage of reorientation is coupled in the magnetic couplings mode by this diapire.
15. device as claimed in claim 8 does not wherein need to use the movable lead-in wire that feeds process chamber, makes the mechanical energy of this reorientation carriage be coupled to this carriage in the magnetic couplings mode by diapire.
16. the device of a plurality of substrates of heat treatment, it comprises:
Form a process chamber cover of a hot processing chamber in inside;
Be placed in this process chamber, be used for being equipped with a bearing bracket of the carriage of a plurality of substrates in the heat treatment process supporting;
A distributed heating source is used in the treatment region of heat treatment process in process chamber, produces haply heat uniformly; With
A reorientation system, it is used at this carriage of heat treatment process reorientation by this process chamber cover and this bearing bracket magnetic couplings; Wherein this substrate reorientation in treatment region.
17. the device of the interior a plurality of substrates that carried of heat treatment carriage, this device comprises:
Has roof, a sidewall and a process chamber that comprises the diapire of a movable support; This bearing can descend and raise, and the carriage of adorning a plurality of substrates can be inserted this process chamber and takes out from this process chamber;
A heating source; It has a plurality of heating element heaters near this process chamber, is used for a plurality of substrates of heat treatment; In these a plurality of heating element heaters at least one is in this movable support; With
A baffle plate; When this bearing when dipping, can move in this position above carriage, this process chamber is separated.
18. device as claimed in claim 17, wherein it also comprises a pumping system that before processing process chamber is vacuumized; And salable this process chamber of this baffle plate when dipping, can make this pumping system that this process chamber is vacuumized with this bearing of box lunch.
19. device as claimed in claim 17, wherein this baffle plate comprises a cooling duct.
20. device as claimed in claim 17, wherein when this bearing when dipping, this baffle plate can rotate to the position on carriage; And raise this process chamber is separated.
21. device as claimed in claim 17, wherein when this bearing when dipping, this baffle plate is slidably to position on carriage; And raise this process chamber is separated.
22. a device that is used for a plurality of substrates of heat treatment, it comprises:
An inner process chamber cover that forms a process chamber;
Be placed on a baffle plate on this process chamber cover;
Has release position, with respect to the detent position of this process chamber and a bearing of a change location between this opening and detent position with respect to this process chamber; This bearing can move by baffle plate;
A distribution heating source, it can produce haply heat uniformly at bearing during at detent position in the treatment region in this process chamber; With
An actuator that is connected with this baffle plate, when this bearing in sealing with during change location, can open this baffle plate, and, can close this baffle plate when this bearing during in the release position.
23. a heat treatment is contained in the device of a plurality of substrates in the carriage, this device comprises:
One has a roof, the process chamber of a sidewall and a diapire;
Have a plurality of heating element heaters, the heating source that a plurality of substrates are heat-treated; In each heating element heater in these a plurality of heating element heaters close roof, sidewall and the diapire of this process chamber at least one; With
Carriage and the roof of process chamber and the lining that sidewall separates that a plurality of substrates will be housed; With
The guiding fluid flows through a cross flow one spraying system on the surface of each substrate in these a plurality of substrates; This cross flow one spraying system comprises:
A cross flow one injector; It has a plurality of jets of arranging with respect to a plurality of substrates that carried in the carriage, and fluid can flow on a side of these a plurality of substrates by it; With
A plurality of exhaust outlets in this lining; This exhaust outlet is arranged with respect to a plurality of substrates that are contained in this carriage, and fluid is directly flowed on the surface of these a plurality of substrates.
24. device as claimed in claim 23, wherein these a plurality of jets are before flowing on fluid each substrate surface in these a plurality of substrates, and the guiding fluid flows on this lining.
25. device as claimed in claim 23, wherein this cross flow one injector comprises first injector and second injector, and each injector has a plurality of jets of arranging with respect to a plurality of substrates that are contained in this carriage.
26. device as claimed in claim 25, wherein a plurality of jets of this first injector and second injector at fluid before flowing on the surface of each substrate of these a plurality of substrates, the guiding fluid flows on this lining, like this, reactant in the fluid of being sent into by first injector and second injector mixed before flowing through on the surface of each substrate of these a plurality of substrates at fluid.
27. device as claimed in claim 25, wherein a plurality of jets of first injector and second injector are disposed opposite to each other, and with before second injector, guide the fluid that comes out from a plurality of jets of this first injector to flow; With before first injector, guiding is flowed from the fluid that a plurality of jets of this second injector come out;
Like this, the reactant in the fluid of being sent into by first injector and second injector mixes before flowing through on the surface of each substrate in these a plurality of substrates.
28. the device of a plurality of substrates of heat treatment, it comprises:
Form a process chamber cover of a hot processing chamber in inside;
A distributed heating source is used in the treatment region of heat treatment process in process chamber, produces haply heat uniformly; With
A gas ejector, its a plurality of gas ejector mouths are near treatment region; With
A gas discharge outlet, its a plurality of gas discharge outlets are general near treatment region, and are relative with the gas ejection ports by this treatment region.
29. a heat treatment is contained in the method for a plurality of substrates on the carriage in the treatment region of process chamber, this process chamber has roof, sidewall and diapire, and this method comprises the following steps:
Heat this treatment region by a thermal source; This thermal source has a plurality of heating element heaters, and each element in these a plurality of heating element heaters is near the roof of this process chamber, at least one in sidewall and the diapire;
The carriage that a plurality of substrates are housed is inserted this treatment region; With
A plurality of jets by arranging with respect to a plurality of substrates that are contained in this carriage send fluid on a side of these a plurality of substrates; With
Make lip-deep fluid, flow to and will carriage and the roof of process chamber and a plurality of exhaust outlets of the lining that sidewall separates of a plurality of substrates be housed from these a plurality of jets at these a plurality of substrates; This exhaust outlet is with respect to a plurality of substrate settings that are contained in this carriage.
30. method as claimed in claim 29, wherein the bottom of this process chamber comprises a bearing, and this bearing has at least one in a plurality of heating element heaters; This bearing can reduce and raise, and a collection of substrate that is carried in the carriage is inserted in this process chamber; The step of the carriage that a plurality of substrates are housed being inserted process chamber comprises the following steps:
This carriage is placed on the bearing; With
This bearing that raises inserts the carriage that a plurality of substrates are housed in this treatment region.
31. method as claimed in claim 30, this bearing that wherein raises, the step that the carriage that a plurality of substrates are housed is inserted treatment region comprises simultaneously the step that will a plurality of substrates in this carriage be preheated to a medium temperature.
32. method as claimed in claim 30, wherein this bearing comprises a movable shielding part, and this bearing is returned in the heat reflection that at least one heating element heater from these a plurality of heating element heaters can be come out, to keep its temperature; This method moves to at least one heat of coming out from these a plurality of heating element heaters this barricade shield part before also being included in the carriage insertion process chamber that a plurality of substrates will be housed, and this bearing of reflected back keeps the step of its temperature.
33. method as claimed in claim 30, wherein this device also comprises a baffle plate, can when this bearing when dipping, move to this position above carriage, process chamber is separated; This method also comprises, when this bearing when dipping, this baffle plate carriage that moves separates process chamber and keep the step of its temperature.
34. method as claimed in claim 30, wherein this device also comprises the reorientation system of a magnetic couplings, and it can be in the process of these a plurality of substrates of heat treatment, and the carriage of a plurality of substrates is equipped with in reorientation; This method also is included in a plurality of substrate processes of heat treatment, do not use movable lead-in wire and do not move in a plurality of heating element heaters in this bearing at least one haply, mechanical energy is passed through this bearing magnetic couplings to this carriage, can be in process chamber with this carriage reorientation;
Substrate on the carriage in a plurality of treatment regions that are contained in process chamber; This process chamber has roof, sidewall and diapire.
35. the heat treatment that is shaped again is contained in the method for the device of a plurality of substrates on the carriage in the treatment region of the process chamber that is made of container handling and base plate, this device also comprises first injector and first lining; This first injector has at least one eductor ports, and it is located on the primary importance with respect to the substrate that is carried in a plurality of carriages, and fluid can be sent into by it, handles this a plurality of wafers; This first lining separates described at least one injector and carriage and container handling that a plurality of substrates are housed; This lining has first locational at least one exhaust outlet that is arranged in respect to being contained in a plurality of substrates on the carriage; This method comprises the following steps:
Separate container handling and base plate;
Take out first injector from this process chamber;
Take out first lining from process chamber;
Second lining that will have at least one exhaust outlet is installed in the process chamber;
Second injector that will have at least one eductor ports is installed in the process chamber; With
Wherein second injector and second lining have than first injector and first lining, with respect to a plurality of at least one eductor ports and exhaust outlets of arranging on the diverse location of the substrate on the carriage that are contained in.
36. method as claimed in claim 35, wherein first injector and first lining integral body are made, and the step that this first injector is taken out from process chamber also comprises the step that first lining is taken out from process chamber.
37. method as claimed in claim 35, wherein second injector and second lining integral body are made, and second injector is installed in step in the process chamber also comprises second lining is installed in step in the process chamber.
38. method as claimed in claim 35, wherein second injector is installed in the process chamber and second lining is installed in step in the process chamber and comprise second injector is installed in the process chamber, with second lining is installed in the process chamber, form the liquid form from following group, select:
Upwards flow, flow downward and cross flow one.
39. a heat treatment is contained in the device of a plurality of substrates in the interior carriage of treatment region, this carriage that substrate is housed has predetermined shape and volume, and this device comprises:
A process chamber cover, its inside form a hot processing chamber and are included in the interior treatment region of this process chamber; With
A heating source, it is distributed in the inside of this process chamber cover haply, is used for setting up the environment of isothermal haply at treatment region;
Wherein this process chamber inside is similar to predetermined shape haply; With
The volume of this process chamber is corresponding with this predetermined volume haply.
40. a heat treatment is contained in the device of a plurality of substrates on the carriage, this device comprises:
A process chamber with a roof, a sidewall and a diapire;
Heating source with a plurality of heating element heaters of roof, sidewall and diapire near this process chamber; This heating source can form the environment of isothermal haply in the treatment region of carriage of a plurality of substrates is equipped with in placement, with these a plurality of substrates of heat treatment; With
Wherein the size of this process chamber can be selected to such an extent that can seal one haply unlike holding the necessary capacious volume of the carriage that a plurality of substrates are housed.
41. device as claimed in claim 40, wherein the size of this process chamber can be selected to such an extent that can seal one haply unlike holding a big volume of the necessary volume of the carriage that a plurality of substrates are housed 125%.
42. device as claimed in claim 40, wherein it also comprises a controller, and it can regulate at least one the power of supplying with these a plurality of heating element heaters independently, so that form the environment of isothermal haply in treatment region.
43. device as claimed in claim 40, wherein the diapire of this process chamber comprises a movable support that has in these a plurality of heating element heaters at least one, this movable support liftable inserts the neutralization of this process chamber and takes out from process chamber so that the carriage of these a plurality of substrates will be housed.
44. device as claimed in claim 40, wherein this heating source do not use this above treatment region and below, near the protection heater of the sidewall of this process chamber, and in treatment region, form the environment of isothermal haply.
45. a heat treatment is contained in the method for a plurality of substrates on the interior carriage of treatment region, this carriage that substrate is housed has predetermined shape and volume, and this method comprises:
The carriage that substrate is housed is put into a process chamber cover, and the inside of this cover is similar to predetermined shape usually, and its volume is corresponding with predetermined volume usually; With
From this process chamber cover inside heat is added on the substrate;
Wherein this substrate remains under the identical haply temperature.
46. a heat treatment is contained in the method for a plurality of substrates on the carriage, this method comprises the following steps:
The carriage insertion that a plurality of substrates are housed is had in the process chamber of roof, sidewall and diapire, and the volume of this process chamber is big unlike holding the necessary volume of the carriage that a plurality of substrates are housed haply; With
From a thermal source heat treated chamber, this thermal source has a plurality of heating element heaters, and at least one in each heating element heater close roof, sidewall and the diapire of process chamber is so that be formed on the environment of the isothermal haply of desired temperature in the treatment region of process chamber;
Thereby each substrate in these a plurality of substrates can be fast and is heated to temperature desired equably.
47. method as claimed in claim 46 wherein comprises at least one the bearing in these a plurality of heating element heaters in the bottom of this process chamber, this bearing liftable will insert at a collection of substrate of carriage in the process chamber; The step that the carriage that a plurality of substrates are housed is inserted in the process chamber comprises the following steps:
This carriage is placed on the bearing; With
This bearing that raises, the carriage that a plurality of substrates will be housed inserts in the process chamber, a plurality of substrates to the medium temperature of preheating simultaneously in this carriage.
48. comprising, method as claimed in claim 46, the step that wherein heats this process chamber can regulate at least one the power of supplying with these a plurality of heating element heaters independently, so that in treatment region, form the step of the environment of isothermal haply.
49. method as claimed in claim 46, wherein it is further comprising the steps of, uses thermal source to continue the heat treated chamber simultaneously, to maintain the isothermal environment haply under the desired temperature in the treatment region:
When this batch of heat treatment substrate, take out the carriage that this batch substrate is housed from process chamber; With
Another batch substrate that is contained in another carriage is inserted in the process chamber, with this batch of heat treatment substrate;
Thereby each the piece substrate in each batch substrate can be fast and is heated to temperature desired equably, and handles under this temperature.
CN 03806135 2002-07-15 2003-07-10 Heat treatment system and formable vertical chamber Pending CN1643322A (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US39653602P 2002-07-15 2002-07-15
US60/396,536 2002-07-15
US42852602P 2002-11-22 2002-11-22
US60/428,526 2002-11-22

Publications (1)

Publication Number Publication Date
CN1643322A true CN1643322A (en) 2005-07-20

Family

ID=30118590

Family Applications (1)

Application Number Title Priority Date Filing Date
CN 03806135 Pending CN1643322A (en) 2002-07-15 2003-07-10 Heat treatment system and formable vertical chamber

Country Status (6)

Country Link
EP (2) EP1540258A1 (en)
JP (2) JP2005533378A (en)
CN (1) CN1643322A (en)
AU (9) AU2003249029A1 (en)
TW (9) TW200416775A (en)
WO (9) WO2004008493A2 (en)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104641464A (en) * 2012-09-17 2015-05-20 株式会社Eugene科技 Substrate processing apparatus
CN104871305A (en) * 2012-12-14 2015-08-26 应用材料公司 Thermal radiation barrier for substrate processing chamber components
CN105940481A (en) * 2014-01-27 2016-09-14 应用材料公司 High speed EPI system and chamber concepts
CN110993526A (en) * 2018-10-03 2020-04-10 Asm Ip控股有限公司 Substrate processing apparatus and method
CN111326446A (en) * 2018-12-17 2020-06-23 东京毅力科创株式会社 Heat treatment apparatus
CN114990299A (en) * 2022-08-01 2022-09-02 兴化市天泰合金制品科技有限公司 Heat treatment device for preparing nodular cast iron alloy

Families Citing this family (335)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7966969B2 (en) 2004-09-22 2011-06-28 Asm International N.V. Deposition of TiN films in a batch reactor
US7427571B2 (en) 2004-10-15 2008-09-23 Asm International, N.V. Reactor design for reduced particulate generation
TWI331770B (en) 2005-11-04 2010-10-11 Applied Materials Inc Apparatus for plasma-enhanced atomic layer deposition
NL1030360C2 (en) * 2005-11-07 2007-05-08 Holding Mij Wilro B V Furnace and method for the production of photovoltaic solar cells using a diffusion process.
US20070194470A1 (en) * 2006-02-17 2007-08-23 Aviza Technology, Inc. Direct liquid injector device
WO2007099387A1 (en) 2006-03-03 2007-09-07 Mymetics Corporation Virosome-like vesicles comprising gp41-derived antigens
US7691757B2 (en) 2006-06-22 2010-04-06 Asm International N.V. Deposition of complex nitride films
DE102007058053B4 (en) * 2007-11-30 2009-10-15 Von Ardenne Anlagentechnik Gmbh Diffusion furnace and method for generating a gas flow
US9157150B2 (en) * 2007-12-04 2015-10-13 Cypress Semiconductor Corporation Method of operating a processing chamber used in forming electronic devices
JP4885901B2 (en) * 2008-03-31 2012-02-29 株式会社山武 Flow control system
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US7833906B2 (en) 2008-12-11 2010-11-16 Asm International N.V. Titanium silicon nitride deposition
US8136618B2 (en) 2009-01-21 2012-03-20 The Raymond Corporation Cyclonic motor cooling for material handling vehicles
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5794497B2 (en) 2010-06-08 2015-10-14 国立研究開発法人産業技術総合研究所 Linkage system
KR101877494B1 (en) * 2010-12-24 2018-07-13 엘지이노텍 주식회사 Vacuum heat treatment apparatus
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US9018567B2 (en) 2011-07-13 2015-04-28 Asm International N.V. Wafer processing apparatus with heated, rotating substrate support
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9147584B2 (en) * 2011-11-16 2015-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Rotating curing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10386019B2 (en) 2013-03-15 2019-08-20 Southwire Company, Llc Flow control and gas metering process
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9543171B2 (en) * 2014-06-17 2017-01-10 Lam Research Corporation Auto-correction of malfunctioning thermal control element in a temperature control plate of a semiconductor substrate support assembly that includes deactivating the malfunctioning thermal control element and modifying a power level of at least one functioning thermal control element
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (en) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. Semiconductor device and manufacuring method thereof
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
TWI642137B (en) * 2015-08-04 2018-11-21 日商日立國際電氣股份有限公司 Substrate processing apparatus, reaction container, and manufacturing method of semiconductor device
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US20170207078A1 (en) * 2016-01-15 2017-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Atomic layer deposition apparatus and semiconductor process
WO2017130268A1 (en) * 2016-01-25 2017-08-03 三菱電機株式会社 Control apparatus
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (en) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. Method of forming metal interconnection and method of fabricating semiconductor device using the same
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (en) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
KR102613349B1 (en) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. Exhaust apparatus and substrate processing apparatus and thin film fabricating method using the same
FR3057391B1 (en) * 2016-10-11 2019-03-29 Soitec THERMAL TREATMENT EQUIPMENT WITH COLLECTING DEVICE
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
WO2018150537A1 (en) * 2017-02-17 2018-08-23 株式会社Kokusai Electric Substrate treatment device, method for manufacturing semiconductor device, and program
JP7158133B2 (en) 2017-03-03 2022-10-21 アプライド マテリアルズ インコーポレイテッド Atmosphere-controlled transfer module and processing system
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
TWI629441B (en) 2017-07-07 2018-07-11 寶成工業股份有限公司 Smart oven
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR102401446B1 (en) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
CN111373519B (en) * 2017-11-16 2021-11-23 应用材料公司 High-pressure steam annealing treatment equipment
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (en) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. Equipment with a clean mini-environment
TWI779134B (en) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 A storage device for storing wafer cassettes and a batch furnace assembly
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (en) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 Deposition method
CN111630203A (en) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 Method for depositing gap filling layer by plasma auxiliary deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102657269B1 (en) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. Method for depositing a ruthenium-containing film on a substrate by a cyclic deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
KR20190128558A (en) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190129718A (en) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI819010B (en) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI751420B (en) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 Thin-film deposition method
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
JP7206678B2 (en) * 2018-07-30 2023-01-18 Tdk株式会社 Load port device, semiconductor manufacturing device, and method for controlling atmosphere in pod
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (en) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー Method and system for forming device structures using selective deposition of gallium nitride - Patents.com
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
JP7509548B2 (en) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー Cyclic deposition method and apparatus for filling recesses formed in a substrate surface - Patents.com
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
JP2020136678A (en) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Method for filing concave part formed inside front surface of base material, and device
JP2020133004A (en) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Base material processing apparatus and method for processing base material
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
KR20200108248A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. STRUCTURE INCLUDING SiOCN LAYER AND METHOD OF FORMING SAME
JP2020167398A (en) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
KR20200123380A (en) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188254A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Method of using a gas-phase reactor system including analyzing exhausted gas
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP7499079B2 (en) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー Plasma device using coaxial waveguide and substrate processing method
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (en) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 Method of forming topology-controlled amorphous carbon polymer film
TW202113936A (en) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (en) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. Liquid level sensor for a chemical source vessel
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11407000B2 (en) 2019-09-23 2022-08-09 S. C. Johnson & Son, Inc. Volatile material dispenser
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
CN112635282A (en) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 Substrate processing apparatus having connection plate and substrate processing method
KR20210042810A (en) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. Reactor system including a gas distribution assembly for use with activated species and method of using same
KR20210043460A (en) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. Method of forming a photoresist underlayer and structure including same
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (en) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
KR20210050453A (en) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
CN112992667A (en) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 Method of forming vanadium nitride layer and structure including vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (en) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 Gas supply assembly and valve plate assembly
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (en) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
KR20210100010A (en) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (en) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method for growing phosphorous-doped silicon layer and system of the same
TW202203344A (en) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 System dedicated for parts cleaning
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
KR20210116249A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. lockout tagout assembly and system and method of using same
CN113394086A (en) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 Method for producing a layer structure having a target topological profile
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (en) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Vertical batch furnace assembly, and method for cooling vertical batch furnace
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
TW202140831A (en) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 Method of forming vanadium nitride–containing layer and structure comprising the same
KR20210134226A (en) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. Solid source precursor vessel
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
TW202147383A (en) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202200837A (en) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Reaction system for forming thin film on substrate
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
KR20220006455A (en) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. Method for processing a substrate
TW202219628A (en) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
KR20220027026A (en) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. Method and system for forming metal silicon oxide and metal silicon oxynitride
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
TW202217037A (en) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
TW202235649A (en) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 Methods for filling a gap and related systems and devices
KR20220076343A (en) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. an injector configured for arrangement within a reaction chamber of a substrate processing apparatus
CN114639631A (en) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 Fixing device for measuring jumping and swinging
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
GB2610156A (en) * 2021-04-29 2023-03-01 Edwards Ltd Semiconductor processing system
FI129948B (en) * 2021-05-10 2022-11-15 Picosun Oy Substrate processing apparatus and method
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
KR102444786B1 (en) * 2021-12-23 2022-09-19 주식회사 에이치피에스피 High Pressure Chamber to Improve Cooling Efficiency

Family Cites Families (59)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4401689A (en) * 1980-01-31 1983-08-30 Rca Corporation Radiation heated reactor process for chemical vapor deposition on substrates
EP0164928A3 (en) * 1984-06-04 1987-07-29 Texas Instruments Incorporated Vertical hot wall cvd reactor
JPS61191015A (en) * 1985-02-20 1986-08-25 Hitachi Ltd Semiconductor vapor growth and equipment thereof
US4753192A (en) * 1987-01-08 1988-06-28 Btu Engineering Corporation Movable core fast cool-down furnace
JPH088220B2 (en) * 1988-09-05 1996-01-29 株式会社日立製作所 Semiconductor wafer heat treatment apparatus and heat treatment method
EP0306967B1 (en) * 1987-09-11 1997-04-16 Hitachi, Ltd. Apparatus for performing heat treatment on semiconductor wafers
US4992301A (en) * 1987-09-22 1991-02-12 Nec Corporation Chemical vapor deposition apparatus for obtaining high quality epitaxial layer with uniform film thickness
US4787844A (en) * 1987-12-02 1988-11-29 Gas Research Institute Seal arrangement for high temperature furnace applications
US4914276A (en) * 1988-05-12 1990-04-03 Princeton Scientific Enterprises, Inc. Efficient high temperature radiant furnace
JP2654996B2 (en) * 1988-08-17 1997-09-17 東京エレクトロン株式会社 Vertical heat treatment equipment
JPH02130943A (en) * 1988-11-11 1990-05-18 Tel Sagami Ltd Accommodation jig
US5160545A (en) * 1989-02-03 1992-11-03 Applied Materials, Inc. Method and apparatus for epitaxial deposition
DE3906075A1 (en) * 1989-02-27 1990-08-30 Soehlbrand Heinrich Dr Dipl Ch METHOD FOR THERMALLY TREATING SEMICONDUCTOR MATERIALS AND DEVICE FOR CARRYING OUT THE SAME
US5207835A (en) * 1989-02-28 1993-05-04 Moore Epitaxial, Inc. High capacity epitaxial reactor
US5127365A (en) * 1990-02-27 1992-07-07 Kabushiki Kaisha Toshiba Vertical heat-treatment apparatus for semiconductor parts
JP2819073B2 (en) * 1991-04-25 1998-10-30 東京エレクトロン株式会社 Method of forming doped thin film
JP3040212B2 (en) * 1991-09-05 2000-05-15 株式会社東芝 Vapor phase growth equipment
US5480300A (en) * 1992-05-15 1996-01-02 Shin-Etsu Quartz Products Co. Ltd. Vertical heat-treating apparatus and heat insulator
US5383984A (en) * 1992-06-17 1995-01-24 Tokyo Electron Limited Plasma processing apparatus etching tunnel-type
JP3024449B2 (en) * 1993-07-24 2000-03-21 ヤマハ株式会社 Vertical heat treatment furnace and heat treatment method
US5706627A (en) * 1994-02-02 1998-01-13 Tetra Laval Holdings & Finance, S.A. Control system for a packaging machine
JPH088194A (en) * 1994-06-16 1996-01-12 Kishimoto Sangyo Kk Gas phase growth mechanism and heating apparatus in heat treatment mechanism
US6361618B1 (en) * 1994-07-20 2002-03-26 Applied Materials, Inc. Methods and apparatus for forming and maintaining high vacuum environments
US5724786A (en) * 1994-09-28 1998-03-10 Tetra Laval Holdings & Finance S.A. Control system having error correcting apparatus
JP2732224B2 (en) * 1994-09-30 1998-03-25 信越半導体株式会社 Wafer support boat
JPH08213446A (en) * 1994-12-08 1996-08-20 Tokyo Electron Ltd Processing equipment
US5830277A (en) * 1995-05-26 1998-11-03 Mattson Technology, Inc. Thermal processing system with supplemental resistive heater and shielded optical pyrometry
US5871588A (en) * 1995-07-10 1999-02-16 Cvc, Inc. Programmable ultraclean electromagnetic substrate rotation apparatus and method for microelectronics manufacturing equipment
JP3471144B2 (en) * 1995-09-06 2003-11-25 東京エレクトロン株式会社 Vertical heat treatment apparatus, heat insulation structure thereof, and heat shield plate
JP3423131B2 (en) * 1995-11-20 2003-07-07 東京エレクトロン株式会社 Heat treatment equipment and treatment equipment
JPH09306980A (en) * 1996-05-17 1997-11-28 Asahi Glass Co Ltd Vertical wafer boat
US20010052359A1 (en) * 1997-02-21 2001-12-20 Masayoshi Ikeda Method of substrate temperature control and method of assessing substrate temperature controllability
US5846073A (en) * 1997-03-07 1998-12-08 Semitool, Inc. Semiconductor furnace processing vessel base
US5826406A (en) * 1997-05-01 1998-10-27 Tetra Laval Holdings & Finance, S.A. Servo-controlled conveyor system for carrying liquid filled containers
US5900177A (en) * 1997-06-11 1999-05-04 Eaton Corporation Furnace sidewall temperature control system
US6352594B2 (en) * 1997-08-11 2002-03-05 Torrex Method and apparatus for improved chemical vapor deposition processes using tunable temperature controlled gas injectors
WO1999036587A1 (en) * 1998-01-15 1999-07-22 Torrex Equipment Corporation Vertical plasma enhanced process apparatus and method
US6204194B1 (en) * 1998-01-16 2001-03-20 F.T.L. Co., Ltd. Method and apparatus for producing a semiconductor device
US6059567A (en) * 1998-02-10 2000-05-09 Silicon Valley Group, Inc. Semiconductor thermal processor with recirculating heater exhaust cooling system
US6051113A (en) * 1998-04-27 2000-04-18 Cvc Products, Inc. Apparatus and method for multi-target physical-vapor deposition of a multi-layer material structure using target indexing
US6030208A (en) * 1998-06-09 2000-02-29 Semitool, Inc. Thermal processor
ATE418451T1 (en) * 1998-06-18 2009-01-15 Kline & Walker L L C AUTOMATIC DEVICE FOR MONITORING DISTANCE-OPERATED EQUIPMENT AND MACHINES APPLICABLE WORLDWIDE
JP3487497B2 (en) * 1998-06-24 2004-01-19 岩手東芝エレクトロニクス株式会社 Object to be processed accommodation jig and heat treatment apparatus using the same
US6537461B1 (en) * 2000-04-24 2003-03-25 Hitachi, Ltd. Process for treating solid surface and substrate surface
US6140833A (en) * 1998-11-16 2000-10-31 Siemens Aktiengesellschaft In-situ measurement method and apparatus for semiconductor processing
US6449428B2 (en) * 1998-12-11 2002-09-10 Mattson Technology Corp. Gas driven rotating susceptor for rapid thermal processing (RTP) system
US6193811B1 (en) * 1999-03-03 2001-02-27 Applied Materials, Inc. Method for improved chamber bake-out and cool-down
US6450116B1 (en) * 1999-04-22 2002-09-17 Applied Materials, Inc. Apparatus for exposing a substrate to plasma radicals
JP2000311862A (en) * 1999-04-28 2000-11-07 Kokusai Electric Co Ltd Substrate treating system
US6121581A (en) * 1999-07-09 2000-09-19 Applied Materials, Inc. Semiconductor processing system
US6391163B1 (en) * 1999-09-27 2002-05-21 Applied Materials, Inc. Method of enhancing hardness of sputter deposited copper films
JP3404674B2 (en) * 2000-01-21 2003-05-12 株式会社真空技研 Ultra high temperature heat treatment equipment
US20020069970A1 (en) * 2000-03-07 2002-06-13 Applied Materials, Inc. Temperature controlled semiconductor processing chamber liner
US6537707B1 (en) * 2000-03-15 2003-03-25 Agilent Technologies, Inc. Two-stage roughing and controlled deposition rates for fabricating laser ablation masks
US6641350B2 (en) * 2000-04-17 2003-11-04 Hitachi Kokusai Electric Inc. Dual loading port semiconductor processing equipment
JP2002083780A (en) * 2000-09-05 2002-03-22 Hitachi Kokusai Electric Inc Semiconductor manufacturing apparatus
US6589350B1 (en) * 2000-09-08 2003-07-08 Advanced Micro Devices, Inc. Vacuum processing chamber with controlled gas supply valve
US20030082031A1 (en) * 2001-10-30 2003-05-01 Olivier Vatel Wafer handling device and method for testing wafers
JP4873820B2 (en) * 2002-04-01 2012-02-08 株式会社エフティーエル Semiconductor device manufacturing equipment

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104641464A (en) * 2012-09-17 2015-05-20 株式会社Eugene科技 Substrate processing apparatus
CN104641464B (en) * 2012-09-17 2017-03-08 株式会社Eugene科技 Substrate board treatment
CN104871305A (en) * 2012-12-14 2015-08-26 应用材料公司 Thermal radiation barrier for substrate processing chamber components
US10177014B2 (en) 2012-12-14 2019-01-08 Applied Materials, Inc. Thermal radiation barrier for substrate processing chamber components
CN104871305B (en) * 2012-12-14 2019-07-23 应用材料公司 Heat radiation barrier layer for substrate processing chamber component
CN105940481A (en) * 2014-01-27 2016-09-14 应用材料公司 High speed EPI system and chamber concepts
CN110993526A (en) * 2018-10-03 2020-04-10 Asm Ip控股有限公司 Substrate processing apparatus and method
CN110993526B (en) * 2018-10-03 2024-05-10 Asmip控股有限公司 Substrate processing apparatus and method
CN111326446A (en) * 2018-12-17 2020-06-23 东京毅力科创株式会社 Heat treatment apparatus
CN114990299A (en) * 2022-08-01 2022-09-02 兴化市天泰合金制品科技有限公司 Heat treatment device for preparing nodular cast iron alloy
CN114990299B (en) * 2022-08-01 2022-10-04 兴化市天泰合金制品科技有限公司 Heat treatment device for preparing nodular cast iron alloy

Also Published As

Publication number Publication date
TW200411960A (en) 2004-07-01
TW200406818A (en) 2004-05-01
AU2003253874A1 (en) 2004-02-02
TW200409176A (en) 2004-06-01
EP1522090A2 (en) 2005-04-13
TW200416775A (en) 2004-09-01
WO2004007318A3 (en) 2004-08-05
TW200419890A (en) 2004-10-01
JP2005533378A (en) 2005-11-04
AU2003249030A1 (en) 2004-02-02
WO2004008491A2 (en) 2004-01-22
WO2004008052A2 (en) 2004-01-22
WO2004008054A9 (en) 2005-01-13
WO2004008493A2 (en) 2004-01-22
AU2003256487A1 (en) 2004-02-02
AU2003253907A8 (en) 2004-02-02
AU2003259104A8 (en) 2004-02-02
AU2003256486A1 (en) 2004-02-02
TW200416774A (en) 2004-09-01
WO2004008494A2 (en) 2004-01-22
WO2004007105A1 (en) 2004-01-22
WO2004008008A2 (en) 2004-01-22
AU2003253907A1 (en) 2004-02-02
JP2005533232A (en) 2005-11-04
WO2004008008A3 (en) 2004-12-16
TW200405401A (en) 2004-04-01
AU2003253874A8 (en) 2004-02-02
AU2003259104A1 (en) 2004-02-02
EP1522090A4 (en) 2006-04-05
AU2003249030A8 (en) 2004-02-02
AU2003249028A1 (en) 2004-02-02
AU2003256486A8 (en) 2004-02-02
TW200411717A (en) 2004-07-01
WO2004008493A9 (en) 2004-07-22
WO2004007318A2 (en) 2004-01-22
WO2004008491A3 (en) 2004-06-03
AU2003249029A1 (en) 2004-02-02
AU2003249029A8 (en) 2004-02-02
WO2004008493A3 (en) 2004-05-27
WO2004007800A9 (en) 2005-01-13
AU2003253873A1 (en) 2004-02-02
WO2004008054A1 (en) 2004-01-22
EP1540258A1 (en) 2005-06-15
WO2004008052A3 (en) 2004-05-13
WO2004007800A1 (en) 2004-01-22
WO2004008494A3 (en) 2005-04-21
TW200416773A (en) 2004-09-01

Similar Documents

Publication Publication Date Title
CN1643322A (en) Heat treatment system and formable vertical chamber
TWI335618B (en) Substrate processing apparatus using a batch processing chamber
TWI687966B (en) Method of processing substrate and vacuum processing system and apparatus
US20050098107A1 (en) Thermal processing system with cross-flow liner
JP3190165B2 (en) Vertical heat treatment apparatus and heat treatment method
US20050121145A1 (en) Thermal processing system with cross flow injection system with rotatable injectors
US8148271B2 (en) Substrate processing apparatus, coolant gas supply nozzle and semiconductor device manufacturing method
US8183502B2 (en) Mounting table structure and heat treatment apparatus
US4640223A (en) Chemical vapor deposition reactor
US20070137794A1 (en) Thermal processing system with across-flow liner
CN1348552A (en) Semiconductor wafer processing system with vertically-stacked process chambers and single-axis dual-wafer transfer system
CN1500285A (en) Consecutive deposition system
TWI747282B (en) Heating device and organic metal compound chemical vapor deposition equipment including the same
CN1547757A (en) System and method of fast ambient switching for rapid thermal processing
CN2573509Y (en) Heat treatment device
CN1533590A (en) Processing apparatus and processing method
WO2011159615A2 (en) Method and apparatus for inducing turbulent flow of a processing chamber cleaning gas
CN1489644A (en) Susceptorless reactor for growing epitaxial layers by chemical vapor deposition
JP2008539564A (en) Substrate processing platform that enables processing in different environments
US20130276702A1 (en) Gas reclamation and abatement system for high volume epitaxial silicon deposition system
CN1420978A (en) Hot wall rapid thermal processor
CN1833312A (en) Loading table and heat treating apparatus having the loading table
US20100024728A1 (en) Substrate processing apparatus
US20130284097A1 (en) Gas distribution module for insertion in lateral flow chambers
CN1878889A (en) Thermal processing system with cross-flow liner

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication