JPH07211761A - Transfer of material to be treated in treating device - Google Patents

Transfer of material to be treated in treating device

Info

Publication number
JPH07211761A
JPH07211761A JP2193294A JP2193294A JPH07211761A JP H07211761 A JPH07211761 A JP H07211761A JP 2193294 A JP2193294 A JP 2193294A JP 2193294 A JP2193294 A JP 2193294A JP H07211761 A JPH07211761 A JP H07211761A
Authority
JP
Japan
Prior art keywords
chamber
processing
pressure
transfer chamber
chambers
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2193294A
Other languages
Japanese (ja)
Other versions
JP3486821B2 (en
Inventor
Hiroaki Saeki
弘明 佐伯
Teruo Asakawa
輝雄 浅川
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2193294A priority Critical patent/JP3486821B2/en
Publication of JPH07211761A publication Critical patent/JPH07211761A/en
Application granted granted Critical
Publication of JP3486821B2 publication Critical patent/JP3486821B2/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Abstract

PURPOSE:To provide a method, of transferring a material to be treated in a treating device, wherein the generation of cross contamination is inhibited. CONSTITUTION:In a method of transferring a material W to be treated in a treating device, which has a plurality of treating chambers 2A, 2B and 2C for treating the material W to be treated, a common transfer chamber 6 connected with these chambers 2A, 2B and 2C and load lock chambers 8A and 8B, which make the material W carry-out-and-in between the device and the outside, immediately before the chamber 6 is communicated to one of the chambers other than the chamber 6, a pressure in the chamber to be communicated to this chamber 6 is put set in a state that it is the same as that in the chamber 6 or is slightly lower than this pressure in the chamber 6. When the chamber 6 is communicated to the chamber, the gentle flow of air to turn to the communicated chamber from the chamber 6 is positively formed. Thereby, residual process gas or the like is prevented from intruding into the chamber 6 and cross contamination or the like is prevented from being generated.

Description

【発明の詳細な説明】Detailed Description of the Invention

【0001】[0001]

【産業上の利用分野】本発明は、処理装置内の被処理体
の搬送方法に関する。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a method for conveying an object to be processed in a processing apparatus.

【0002】[0002]

【従来の技術】一般に、半導体デバイスの製造工程にあ
っては、半導体ウエハに各種の処理、例えばドライエッ
チング、スパッタリング、CVD(Chemical
Vapor Deposition)等が複数回繰り返
し行なわれるが、最近にあっては、処理の効率化を図る
ために上述したような処理の内、同種或いは異種の処理
を施す処理室を複数個集合させて結合し、1つの処理が
終了した半導体ウエハを大気に晒すことなくこれに次の
処理を連続的に施すことができるようにした、いわゆる
クラスタツール装置が注目されている。
2. Description of the Related Art Generally, in a semiconductor device manufacturing process, various processes such as dry etching, sputtering, and CVD (Chemical) are performed on a semiconductor wafer.
Vapor Deposition) and the like are repeated a plurality of times, but recently, in order to increase the efficiency of processing, a plurality of processing chambers that perform the same or different processing among the processing described above are combined and combined. However, a so-called cluster tool device is attracting attention, which is capable of continuously performing the next processing on a semiconductor wafer after one processing is completed without exposing the semiconductor wafer to the atmosphere.

【0003】この種の装置は、例えば特開平3−192
52号公報に開示されているように例えば25枚のウエ
ハを収容できるカセットを外部との間で搬出入するカセ
ットロードロックと、カセット中のウエハを1枚ずつ内
部に取り込んだり、処理済みのウエハをカセット内に収
容するバッファロボットを有するバッファチャンバと、
バッファチャンバに連接された前/後処理チャンバと、
この前/後処理チャンバに連接されて内部に搬送ロボッ
トを有する共通搬送チャンバと、この共通搬送チャンバ
に連接された複数の処理チャンバとにより主に構成され
ている。そして、バッファロボットによりカセットから
移送された未処理ウエハは、前/後処理チャンバにて例
えば予備加熱等の前処理がなされた後に共通搬送チャン
バ内の搬送ロボットにより処理チャンバに移載される。
また、処理チャンバ間の移載はこの搬送ロボットにより
行なわれ、処理済みのウエハは前述したと逆の経路をた
どって、カセット内に収容されることになる。
An apparatus of this type is disclosed in, for example, Japanese Patent Laid-Open No. 3-192.
As disclosed in Japanese Patent Laid-Open No. 52-52, for example, a cassette load lock for loading / unloading a cassette capable of accommodating 25 wafers to / from the outside and a wafer loaded in the cassette one by one, or processed wafers And a buffer chamber having a buffer robot that accommodates in a cassette,
A pre / post-treatment chamber connected to the buffer chamber,
It is mainly configured by a common transfer chamber connected to the pre / post-processing chamber and having a transfer robot inside, and a plurality of processing chambers connected to the common transfer chamber. Then, the unprocessed wafer transferred from the cassette by the buffer robot is transferred to the processing chamber by the transfer robot in the common transfer chamber after being subjected to preprocessing such as preheating in the pre / post-processing chamber.
Further, the transfer between the processing chambers is performed by this transfer robot, and the processed wafers are stored in the cassettes by following the route opposite to the above.

【0004】[0004]

【発明が解決しようとする課題】ところで、各チャンバ
間にウエハを移動させる場合、例えば共通搬送チャンバ
と処理チャンバとの間でウエハを受け渡しする場合に
は、一般的には搬送チャンバに設けられる真空排気系に
よる到達圧力すなわちベースプレッシャ状態でそれらの
間の仕切りバルブが開かれて連通され、ウエハの受け渡
しが行なわれる。ここで、搬送チャンバ内は内部の構成
部材の部品点数等が処理チャンバ内と比較して比較的少
ないことからそれらの壁からの脱ガスが少なくて到達圧
力、すなわちベースプレッシャは10-3Torr以下の
中真空或いは高真空状態となっているのに対して内部構
造物の多い処理チャンバ内の圧力は搬送チャンバ内より
もベースプレッシャが高いので、両チャンバの連通時に
処理チャンバ内の残留ガスが搬送チャンバ内に拡散して
しまい、ウエハに対するクロスコンタミネーションが発
生するという問題点がある。また、腐食性の処理ガスが
処理チャンバから搬送チャンバ内に流入した場合には、
この搬送チャンバ内の機構部品が腐食される等の問題も
あった。
By the way, when a wafer is moved between chambers, for example, when a wafer is transferred between a common transfer chamber and a processing chamber, a vacuum provided in the transfer chamber is generally used. Under the ultimate pressure of the exhaust system, that is, in the base pressure state, the partition valve between them is opened and communicated, and the wafer is transferred. Here, in the transfer chamber, the number of components of the internal components is relatively small compared to the inside of the processing chamber, so degassing from the walls is small and the ultimate pressure, that is, the base pressure is 10 −3 Torr or less. In contrast to the medium or high vacuum state, the pressure inside the processing chamber, which has many internal structures, has a higher base pressure than the inside of the transfer chamber, so the residual gas in the processing chamber is transferred when both chambers are in communication. There is a problem in that it diffuses into the chamber and cross-contamination occurs on the wafer. In addition, when a corrosive processing gas flows from the processing chamber into the transfer chamber,
There is also a problem that the mechanical parts in the transfer chamber are corroded.

【0005】また、この種の装置においては、処理効率
を上げると共に各ウエハに対する汚染を防止することが
大きな課題となるが、この装置例にあっては処理効率を
上げるためにロードロックから処理チャンバまでの各室
を横切るように真空勾配を形成して処理チャンバ側を最
も圧力が低い状態とし、従って、ウエハを処理チャンバ
内に移載した時にこのチャンバ内をベースプレッシャま
で排気するに要する排気時間を最小限として、ウエハの
処理時間を短くするようになっている。
Further, in this type of apparatus, it is a great problem to improve the processing efficiency and prevent contamination of each wafer. In this apparatus example, in order to increase the processing efficiency, the load lock is changed to the processing chamber. A vacuum gradient is formed across all the chambers so that the pressure on the processing chamber side is the lowest, and therefore, when the wafer is transferred into the processing chamber, the exhaust time required to exhaust the chamber to the base pressure. To minimize the wafer processing time.

【0006】しかしながら、この場合には、大気開放の
時に外部よりカセットロードロック内に取り込まれたが
水分等のコンタミネーションが圧力勾配に従って搬送チ
ャンバを介して各処理チャンバ内に流れ込むことにな
り、結果的にウエハが汚染されるという問題点が生じて
しまう。また、これに類似する技術として特開平4−1
00222号公報に示されるようにウエハの移載時に真
空搬送室から処理室に向かって積極的に不活性ガスを流
すようにしてクロスコンタミネーションを防止すること
も行なわれているが、この場合にも前述のように真空搬
送室内に外部より侵入したコンタミネーションが処理室
内に流れ込み、結果的に歩留まりを低下させるという問
題点があった。
In this case, however, the contaminants such as water, which are taken into the cassette load lock from the outside when the atmosphere is opened, flow into the respective processing chambers via the transfer chamber according to the pressure gradient. The problem is that the wafer is contaminated. Further, as a technique similar to this, Japanese Patent Laid-Open No. 4-1
As disclosed in Japanese Laid-Open Patent Publication No. 00222, cross contamination can be prevented by positively flowing an inert gas from the vacuum transfer chamber to the processing chamber during wafer transfer. However, as described above, there is a problem that the contamination that has entered the vacuum transfer chamber from the outside flows into the processing chamber, resulting in a reduction in yield.

【0007】本発明は、以上のような問題点に着目し、
これを有効に解決すべく創案されたものである。本発明
の目的は、クロスコンタミネーションを抑制することが
できる処理装置内の被処理体の搬送方法を提供すること
にある。
The present invention focuses on the above problems,
It was created to solve this effectively. It is an object of the present invention to provide a method of transporting an object to be processed in a processing apparatus, which can suppress cross contamination.

【0008】[0008]

【課題を解決するための手段】本発明は、上記問題点を
解決するために、被処理体を処理する複数の処理室と、
これらの処理室に連通可能に接続された共通搬送室と、
この共通搬送室に連通可能に接続されて外部との間で前
記被処理体を搬出入させるロードロック室を有する処理
装置内にて前記被処理体を搬送する方法において、前記
共通搬送室を介して前記処理空間或いは前記処理室と前
記ロードロック室との間に前記被処理体を搬送すべく前
記共通搬送室と前記処理室或いは前記ロードロック室と
を連通する際に、前記処理室或いは前記ロードロック室
内の圧力を前記共通搬送室の圧力と同一か或いはそれよ
りも僅かに低く設定するようにしたものである。
In order to solve the above problems, the present invention provides a plurality of processing chambers for processing an object to be processed,
A common transfer chamber connected to these processing chambers so that they can communicate with each other,
In the method of transferring the object to be processed in a processing apparatus having a load lock chamber that is connected to the common transfer chamber so as to be able to communicate with the outside to transfer the object to and from the outside, a method of transferring the object via the common transfer chamber When the common transfer chamber and the process chamber or the load lock chamber are communicated with each other to transfer the object to be processed between the process space or the process chamber and the load lock chamber, the process chamber or the load lock chamber The pressure in the load lock chamber is set to be equal to or slightly lower than the pressure in the common transfer chamber.

【0009】[0009]

【作用】本発明は、以上のように構成したので、共通搬
送室と各室を連通させる直前には、共通搬送室に連通さ
れるべきロードロック室内或いは処理室内の圧力は共通
搬送室内の圧力と同圧或いはこれよりも僅かに低く維持
されているので、連通時にはこの共通搬送室からロード
ロック室内へ、或いは処理室内へ気体の流れが生じる。
従って、カセット搬出入時にロードロック室内へ外部よ
り侵入したコンタミネーションが共通搬送室内へ流入す
ることがなく、また、処理室内に残留する処理ガスやパ
ーティクルも共通搬送室内に侵入することがなくなり、
クロスコンタミネーションやパーティクルに起因する歩
留まりの低下を大幅に抑制することが可能となる。
Since the present invention is configured as described above, the pressure in the load lock chamber or the processing chamber, which is to be communicated with the common transfer chamber, is the pressure in the common transfer chamber immediately before the common transfer chamber is communicated with each chamber. Since the pressure is maintained at the same pressure as or slightly lower than that, gas flows from the common transfer chamber into the load lock chamber or into the processing chamber during communication.
Therefore, contamination that has entered the load lock chamber from the outside does not flow into the common transfer chamber during cassette loading and unloading, and processing gas and particles that remain in the process chamber do not enter the common transfer chamber.
It is possible to significantly suppress a decrease in yield due to cross contamination and particles.

【0010】[0010]

【実施例】以下に、本発明に係る被処理体の搬送方法の
一実施例を図面に基いて詳述する。
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS An embodiment of a method of transporting an object to be processed according to the present invention will be described below in detail with reference to the drawings.

【0011】図1は本発明方法を実施するための処理装
置を示す概略断面図、図2は図1に示す処理装置を示す
平面図、図3は本発明方法を実施するためのタイミング
チャートである。
FIG. 1 is a schematic sectional view showing a processing apparatus for carrying out the method of the present invention, FIG. 2 is a plan view showing the processing apparatus shown in FIG. 1, and FIG. 3 is a timing chart for carrying out the method of the present invention. is there.

【0012】まず、本発明方法を実施するための処理装
置を説明する。この処理装置は、同一或いは異種の処理
を被処理体である半導体ウエハWに施すために複数、図
示例にあっては3個の処理室2A、2B、2Cを集合さ
せて結合し、いわゆるクラスタツール化されている。こ
れらの処理室の種類としてはプラズマエッチング処理
室、スパッタリング処理室、CVD処理室等を挙げるこ
とができる。尚、この処理室の数は、3個に限定され
ず、2個或いは4個以上設けるようにしてもよい。
First, a processing apparatus for carrying out the method of the present invention will be described. In this processing apparatus, a plurality of, in the illustrated example, three processing chambers 2A, 2B and 2C are combined and combined in order to perform the same or different kinds of processing on a semiconductor wafer W which is an object to be processed. It is a tool. Examples of these processing chambers include a plasma etching processing chamber, a sputtering processing chamber, and a CVD processing chamber. Note that the number of processing chambers is not limited to three, and two or four or more may be provided.

【0013】この各処理室2A、2B、2Cは、内部に
多関節式の搬送アーム4を有する共通搬送室6に、それ
ぞれゲートバルブG1、G2、G3を介して連通可能に
連結されている。また、この共通搬送室6には、複数、
図示例にあっては2個のロードロック室8A、8Bがそ
れぞれゲートバルブG4、G5を介して連通可能に連結
されている。各ロードロック室8A、8B内には、例え
ば25枚のウエハWを収容し得るカセット10が設置可
能になされており、このカセット10は、この装置外と
の間を開閉するゲートドアG6、G7を開いて装置外と
の間で搬出入されるようになっている。各ロードロック
室8A、8Bには、これにArやN2 等の不活性ガスを
供給するロードロック室不活性ガス供給系12が接続さ
れると共にこの供給系には質量流量を制御する流量制御
弁として例えばマスフローコントローラ14及び開閉弁
16が順次介設されている。
Each of the processing chambers 2A, 2B and 2C is connected to a common transfer chamber 6 having an articulated transfer arm 4 therein via gate valves G1, G2 and G3, respectively. Further, in the common transfer chamber 6, a plurality of
In the illustrated example, the two load lock chambers 8A and 8B are connected so as to be able to communicate with each other via gate valves G4 and G5, respectively. A cassette 10 capable of accommodating, for example, 25 wafers W can be installed in each of the load lock chambers 8A and 8B. The cassette 10 has gate doors G6 and G7 for opening / closing the outside of the apparatus. It is designed so that it can be opened and carried in and out of the equipment. A load lock chamber inert gas supply system 12 for supplying an inert gas such as Ar or N 2 is connected to each of the load lock chambers 8A, 8B, and a flow rate control for controlling a mass flow rate is provided in the supply system. As valves, for example, a mass flow controller 14 and an on-off valve 16 are sequentially provided.

【0014】また、このロードロック室8A、8Bの底
部には、ロードロック室真空排気系18が接続されると
共にこの排気系18には開閉弁20及び真空ポンプとし
ての例えばドライポンプ22が順に介設されている。各
ロードロック室8A、8B内の圧力は、それぞれに設け
られたロードロック室圧力計24により検出するように
なっている。上記各処理室2A、2B、2Cには、これ
に処理ガスを供給する処理ガス供給系24の他に、N2
やAr等の不活性ガスを供給する処理室不活性ガス供給
系26が接続されており、この供給系26には質量流量
を制御する例えばマスフローコントローラ28及び開閉
弁30が順次介設されている。
A vacuum exhaust system 18 for the load lock chamber is connected to the bottoms of the load lock chambers 8A and 8B, and an on-off valve 20 and a dry pump 22 as a vacuum pump are provided in this exhaust system 18 in order. It is set up. The pressure in each of the load lock chambers 8A and 8B is detected by the load lock chamber pressure gauge 24 provided therein. In addition to the processing gas supply system 24 for supplying the processing gas to the processing chambers 2A, 2B and 2C, N 2
A processing chamber inert gas supply system 26 for supplying an inert gas such as Ar or Ar is connected to the supply system 26. A mass flow controller 28 for controlling a mass flow rate and an opening / closing valve 30 are sequentially provided in the supply system 26. .

【0015】また、各処理室2A、2B、2Cの底部に
は、第1の開閉弁32の介設された処理室主真空排気系
34が接続されると共に、第2の開閉弁36、ターボ分
子ポンプ38及び第3の開閉弁40が順次介設された処
理室補助真空排気系42が接続されている。そして、こ
れら2つの真空排気系34、42の下流は合流されて真
空ポンプとしての例えばドライポンプ44に接続されて
いる。また、各処理室2A、2B、2Cには処理室圧力
計46が設けられており、内部圧力を検出するようにな
っている。上記共通搬送室6には、これにArやN2
の不活性ガスを供給する搬送室不活性ガス供給系48が
接続されると共にこの供給系には質量流量を制御する流
量制御弁として例えばマスフローコントローラ50及び
開閉弁52が順次介設されている。
At the bottom of each processing chamber 2A, 2B, 2C, a processing chamber main vacuum exhaust system 34 in which a first opening / closing valve 32 is provided is connected, and a second opening / closing valve 36 and a turbo are installed. A processing chamber auxiliary vacuum exhaust system 42 in which a molecular pump 38 and a third opening / closing valve 40 are sequentially interposed is connected. The downstream ends of these two vacuum exhaust systems 34 and 42 are joined and connected to a vacuum pump, for example, a dry pump 44. A processing chamber pressure gauge 46 is provided in each of the processing chambers 2A, 2B, and 2C so as to detect the internal pressure. A carrier chamber inert gas supply system 48 for supplying an inert gas such as Ar or N 2 to the common carrier chamber 6 is connected to the common carrier chamber 6, and a mass flow rate control valve for controlling the mass flow rate is provided in the carrier system, for example. A mass flow controller 50 and an opening / closing valve 52 are sequentially provided.

【0016】また、この共通搬送室6の底部には、第1
の開閉弁54が介設された搬送室主真空排気系56が接
続されると共に、第2の開閉弁58、ターボ分子ポンプ
60及び第3の開閉弁62が順次介設された搬送室補助
真空排気系64が接続されている。そして、これら2つ
の真空排気系56、64の下流は合流されて真空ポンプ
として例えばドライポンプ66に接続されている。特
に、上記搬送室主真空排気系56の上流側排気口56A
は、略全体がケーシングにより被われた搬送アーム4内
に臨ませて設けられており、アーム稼働時にこのアーム
にて発生するパーティクルを効果的に吸引排除し得るよ
うになっている。
At the bottom of the common transfer chamber 6, the first
The transfer chamber main vacuum exhaust system 56 in which the open / close valve 54 is installed is connected, and the transfer chamber auxiliary vacuum in which the second open / close valve 58, the turbo molecular pump 60, and the third open / close valve 62 are sequentially installed The exhaust system 64 is connected. The downstream of these two vacuum exhaust systems 56 and 64 are joined and connected to a dry pump 66, for example, as a vacuum pump. In particular, the upstream exhaust port 56A of the transfer chamber main vacuum exhaust system 56
Is provided so as to face the inside of the transfer arm 4 covered by the casing, and particles generated in the arm can be effectively sucked and removed when the arm is in operation.

【0017】また、この共通搬送室6には、搬送室圧力
計68が設けられており、この内部圧力を検出するよう
になっている。上記各圧力計24、46、68の出力値
は、フィードバック信号として例えばマイクロコンピュ
ータ等よりなる制御部70へ入力され、各不活性ガス供
給系12、26、48に介設したマスフローコントロー
ラ14、28、50を制御することにより各室内を所望
する圧力に制御維持するようになっている。尚、共通搬
送室6と各室との連通時に、これらの間に生じている圧
力差を事前に緩和するために共通搬送室6と各室との間
に、開閉可能になされたバイパス開閉弁72、74を介
在させたバイパス路76、78をそれぞれ設けるように
してもよい。
A transfer chamber pressure gauge 68 is provided in the common transfer chamber 6 to detect the internal pressure. The output value of each of the pressure gauges 24, 46, 68 is input as a feedback signal to the control unit 70 including, for example, a microcomputer, and the mass flow controllers 14, 28 provided in the respective inert gas supply systems 12, 26, 48. , 50 to control and maintain each chamber at a desired pressure. A bypass opening / closing valve that can be opened / closed between the common transfer chamber 6 and each chamber in order to reduce the pressure difference between the common transfer chamber 6 and each chamber when the common transfer chamber 6 and each chamber are communicated with each other in advance. Bypass paths 76 and 78 with 72 and 74 interposed may be provided, respectively.

【0018】次に、以上のように構成された装置例を基
に行なわれる本発明の搬送方法について説明する。本発
明は、ウエハの受け渡しのために共通搬送室6とロード
ロック室との間或いは共通搬送室6と処理室との間を連
通させる場合には、連通させる直前に共通搬送室6に連
通されるべき室内の圧力を共通搬送室6内の圧力と同一
か、これよりも僅かに低い状態すなわち負圧状態にして
圧力傾斜を形成しておくものであり、これにより、各ロ
ードロック室内や処理室内から共通搬送室へ気体が流れ
込むことを防止する。
Next, the carrying method of the present invention, which is carried out based on the example of the apparatus constructed as described above, will be explained. According to the present invention, when the common transfer chamber 6 and the load lock chamber or the common transfer chamber 6 and the processing chamber are communicated with each other to transfer a wafer, the common transfer chamber 6 is communicated with the common transfer chamber 6 immediately before the communication. A pressure gradient is formed by setting the pressure in the chamber to be the same as the pressure in the common transfer chamber 6 or slightly lower than that, that is, a negative pressure state, thereby forming a pressure gradient in each load lock chamber or process. Prevents gas from flowing from the room to the common transfer room.

【0019】本実施例としては、処理室2Aとしてウエ
ハWに対してエッチング処理を施すエッチャーを例にと
って説明する。このエッチングを行なう場合の処理圧力
は300mTorr程度であり、この処理圧力に関して
は処理内容にもよるが、例えば数10mTorr〜数1
00mTorr程度の範囲内で変動する。また、共通搬
送室6内は搬送室主真空排気系56または搬送室補助真
空排気系64を例えば選択的に作動させることにより常
時真空引きされており、同時に、この共通搬送室6内へ
は搬送系不活性ガス供給系48から、不活性ガス例えば
2 ガスが間欠的或いは連続的に供給されている。この
場合、この搬送室6内の圧力は搬送室圧力計68により
検出されてフィードバック信号として制御部70へ供給
されており、この制御部70が搬送室不活性ガス供給系
48のマスフローコントローラ50を制御することによ
り搬送室6内は常時一定の圧力、例えば本実施例では1
00mTorrを維持するようになっている。尚、共通
搬送室6内の設定圧力は、例えば10mTorr〜30
0mTorr程度の範囲内で任意の値に設定できる。
In this embodiment, an etcher for etching the wafer W as the processing chamber 2A will be described as an example. The processing pressure when performing this etching is about 300 mTorr, and depending on the processing content, the processing pressure is, for example, several tens of mTorr to several 1
It fluctuates within a range of about 00 mTorr. Further, the common transfer chamber 6 is constantly evacuated by, for example, selectively operating the transfer chamber main vacuum exhaust system 56 or the transfer chamber auxiliary vacuum exhaust system 64, and at the same time, transfer into the common transfer chamber 6 is performed. An inert gas such as N 2 gas is intermittently or continuously supplied from the system inert gas supply system 48. In this case, the pressure in the transfer chamber 6 is detected by the transfer chamber pressure gauge 68 and is supplied as a feedback signal to the control unit 70, and the control unit 70 controls the mass flow controller 50 of the transfer chamber inert gas supply system 48. The transfer chamber 6 is controlled to have a constant pressure, for example, 1 in this embodiment.
It is designed to maintain 00 mTorr. The set pressure in the common transfer chamber 6 is, for example, 10 mTorr-30
It can be set to any value within the range of about 0 mTorr.

【0020】また、同様にロードロック室8A内も、ロ
ードロック室真空排気系18の作動により真空引きされ
ると共にロードロック室不活性ガス供給系12により連
続的或いは間欠的に不活性ガス、例えばN2 ガスが供給
されて、内部圧力は、上記共通搬送室6内よりも低い圧
力、例えば本実施例においては50mTorr程度に維
持されるようになっている。
Similarly, the load lock chamber 8A is evacuated by the operation of the load lock chamber vacuum exhaust system 18, and the load lock chamber inert gas is continuously or intermittently supplied to the load lock chamber 8A by an inert gas supply system 12, for example. The N 2 gas is supplied, and the internal pressure is kept lower than that in the common transfer chamber 6, for example, about 50 mTorr in this embodiment.

【0021】まず、初期状態においては、全てのポンプ
は稼働されて、処理室補助真空排気系42及び搬送室補
助真空排気系64のそれぞれの第3の開閉弁40、62
を開状態とする外、他の全ての開閉弁が閉じられると共
に全ての室が大気圧状態すなわち760Torrになさ
れている。この状態でカセット10を収容するためにロ
ードロック室8AのゲートドアG6を開き、この外部に
予め設定されているカセット10を図示しないカセット
アームによりロードロック室8A内に移載し、このゲー
トドアG6を閉じる。
First, in the initial state, all the pumps are operated and the third opening / closing valves 40, 62 of the processing chamber auxiliary vacuum exhaust system 42 and the transfer chamber auxiliary vacuum exhaust system 64, respectively.
In addition to the open state, all the other on-off valves are closed and all the chambers are set to the atmospheric pressure state, that is, 760 Torr. In this state, the gate door G6 of the load lock chamber 8A for housing the cassette 10 is opened, and the preset cassette 10 is transferred to the outside of the load lock chamber 8A by a cassette arm (not shown). close.

【0022】次に、ロードロック室真空排気系18の開
閉弁20を開にし、搬送室補助真空排気系64の第3の
開閉弁62及び処理室補助真空排気系42の第3の開閉
弁40を共に閉にすると共に搬送室主真空排気系56の
第1の開閉弁54及び処理室主真空排気系34の第1の
開閉弁32を共に開にし、各室内の真空粗引きを行な
う。そして、ロードロック室8A、共通搬送室6及び処
理室8A内の圧力をそれぞれ50mTorr、10mT
orr、10mTorrにする。尚、ロードロック室8
A内の圧力は、この50mTorrにて維持される。こ
のように粗引きが終了したならば、次に、搬送室主真空
排気系56の第1の開閉弁54を閉にすると共に搬送室
補助真空排気系64の第2の開閉弁58及び第3の開閉
弁62を共に開にし、この搬送室6内を主排気して内部
雰囲気を1mTorr程度のベースプレッシャに設定す
る。
Next, the open / close valve 20 of the load lock chamber vacuum exhaust system 18 is opened, and the third open / close valve 62 of the transfer chamber auxiliary vacuum exhaust system 64 and the third open / close valve 40 of the processing chamber auxiliary vacuum exhaust system 42 are opened. Are closed together and the first opening / closing valve 54 of the transfer chamber main vacuum exhaust system 56 and the first opening / closing valve 32 of the processing chamber main vacuum exhaust system 34 are both opened to perform rough vacuuming of each chamber. The pressures in the load lock chamber 8A, the common transfer chamber 6 and the processing chamber 8A are set to 50 mTorr and 10 mT, respectively.
Orr, 10 mTorr. The load lock chamber 8
The pressure in A is maintained at this 50 mTorr. When the rough evacuation is completed, the first opening / closing valve 54 of the transfer chamber main vacuum exhaust system 56 is closed, and the second opening / closing valve 58 and the third transfer chamber auxiliary vacuum exhaust system 64 are then closed. The on-off valve 62 is opened, and the inside of the transfer chamber 6 is mainly exhausted to set the internal atmosphere to a base pressure of about 1 mTorr.

【0023】処理室2Aに関しても同様に行なう。すな
わち粗引きが終了したならば、処理室主真空排気系34
の第1の開閉弁32を閉にすると共に処理室補助真空排
気系42の第2の開閉弁36及び第3の開閉弁40を共
に開にし、この処理室2A内を主排気して内部雰囲気を
1mTorr程度のベースプレッシャに設定する。この
ように主排気を行なってベースプレッシャに到達するこ
とにより室内壁等に付着する不純物ガスを略確実に排除
する。尚、処理室2A内の圧力は、プロセスが開始され
るまで、この1mTorrが維持される。
The same applies to the processing chamber 2A. That is, when the rough evacuation is completed, the processing chamber main vacuum exhaust system 34
Of the processing chamber auxiliary vacuum exhaust system 42 and the third opening / closing valve 40 of the processing chamber auxiliary vacuum evacuation system 42 are both opened, and the inside of the processing chamber 2A is mainly exhausted by the internal atmosphere. Is set to a base pressure of about 1 mTorr. By thus performing the main exhaust to reach the base pressure, the impurity gas adhering to the interior wall or the like is almost certainly removed. The pressure in the processing chamber 2A is maintained at 1 mTorr until the process is started.

【0024】次に、共通搬送室6内の主排気が終了した
ならば、搬送室補助真空排気系56の第2の開閉弁58
及び第3の開閉弁62を閉にすると共に搬送室主真空排
気系56の第1の開閉弁54を開にして真空引きを続行
し、更に搬送室不活性ガス供給系48の開閉弁52を開
にし、搬送室6内にN2 ガスを供給する。この後、搬送
室6内へのN2 ガスの供給とこの室内の真空排気は、連
続的或いは間欠的に行なわれ、前述したようにこの内部
雰囲気の圧力は常に100mTorr程度に維持され、
圧力調整が行なわれる。
Next, when the main exhaust in the common transfer chamber 6 is completed, the second opening / closing valve 58 of the transfer chamber auxiliary vacuum exhaust system 56 is completed.
And the third opening / closing valve 62 are closed, the first opening / closing valve 54 of the transfer chamber main vacuum exhaust system 56 is opened to continue evacuation, and the opening / closing valve 52 of the transfer chamber inert gas supply system 48 is opened. It is opened and N 2 gas is supplied into the transfer chamber 6. After that, the supply of N 2 gas into the transfer chamber 6 and the vacuum evacuation of this chamber are continuously or intermittently performed, and as described above, the pressure of the internal atmosphere is always maintained at about 100 mTorr.
Pressure adjustment is performed.

【0025】次に、カセット10内のウエハWを共通搬
送室6内に取り込むためにロードロック室8A内と共通
搬送室6との間を仕切るゲートバルブG4を開き、搬送
アーム4を伸縮駆動させることによりカセット10内の
ウエハWを一枚取り出す。上記ゲートバルブG4を開く
直前は、ロードロック室8A内の圧力が50mTorr
であるのに対して搬送室6の圧力はそれよりも高い10
0mTorrであるので、ゲートバルブG4を開いて両
室を連通した時に、気体は圧力の高い搬送室6からロー
ドロック室8Aに向けて流れる。そして、搬送室6Aに
は、N2 ガスが供給され続けてその圧力が100mTo
rrに維持されているので、N2 ガスが搬送室6からロ
ードロック室8Aに向けて連続的に流れることになる。
従って、例えばゲートドアG6を開いた時に外部よりロ
ードロック室8A内に侵入したコンタミネーションや当
初よりこのロードロック室8Aに存在したコンタミネー
ションが搬送室6内に流入することがない。また、上述
したような圧力差50mTorr程度ならばゲートバル
ブG4を開いた時の気体の流れも少なく、パーティクル
の巻き上げがほとんど生ずることもない。このようにウ
エハWの搬送室6への移載が完了したならば、ゲートバ
ルブG4を閉じて各室を再度区画する。尚、この後、ロ
ードロック室8A内は直ちに50mTorrの圧力に再
度設定維持されることになる。
Next, in order to take the wafer W in the cassette 10 into the common transfer chamber 6, the gate valve G4 that partitions the load lock chamber 8A from the common transfer chamber 6 is opened, and the transfer arm 4 is driven to expand and contract. As a result, one wafer W in the cassette 10 is taken out. Immediately before opening the gate valve G4, the pressure in the load lock chamber 8A is 50 mTorr.
However, the pressure in the transfer chamber 6 is higher than that 10
Since the pressure is 0 mTorr, when the gate valve G4 is opened and the two chambers are communicated with each other, the gas flows from the high-pressure transfer chamber 6 toward the load lock chamber 8A. Then, N 2 gas is continuously supplied to the transfer chamber 6A and its pressure is 100 mTo
Since it is maintained at rr, the N 2 gas continuously flows from the transfer chamber 6 toward the load lock chamber 8A.
Therefore, for example, when the gate door G6 is opened, contamination that has entered the load lock chamber 8A from the outside or contamination that has been present in the load lock chamber 8A from the beginning does not flow into the transfer chamber 6. Further, if the pressure difference is about 50 mTorr as described above, the gas flow is small when the gate valve G4 is opened, and the particles are hardly rolled up. When the transfer of the wafer W to the transfer chamber 6 is completed in this way, the gate valve G4 is closed and the chambers are partitioned again. After that, the pressure inside the load lock chamber 8A is immediately set and maintained again at a pressure of 50 mTorr.

【0026】次に、共通搬送室6から処理室2A内へウ
エハWを移載するために、まず、これら両室を仕切るゲ
ートバルブG1を開にしてこれら両室を連通させ、搬送
アーム4に保持しているウエハWを開状態になったゲー
トバルブG1を介して処理室2A内へ移載する。そし
て、移載が完了したならばこのゲートバルブG1を閉に
する。この際、ゲートバルブG1を開く直前は、処理室
2A内の圧力が1mTorrであるのに対して搬送室6
内の圧力はそれよりも高い100mTorrであるの
で、ゲートバルブG2を開いて両室を連通した時に、気
体は圧力の高い搬送室6から処理室2A内に向けて流れ
る。この時、処理室2A内の圧力は、搬送室6内と同圧
の100mTorrに一時的になり、また、搬送室6内
にはN2 ガスが供給され続けているのでN2 ガスが搬送
室6内から処理室2Aに向けて連続的に流れることにな
る。従って、例えばゲートバルブG1を開いた時に、処
理室2A内に残留していた処理ガスや腐食性ガスが搬送
室内に流入することがない。
Next, in order to transfer the wafer W from the common transfer chamber 6 into the processing chamber 2A, first, the gate valve G1 that separates these chambers is opened to connect these chambers to the transfer arm 4. The held wafer W is transferred into the processing chamber 2A through the gate valve G1 which is in the open state. Then, when the transfer is completed, the gate valve G1 is closed. At this time, immediately before the gate valve G1 is opened, the pressure in the processing chamber 2A is 1 mTorr, while the pressure in the transfer chamber 6 is 1 mTorr.
Since the internal pressure is 100 mTorr, which is higher than that, when the gate valve G2 is opened and the two chambers are communicated with each other, the gas flows from the high-pressure transfer chamber 6 into the processing chamber 2A. At this time, the pressure in the processing chamber 2A temporarily becomes 100 mTorr, which is the same pressure as that in the transfer chamber 6, and the N 2 gas is continuously supplied to the transfer chamber 6, so that the N 2 gas is transferred to the transfer chamber 6. It flows continuously from inside 6 toward the processing chamber 2A. Therefore, for example, when the gate valve G1 is opened, the processing gas and corrosive gas remaining in the processing chamber 2A do not flow into the transfer chamber.

【0027】また、上述したようにゲートバルブG1を
開いた時には両室の圧力差は99mTorr程度である
が、この程度の圧力差であるならばバルブG1を開いた
時の気体の流れもそれ程多くなく、パーティクルの巻き
上げがほとんど生ずることもない。このようにしてウエ
ハの処理室2A内への移載が完了すると処理室2A内は
再度ベース圧力である1mTorrまで真空引きされ、
その後、処理ガス供給系24の開閉弁80を開にして流
量制御しつつ処理ガスを導入し、処理圧力例えば300
mTorrの基で所定の処理、例えばエッチングをウエ
ハに施す。これ以降の処理室と搬送室の圧力関係及び各
弁の開閉動作を図3に示すタイミングチャートも参照し
つつ説明する。尚、上記タイミングチャートにあっては
搬送室と処理室との間を開閉可能に連通するバイパス路
78の開閉動作も含めて記載されている。
Further, as described above, when the gate valve G1 is opened, the pressure difference between the two chambers is about 99 mTorr. If the pressure difference is such a level, the gas flow when the valve G1 is opened is so large. In addition, winding of particles hardly occurs. In this way, when the transfer of the wafer into the processing chamber 2A is completed, the processing chamber 2A is evacuated again to the base pressure of 1 mTorr,
After that, the opening / closing valve 80 of the processing gas supply system 24 is opened to introduce the processing gas while controlling the flow rate, and the processing pressure is, for example, 300
A predetermined process such as etching is performed on the wafer based on mTorr. The subsequent pressure relationship between the processing chamber and the transfer chamber and the opening / closing operation of each valve will be described with reference to the timing chart shown in FIG. The timing chart also includes the opening / closing operation of the bypass passage 78 that opens and closes the transfer chamber and the processing chamber.

【0028】まず、処理室2A内におけるウエハ処理が
終了すると、ポイントT1にて処理ガス供給系24の開
閉弁80を閉じて処理ガスの供給を停止し、処理室2A
内の真空排気は継続して行なう。すると処理室2A内の
圧力は、ベースプレッシャ(1mTorr)に向けて次
第に低下し、この圧力がポイントT3にてベースプレッ
シャ1mTorrに到達すると両室を仕切るゲートバル
ブG1が開となり、共通搬送室6内の搬送アーム4によ
り処理済みのウエハWが搬出され、また、未処理のウエ
ハWが処理室2A内に搬入されることになる。この場
合、処理室2A内の圧力より搬送室6内の圧力の方が高
く設定されているので、前述と同様に搬送室6より処理
室2Aに向けて気体が流れ、且つ搬送室6内にはN2
スが供給されているのでこのガス流が連続的に流れ、処
理室2A中の残留処理ガス等が搬送室6内に逆流して流
入することはない。また、前述と同様にゲートバルブG
1を開く直前には両室の圧力差は99mTorr程度で
あり、従って、この程度の圧力差であるならばバルブG
1を開いた時の気体の流れもそれ程多くなく、パーティ
クルの巻き上げもほとんど生ずることがない。尚、この
ウエハ交換のために両室が連通されると処理室2A内の
圧力は一時的に100mTorrになる。
First, when the wafer processing in the processing chamber 2A is completed, the opening / closing valve 80 of the processing gas supply system 24 is closed to stop the supply of the processing gas at the point T1.
Evacuate the inside continuously. Then, the pressure in the processing chamber 2A gradually decreases toward the base pressure (1 mTorr), and when this pressure reaches the base pressure 1 mTorr at the point T3, the gate valve G1 that separates both chambers is opened and the inside of the common transfer chamber 6 is opened. The processed wafer W is unloaded by the transfer arm 4 and the unprocessed wafer W is loaded into the processing chamber 2A. In this case, since the pressure inside the transfer chamber 6 is set higher than the pressure inside the processing chamber 2A, gas flows from the transfer chamber 6 toward the processing chamber 2A and the inside of the transfer chamber 6 is set in the same manner as described above. Since N 2 gas is supplied, this gas flow continuously flows, and the residual processing gas or the like in the processing chamber 2A does not flow back into the transfer chamber 6. In addition, as in the above, the gate valve G
Immediately before opening 1, the pressure difference between the two chambers is about 99 mTorr. Therefore, if this pressure difference is about this value, valve G
The gas flow when opening 1 is not so large, and the particles are hardly rolled up. When the two chambers are connected for this wafer exchange, the pressure in the processing chamber 2A temporarily becomes 100 mTorr.

【0029】このようにウエハの交換がポイントT4に
て完了してゲートバルブG1を閉じると、処理室2A内
の圧力は再度ベースプレッシャ1mTorrに向けて減
少し、ベースプレッシャに到達したならばポイントT5
にて処理ガスが流されて処理室2A内の圧力が300m
Torrに復帰し、プロセスが再開されることになる。
上記搬送方法はバイパス路74を用いない場合の例であ
るが、ゲートバルブG1を開く直前にバイパス路74を
用いると以下のようになる。
When the wafer exchange is completed at the point T4 and the gate valve G1 is closed in this way, the pressure in the processing chamber 2A decreases again toward the base pressure 1 mTorr, and if the base pressure is reached, the point T5 is reached.
The processing gas is flowed in and the pressure in the processing chamber 2A is 300 m.
It will return to Torr and the process will restart.
The above-described transport method is an example in which the bypass passage 74 is not used, but the use of the bypass passage 74 immediately before opening the gate valve G1 is as follows.

【0030】すなわち、プロセスが終了して処理室2A
内を真空排気している途中において、この処理室2A内
の圧力が搬送室6内の圧力よりも僅かに低くなったポイ
ントP2において、このバイパス路74に介設したバイ
パス開閉弁74を開いて両室をこのバイパス路78を介
して連通させ、この開かれたバイパス路78を介して搬
送室6内の気体を処理室2Aに向けて流し、両室間の圧
力差を低減させる。この圧力差低減後の両室の圧力差は
バイパス路の配管における流体抵抗分だけの差圧に相当
する。そして、処理室中の残留処理ガスの分圧が十分低
減した時点、例えばポイントT3にてゲートバルブG1
を開にして前述のようにウエハWの交換を行なう。尚、
ゲートバルブG1を開にしたならば、その後、直ちにバ
イパス路78のバイパス開閉弁74を閉にする。
That is, after the process is completed, the processing chamber 2A
At the point P2 when the pressure inside the processing chamber 2A becomes slightly lower than the pressure inside the transfer chamber 6 during the vacuum exhaust of the inside, the bypass opening / closing valve 74 provided in the bypass passage 74 is opened. The two chambers are communicated with each other through the bypass passage 78, and the gas in the transfer chamber 6 is caused to flow toward the processing chamber 2A through the opened bypass passage 78 to reduce the pressure difference between the two chambers. The pressure difference between the two chambers after the pressure difference is reduced corresponds to the pressure difference corresponding to the fluid resistance in the pipe of the bypass passage. Then, when the partial pressure of the residual processing gas in the processing chamber is sufficiently reduced, for example, at the point T3, the gate valve G1
Is opened and the wafer W is exchanged as described above. still,
After opening the gate valve G1, the bypass opening / closing valve 74 of the bypass passage 78 is immediately closed.

【0031】このように両室間を仕切るゲートバルブG
1を開いて両室を完全に連通する前に両室を連絡するバ
イパス路78を開にして両室の圧力差をより少なくする
ことにより、ゲートバルブG1を開いた時に搬送室6か
ら処理室2Aに向けて気体が突発的に流れること、いわ
ゆるジェット流を防ぐことができ、気体の流入に伴うパ
ーティクルの巻き上げを一層抑制することが可能とな
る。尚、このようにバイパス路を用いた操作は、搬送室
6と処理室2Aとの間のみならず、搬送室6とロードロ
ック室8Aとの間に設けたバイパス路76を用いた場合
にも同様に行なわれ、この場合にも先に説明したと同様
な効果を生ぜしめることができる。
The gate valve G that separates the two chambers in this way
By opening the bypass passage 78 that connects the two chambers before opening 1 to completely communicate the two chambers to reduce the pressure difference between the two chambers, the transfer chamber 6 is transferred from the transfer chamber 6 when the gate valve G1 is opened. It is possible to prevent the gas from flowing suddenly toward 2A, that is, a so-called jet flow, and it is possible to further suppress the winding of particles accompanying the inflow of the gas. Incidentally, the operation using the bypass path in this way is not limited to the case between the transfer chamber 6 and the processing chamber 2A, but also when the bypass path 76 provided between the transfer chamber 6 and the load lock chamber 8A is used. The same operation is performed, and in this case, the same effect as described above can be produced.

【0032】また、上記した操作は、搬送室と各処理室
との間のゲートバルブを開閉する時、例えばウエハを処
理室間において移載する場合にも同様に行なわれる。こ
のように、共通搬送室6とロードロック室8Aとの間或
いは共通搬送室6と処理室との間を連通する場合には、
その直前の内部圧力を同圧或いは共通搬送室内の圧力を
僅かに陽圧とすることにより、ゲートバルブを開いた時
に搬送室6からロードロック室或いは処理室に向かう気
体の流れを積極的に生ぜしめることにより、外部のコン
タミネーションがロードロック室を介して搬送室6内に
入ったり、或いは処理空内の残留処理ガス等が搬送室6
内に侵入することを大幅に抑制することができる。尚、
両室の差圧が同圧の時にゲートバルブを開けた場合にあ
っても搬送室6内にN2 ガスを常時或いは間欠的に供給
することにより、上述したような気体の流れを生ぜしめ
ることができる。従って、処理室内へ外部のパーティク
ルが侵入したり或いは処理室間のクロスコンタミネーシ
ョンが生ずることを大幅に抑制することができる。
The above-described operation is similarly performed when the gate valve between the transfer chamber and each processing chamber is opened / closed, for example, when a wafer is transferred between processing chambers. Thus, when the common transfer chamber 6 and the load lock chamber 8A or the common transfer chamber 6 and the processing chamber are communicated with each other,
By setting the internal pressure immediately before that to the same pressure or setting the pressure in the common transfer chamber to a slight positive pressure, a gas flow from the transfer chamber 6 to the load lock chamber or the processing chamber is positively generated when the gate valve is opened. As a result, external contamination may enter the transfer chamber 6 via the load lock chamber, or residual processing gas in the processing space may be transferred to the transfer chamber 6.
It is possible to significantly suppress the intrusion into the inside. still,
Even if the gate valve is opened when the pressure difference between the two chambers is the same, by supplying N 2 gas into the transfer chamber 6 constantly or intermittently, the above-described gas flow is generated. You can Therefore, it is possible to significantly suppress the invasion of external particles into the processing chamber or the occurrence of cross contamination between the processing chambers.

【0033】また、上述のように残留処理ガスが搬送室
内に流入することを阻止できるので、この処理ガスとし
てエッチングガスであるHCl等の腐食性ガスを用いた
場合にあっても、搬送室内に設けた部品構造物が腐食さ
れることを大幅に抑制することができる。また、上記実
施例においては、搬送室6内の圧力を10mTorr〜
300mTorrの範囲内で設定するようにしたが、下
限値を10mTorrと規定した理由は、10mTor
rにおけるガス分子の平均自由工程は約5mm程度であ
り、処理室の大きさが略400mm〜500mm角、搬
送室6の大きさが600mm〜700mm角の大きさで
ある点を考慮すると、前述のようにバルブ開時に処理室
側に向かうガス流を生ぜしめたとしても分子の平均自由
工程が大き過ぎると、すなわち搬送室内の圧力が小さい
とガス分子が搬送室内に侵入してしまうからである。ま
た、上限値300mTorrは、過度に搬送室内の圧力
が大き過ぎると両室を連通した時に不必要なパーティク
ルの巻き上げを生ぜしめてしまうからである。
Further, since the residual processing gas can be prevented from flowing into the transfer chamber as described above, even when a corrosive gas such as HCl, which is an etching gas, is used as the processing gas, the residual processing gas enters the transfer chamber. Corrosion of the provided component structure can be significantly suppressed. Further, in the above embodiment, the pressure in the transfer chamber 6 is set to 10 mTorr.
Although the setting is made within the range of 300 mTorr, the reason for defining the lower limit value as 10 mTorr is 10 mTorr.
The mean free path of gas molecules in r is about 5 mm, and considering that the size of the processing chamber is approximately 400 mm to 500 mm square and the size of the transfer chamber 6 is 600 mm to 700 mm square, This is because even if the gas flow toward the processing chamber is generated when the valve is opened, if the mean free path of the molecules is too large, that is, if the pressure in the transfer chamber is small, the gas molecules enter the transfer chamber. Further, the upper limit value of 300 mTorr causes unnecessary winding of particles when the two chambers communicate with each other if the pressure in the transfer chamber is too large.

【0034】更には、共通搬送室6には、2つの排気系
の内の一方、例えば搬送室主真空排気系56の排気口5
6Aを、ケーシングに被われた搬送アーム4の内部に臨
ませて設けてあるので、アーム稼働時にこれより発生す
るパーティクルを排気ガス流に乗せて直ちに吸引排除す
ることができ、これが搬送室6内に飛散することを防止
することができる。また、搬送アーム4として一度に2
枚のウエハを保持できるアームを使用すれば、ゲートバ
ルブを開けた状態で一度に処理済みのウエハと未処理の
ウエハを交換することができる。
Further, the common transfer chamber 6 has one of two exhaust systems, for example, the exhaust port 5 of the transfer chamber main vacuum exhaust system 56.
Since 6A is provided so as to face the inside of the transfer arm 4 covered by the casing, particles generated from the transfer arm 4 during operation of the arm can be immediately sucked and removed by being placed on the exhaust gas flow. It can be prevented from scattering. Also, as the transport arm 4, two at a time
By using an arm capable of holding one wafer, it is possible to exchange a processed wafer and an unprocessed wafer at a time with the gate valve opened.

【0035】尚、上記した各室の圧力関係は一例を示し
たに過ぎず、共通搬送室との連通直前にこの室の圧力
が、連通すべき室の圧力と同一か、それより大きな圧力
であって且つその圧力差が、連通時にパーティクルの巻
き上げを生じないような圧力ならば設定圧力は特に限定
されない。また、上記実施例にあっては、処理室2Aと
してエッチングを行なう場合を例にとって説明したが、
これに限定されず、他の全ての真空処理、例えばスパッ
タリング処理、CVD処理、アッシング処理等にも適用
し得るのは勿論である。
The pressure relationship between the chambers described above is merely an example, and the pressure in this chamber may be equal to or greater than the pressure in the chamber to be communicated immediately before communication with the common transfer chamber. The set pressure is not particularly limited as long as the pressure difference is a pressure that does not cause the particles to wind up during communication. Further, in the above embodiment, the case where etching is performed as the processing chamber 2A has been described as an example.
Of course, the present invention is not limited to this, and can be applied to all other vacuum processes such as sputtering process, CVD process, and ashing process.

【0036】[0036]

【発明の効果】以上説明したように、本発明の処理装置
内の被処理体の搬送方法によれば、次のように優れた作
用効果を発揮することができる。共通搬送室と他の室と
を連通する直前に、他の室の圧力を共通搬送室内の圧力
と同圧或いはこれより僅かに低くなるように設定したの
で、共通搬送室内にロードロック室を介して外部のパー
ティクルや処理室内に残留する処理ガス等が侵入するこ
とを防止することができる。従って、処理室間同士の汚
染、すなわちクロスコンタミネーションを防止できるの
みならず、処理室内に外部のパーティクルが侵入するの
を防止でき、歩留まりを向上させることができる。ま
た、共通搬送室と連通させる直前にこれらの間を連絡す
るバイパス路を開くことによりゲートバルブを開いて連
通させる時の両室間の圧力差をより抑制することがで
き、従って、連通時のパーティクルの巻き上げを一層抑
制することができる。
As described above, according to the method for transporting the object to be processed in the processing apparatus of the present invention, the following excellent operational effects can be exhibited. Immediately before communicating the common transfer chamber with other chambers, the pressure in the other transfer chamber was set to be equal to or slightly lower than the pressure in the common transfer chamber. As a result, it is possible to prevent external particles and processing gas remaining in the processing chamber from entering. Therefore, not only the contamination between the processing chambers, that is, cross contamination can be prevented, but also external particles can be prevented from entering the processing chambers, and the yield can be improved. In addition, by opening a bypass path connecting between these chambers immediately before communicating with the common transfer chamber, it is possible to further suppress the pressure difference between both chambers when the gate valve is opened for communication. It is possible to further suppress the winding of particles.

【図面の簡単な説明】[Brief description of drawings]

【図1】本発明方法を実施するための処理装置を示す概
略断面図である。
FIG. 1 is a schematic sectional view showing a processing apparatus for carrying out the method of the present invention.

【図2】図1に示す処理装置を示す平面図である。FIG. 2 is a plan view showing the processing apparatus shown in FIG.

【図3】本発明方法を実施するためのタイミングチャー
トである。
FIG. 3 is a timing chart for carrying out the method of the present invention.

【符号の説明】[Explanation of symbols]

2A、2B、2C 処理室 4 搬送アーム 6 共通搬送室 8A、8B ロードロック室 10 カセット 12 ロードロック室不活性ガス供給系 18 ロードロック室真空排気系 24 処理ガス供給系 26 処理室不活性ガス供給系 34 処理室主真空排気系 42 処理室補助真空排気系 48 搬送室不活性ガス供給系 56 搬送室主真空排気系 64 搬送室補助真空排気系 70 制御部 76、78 バイパス路 G1〜G5 ゲートバルブ G6、G7 ゲートドア W 半導体ウエハ(被処理体) 2A, 2B, 2C processing chamber 4 transfer arm 6 common transfer chamber 8A, 8B load lock chamber 10 cassette 12 load lock chamber inert gas supply system 18 load lock chamber vacuum exhaust system 24 processing gas supply system 26 processing chamber inert gas supply System 34 Processing chamber main vacuum exhaust system 42 Processing chamber auxiliary vacuum exhaust system 48 Transfer chamber inert gas supply system 56 Transfer chamber main vacuum exhaust system 64 Transfer chamber auxiliary vacuum exhaust system 70 Control unit 76, 78 Bypass path G1 to G5 Gate valve G6, G7 Gate door W Semiconductor wafer (processing target)

Claims (2)

【特許請求の範囲】[Claims] 【請求項1】 被処理体を処理する複数の処理室と、こ
れらの処理室に連通可能に接続された共通搬送室と、こ
の共通搬送室に連通可能に接続されて外部との間で前記
被処理体を搬出入させるロードロック室を有する処理装
置内にて前記被処理体を搬送する方法において、前記共
通搬送室を介して前記処理空間或いは前記処理室と前記
ロードロック室との間に前記被処理体を搬送すべく前記
共通搬送室と前記処理室或いは前記ロードロック室とを
連通する際に、前記処理室或いは前記ロードロック室内
の圧力を前記共通搬送室の圧力と同一か或いはそれより
も僅かに低く設定するようにしたことを特徴とする処理
装置内の被処理体の搬送方法。
1. A plurality of processing chambers for processing an object to be processed, a common transfer chamber communicatively connected to the processing chambers, and a common transfer chamber communicatively connected to the outside. In a method of transporting the object to be processed in a processing apparatus having a load lock chamber for loading and unloading the object to be processed, in the processing space or between the processing chamber and the load lock chamber via the common transfer chamber. When the common transfer chamber is communicated with the process chamber or the load lock chamber to transfer the object to be processed, the pressure in the process chamber or the load lock chamber is the same as the pressure in the common transfer chamber or A method for transporting an object to be processed in a processing apparatus, wherein the method is set to be slightly lower than the above.
【請求項2】 前記共通搬送室と前記処理室或いは前記
ロードロック室とを連通する直前に、前記搬送室と前記
処理室或いは前記ロードロック室とを開閉可能に連通す
るバイパス路を開状態にしたことを特徴とする請求項1
記載の処理装置内の被処理体の搬送方法。
2. A bypass path that opens and closes the transfer chamber and the processing chamber or the load lock chamber is opened immediately before the common transfer chamber and the processing chamber or the load lock chamber communicate with each other. Claim 1 characterized in that
A method of transporting an object to be processed in the processing apparatus described.
JP2193294A 1994-01-21 1994-01-21 Processing apparatus and method of transporting object to be processed in processing apparatus Expired - Lifetime JP3486821B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2193294A JP3486821B2 (en) 1994-01-21 1994-01-21 Processing apparatus and method of transporting object to be processed in processing apparatus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2193294A JP3486821B2 (en) 1994-01-21 1994-01-21 Processing apparatus and method of transporting object to be processed in processing apparatus

Publications (2)

Publication Number Publication Date
JPH07211761A true JPH07211761A (en) 1995-08-11
JP3486821B2 JP3486821B2 (en) 2004-01-13

Family

ID=12068831

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2193294A Expired - Lifetime JP3486821B2 (en) 1994-01-21 1994-01-21 Processing apparatus and method of transporting object to be processed in processing apparatus

Country Status (1)

Country Link
JP (1) JP3486821B2 (en)

Cited By (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10223719A (en) * 1996-12-06 1998-08-21 Dainippon Screen Mfg Co Ltd Substrate carrier system, substrate processor and substrate carrier method
JPH10335407A (en) * 1997-06-03 1998-12-18 Dainippon Screen Mfg Co Ltd Substrate treating device
JP2000232071A (en) * 1999-02-09 2000-08-22 Kokusai Electric Co Ltd Substrate-processing method and apparatus
EP1067585A2 (en) * 1999-07-09 2001-01-10 Applied Materials, Inc. Method and a system for sealing an epitaxial silicon layer on a substrate
JP2002198411A (en) * 2000-12-26 2002-07-12 Tokyo Electron Ltd Pressure control method, transfer apparatus, and cluster tool
JP2002308419A (en) * 2001-04-19 2002-10-23 Dainippon Printing Co Ltd Container conveying system
WO2005001925A1 (en) * 2003-06-26 2005-01-06 Tokyo Electron Limited Vacuum processing device operating method
KR100489638B1 (en) * 1998-03-12 2005-08-31 삼성전자주식회사 Dry etching equipment of semiconductor device manufacturing equipment
JP2005527120A (en) * 2002-05-21 2005-09-08 エーエスエム アメリカ インコーポレイテッド Reduction of cross-contamination between chambers in semiconductor processing tools
JP2007027339A (en) * 2005-07-15 2007-02-01 Hitachi High-Technologies Corp Plasma processing device
JP2007042929A (en) * 2005-08-04 2007-02-15 Hitachi High-Tech Control Systems Corp Load lock device, its method, and semiconductor manufacturing apparatus
JP2007149948A (en) * 2005-11-28 2007-06-14 Tokyo Electron Ltd Vacuum treatment device
JP2007308730A (en) * 2006-05-16 2007-11-29 Ulvac Japan Ltd Vacuum processing apparatus and vacuum processing method
JP2007311745A (en) * 2006-05-17 2007-11-29 Taiwan Semiconductor Manufacturing Co Ltd Cluster treatment device
WO2009031419A1 (en) * 2007-09-03 2009-03-12 Tokyo Electron Limited Vacuum processing system
WO2009034869A1 (en) * 2007-09-10 2009-03-19 Tokyo Electron Limited Vacuum processing system and substrate transfer method
US7521089B2 (en) 2002-06-13 2009-04-21 Tokyo Electron Limited Method and apparatus for controlling the movement of CVD reaction byproduct gases to adjacent process chambers
JP2009158627A (en) * 2007-12-26 2009-07-16 Tokyo Electron Ltd Vacuum apparatus, vacuum treatment system and pressure controlling method of vacuum chamber
JP2009534867A (en) * 2006-04-24 2009-09-24 アクセリス テクノロジーズ, インコーポレイテッド Load lock control
JP2010040623A (en) * 2008-08-01 2010-02-18 Tokyo Electron Ltd Pressure regulation apparatus, processing system using the same, and pressure regulation method
JP2010067992A (en) * 2003-06-02 2010-03-25 Tokyo Electron Ltd Substrate processing apparatus and substrate transfer method
JP2010135849A (en) * 2003-06-24 2010-06-17 Tokyo Electron Ltd Pressure control method of subject processing apparatus
US7756599B2 (en) 2004-10-28 2010-07-13 Tokyo Electron Limited Substrate processing apparatus, program for performing operation and control method thereof, and computer readable storage medium storing the program
CN101958231A (en) * 2010-05-06 2011-01-26 东莞宏威数码机械有限公司 Gaseous environment buffer device
US7972961B2 (en) 2008-10-09 2011-07-05 Asm Japan K.K. Purge step-controlled sequence of processing semiconductor wafers
WO2012008439A1 (en) * 2010-07-13 2012-01-19 住友精密工業株式会社 Substrate processing method and substrate processing system
JP2012074496A (en) * 2010-09-28 2012-04-12 Hitachi High-Technologies Corp Vacuum processing apparatus
US8216380B2 (en) 2009-01-08 2012-07-10 Asm America, Inc. Gap maintenance for opening to process chamber
US8287648B2 (en) 2009-02-09 2012-10-16 Asm America, Inc. Method and apparatus for minimizing contamination in semiconductor processing chamber
WO2012157370A1 (en) * 2011-05-13 2012-11-22 シャープ株式会社 Method of opening reaction chamber and vapor phase growth device
US8623457B2 (en) 2005-11-28 2014-01-07 Tokyo Electron Limited Vacuum processing system
JP2014059924A (en) * 2012-09-14 2014-04-03 Showa Denko Kk Method and apparatus for manufacturing magnetic recording medium
CN105575849A (en) * 2014-10-31 2016-05-11 东京毅力科创株式会社 Substrate processing apparatus
JP2016115793A (en) * 2014-12-15 2016-06-23 東京エレクトロン株式会社 Substrate transfer method, substrate processing apparatus, and storage medium
JP2016135894A (en) * 2015-01-23 2016-07-28 スタンレー電気株式会社 Arc discharge ion plating device and control method for the same
US9646643B2 (en) 2013-02-25 2017-05-09 Showa Denko K.K. Magnetic recording medium fabrication method and apparatus
WO2018025756A1 (en) * 2016-08-04 2018-02-08 キヤノン株式会社 Imprint device and article manufacturing method
CN109979867A (en) * 2017-12-27 2019-07-05 中微半导体设备(上海)股份有限公司 A kind of chip transmission method
US10872803B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US10872804B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102618825B1 (en) * 2020-01-06 2023-12-27 삼성전자주식회사 Air lock device and control system for prevent gas leaking in bays

Cited By (57)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10223719A (en) * 1996-12-06 1998-08-21 Dainippon Screen Mfg Co Ltd Substrate carrier system, substrate processor and substrate carrier method
JPH10335407A (en) * 1997-06-03 1998-12-18 Dainippon Screen Mfg Co Ltd Substrate treating device
KR100489638B1 (en) * 1998-03-12 2005-08-31 삼성전자주식회사 Dry etching equipment of semiconductor device manufacturing equipment
JP2000232071A (en) * 1999-02-09 2000-08-22 Kokusai Electric Co Ltd Substrate-processing method and apparatus
EP1067585A3 (en) * 1999-07-09 2006-07-26 Applied Materials, Inc. Method and a system for sealing an epitaxial silicon layer on a substrate
EP1067585A2 (en) * 1999-07-09 2001-01-10 Applied Materials, Inc. Method and a system for sealing an epitaxial silicon layer on a substrate
JP2001077039A (en) * 1999-07-09 2001-03-23 Applied Materials Inc Method and system for sealing epitaxial silicon layer on substrate
JP4640879B2 (en) * 1999-07-09 2011-03-02 アプライド マテリアルズ インコーポレイテッド Method for processing a wafer and system for processing a semiconductor wafer
KR100746380B1 (en) * 1999-07-09 2007-08-03 어플라이드 머티어리얼스, 인코포레이티드 Method and a system for sealing an epitaxial silicon layer on a substrate
US6685779B2 (en) * 1999-07-09 2004-02-03 Applied Materials, Inc. Method and a system for sealing an epitaxial silicon layer on a substrate
JP2002198411A (en) * 2000-12-26 2002-07-12 Tokyo Electron Ltd Pressure control method, transfer apparatus, and cluster tool
JP2002308419A (en) * 2001-04-19 2002-10-23 Dainippon Printing Co Ltd Container conveying system
JP4547828B2 (en) * 2001-04-19 2010-09-22 大日本印刷株式会社 Container transfer system
JP2005527120A (en) * 2002-05-21 2005-09-08 エーエスエム アメリカ インコーポレイテッド Reduction of cross-contamination between chambers in semiconductor processing tools
US7521089B2 (en) 2002-06-13 2009-04-21 Tokyo Electron Limited Method and apparatus for controlling the movement of CVD reaction byproduct gases to adjacent process chambers
JP2010067992A (en) * 2003-06-02 2010-03-25 Tokyo Electron Ltd Substrate processing apparatus and substrate transfer method
JP2010135849A (en) * 2003-06-24 2010-06-17 Tokyo Electron Ltd Pressure control method of subject processing apparatus
WO2005001925A1 (en) * 2003-06-26 2005-01-06 Tokyo Electron Limited Vacuum processing device operating method
US8172949B2 (en) 2004-10-28 2012-05-08 Tokyo Electron Limited Substrate processing apparatus, program for performing operation and control method thereof, and computer readable storage medium storing the program
US7756599B2 (en) 2004-10-28 2010-07-13 Tokyo Electron Limited Substrate processing apparatus, program for performing operation and control method thereof, and computer readable storage medium storing the program
JP2007027339A (en) * 2005-07-15 2007-02-01 Hitachi High-Technologies Corp Plasma processing device
JP4695936B2 (en) * 2005-07-15 2011-06-08 株式会社日立ハイテクノロジーズ Plasma processing equipment
JP2007042929A (en) * 2005-08-04 2007-02-15 Hitachi High-Tech Control Systems Corp Load lock device, its method, and semiconductor manufacturing apparatus
US8623457B2 (en) 2005-11-28 2014-01-07 Tokyo Electron Limited Vacuum processing system
JP2007149948A (en) * 2005-11-28 2007-06-14 Tokyo Electron Ltd Vacuum treatment device
JP4634918B2 (en) * 2005-11-28 2011-02-16 東京エレクトロン株式会社 Vacuum processing equipment
JP2009534867A (en) * 2006-04-24 2009-09-24 アクセリス テクノロジーズ, インコーポレイテッド Load lock control
JP2007308730A (en) * 2006-05-16 2007-11-29 Ulvac Japan Ltd Vacuum processing apparatus and vacuum processing method
JP2007311745A (en) * 2006-05-17 2007-11-29 Taiwan Semiconductor Manufacturing Co Ltd Cluster treatment device
JP4637081B2 (en) * 2006-05-17 2011-02-23 台湾積體電路製造股▲ふん▼有限公司 Cluster processing unit
WO2009031419A1 (en) * 2007-09-03 2009-03-12 Tokyo Electron Limited Vacuum processing system
JP5208948B2 (en) * 2007-09-03 2013-06-12 東京エレクトロン株式会社 Vacuum processing system
WO2009034869A1 (en) * 2007-09-10 2009-03-19 Tokyo Electron Limited Vacuum processing system and substrate transfer method
JP2009062604A (en) * 2007-09-10 2009-03-26 Tokyo Electron Ltd Vacuum treatment system, and method for carrying substrate
JP2009158627A (en) * 2007-12-26 2009-07-16 Tokyo Electron Ltd Vacuum apparatus, vacuum treatment system and pressure controlling method of vacuum chamber
JP2010040623A (en) * 2008-08-01 2010-02-18 Tokyo Electron Ltd Pressure regulation apparatus, processing system using the same, and pressure regulation method
US7972961B2 (en) 2008-10-09 2011-07-05 Asm Japan K.K. Purge step-controlled sequence of processing semiconductor wafers
US8216380B2 (en) 2009-01-08 2012-07-10 Asm America, Inc. Gap maintenance for opening to process chamber
US8287648B2 (en) 2009-02-09 2012-10-16 Asm America, Inc. Method and apparatus for minimizing contamination in semiconductor processing chamber
US8759226B2 (en) 2009-02-09 2014-06-24 Asm America, Inc. Method for minimizing contamination in semiconductor processing chamber
CN101958231A (en) * 2010-05-06 2011-01-26 东莞宏威数码机械有限公司 Gaseous environment buffer device
WO2012008439A1 (en) * 2010-07-13 2012-01-19 住友精密工業株式会社 Substrate processing method and substrate processing system
JP2012074496A (en) * 2010-09-28 2012-04-12 Hitachi High-Technologies Corp Vacuum processing apparatus
WO2012157370A1 (en) * 2011-05-13 2012-11-22 シャープ株式会社 Method of opening reaction chamber and vapor phase growth device
JP2012238772A (en) * 2011-05-13 2012-12-06 Sharp Corp Reaction chamber opening method and vapor phase growth device
JP2014059924A (en) * 2012-09-14 2014-04-03 Showa Denko Kk Method and apparatus for manufacturing magnetic recording medium
US9646643B2 (en) 2013-02-25 2017-05-09 Showa Denko K.K. Magnetic recording medium fabrication method and apparatus
CN105575849A (en) * 2014-10-31 2016-05-11 东京毅力科创株式会社 Substrate processing apparatus
JP2016115793A (en) * 2014-12-15 2016-06-23 東京エレクトロン株式会社 Substrate transfer method, substrate processing apparatus, and storage medium
JP2016135894A (en) * 2015-01-23 2016-07-28 スタンレー電気株式会社 Arc discharge ion plating device and control method for the same
WO2018025756A1 (en) * 2016-08-04 2018-02-08 キヤノン株式会社 Imprint device and article manufacturing method
KR20190034632A (en) * 2016-08-04 2019-04-02 캐논 가부시끼가이샤 Imprint apparatus and article manufacturing method
US11199770B2 (en) 2016-08-04 2021-12-14 Canon Kabushiki Kaisha Imprint apparatus and method of manufacturing an article
US10872803B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US10872804B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US11626313B2 (en) 2017-11-03 2023-04-11 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
CN109979867A (en) * 2017-12-27 2019-07-05 中微半导体设备(上海)股份有限公司 A kind of chip transmission method

Also Published As

Publication number Publication date
JP3486821B2 (en) 2004-01-13

Similar Documents

Publication Publication Date Title
JP3486821B2 (en) Processing apparatus and method of transporting object to be processed in processing apparatus
JP4916140B2 (en) Vacuum processing system
US6323463B1 (en) Method and apparatus for reducing contamination in a wafer loadlock of a semiconductor wafer processing system
JP2005527120A (en) Reduction of cross-contamination between chambers in semiconductor processing tools
JP4983745B2 (en) Pressure adjusting device, treatment system using the same, and pressure adjusting method
JP2006310561A (en) Vacuum processing device and method therefor
JP4634918B2 (en) Vacuum processing equipment
JP5224567B2 (en) Substrate processing apparatus, substrate processing method, and semiconductor device manufacturing method
JP4517595B2 (en) Method for transporting workpieces
JP3020567B2 (en) Vacuum processing method
JP2000232071A (en) Substrate-processing method and apparatus
WO2012008439A1 (en) Substrate processing method and substrate processing system
JP4748594B2 (en) Vacuum processing apparatus and vacuum processing method
JPH0982594A (en) Depressurizing method for chamber in semiconductor manufacturing equipment
JPH08321446A (en) Exhaust controlling system for processing chamber of multiple semiconductor manufacturing system
JP7379042B2 (en) Vacuum transfer device and vacuum transfer device control method
KR20140118718A (en) Vacuum processing device and method for controlling a vacuum processing device
JP2657254B2 (en) Processing apparatus and its exhaust method
WO2002052638A1 (en) Pressure control method, transfer device, and cluster tool
JP3197969B2 (en) Semiconductor substrate processing method
KR20070114439A (en) Diffuser for use in semiconductor fabricating equipment
JPH08340037A (en) Semiconductor manufacturing equipment
JP2984360B2 (en) Semiconductor substrate transfer method
TW202326907A (en) Substrate processing tool with rapid and selective control of partial pressure of water vapor and oxygen
KR101208696B1 (en) apparatus for producing semiconductor and method for controlling chamber thereof

Legal Events

Date Code Title Description
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20031007

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20091031

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121031

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20141031

Year of fee payment: 11

EXPY Cancellation because of completion of term