WO2003060978A1 - Cvd method and device for forming silicon-containing insulation film - Google Patents

Cvd method and device for forming silicon-containing insulation film Download PDF

Info

Publication number
WO2003060978A1
WO2003060978A1 PCT/JP2003/000206 JP0300206W WO03060978A1 WO 2003060978 A1 WO2003060978 A1 WO 2003060978A1 JP 0300206 W JP0300206 W JP 0300206W WO 03060978 A1 WO03060978 A1 WO 03060978A1
Authority
WO
WIPO (PCT)
Prior art keywords
gas
film
processing chamber
forming
insulating film
Prior art date
Application number
PCT/JP2003/000206
Other languages
English (en)
French (fr)
Inventor
Takeshi Kumagai
Hitoshi Katoh
Jinsu Lee
Shingo Maku
Original Assignee
Tokyo Electron Limited
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Limited filed Critical Tokyo Electron Limited
Priority to US10/500,150 priority Critical patent/US7125812B2/en
Priority to KR1020047007468A priority patent/KR100903484B1/ko
Priority to EP03701067A priority patent/EP1475828A4/en
Publication of WO2003060978A1 publication Critical patent/WO2003060978A1/ja

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz

Definitions

  • the present invention relates to a CVD method and apparatus for forming a silicon-containing insulating film on a substrate to be processed.
  • an insulating film in a semiconductor device S i 0 2, PSG ( P hospho S ilicate G lass, P ( formed by full full Zuma CVD) one S i 0, P (formed by plasma CVD) one S i n, SOG (S pin O n G lass), S i 3 n 4 ( Shi Li co down nitride film) or the like is used.
  • a method is known in which a silicon-based gas is used as a silicon source gas to form a film by thermal CVD (Chemical Vapor Deposition). Deposits silicon oxide film If, in the thermal CVD in combination S i H 4 + N 2 0 , S i H 2 C l 2 + N 2 0, or TEOS (Te preparative La Echiruo Li Seo sheet re-case g) + 0 2 gas such as Ri to form a sheet re co phosphorylated film.. Also, sheet re co when depositing down nitrided film, gas such as S i H 2 C 1 2 + NH 3 or S i 2 C 1 6 + NH 3 Silicon nitride by thermal CVD An oxide film is formed.
  • the temperature during the thermal CVD film forming process has been further reduced because it is necessary to maintain the electrical characteristics of various films already formed below the insulating film.
  • the silicon nitride film is deposited by thermal CVD
  • the silicon nitride film is deposited at a high temperature of about 760 ° C.
  • the temperature is lowered to about 600 ° C, and deposition is performed by thermal CVD.
  • the etching rate of the insulating film at the time of cleaning is considerably small. Therefore, the insulating film is not excessively removed at the time of cleaning, and the cleaning process can be performed with good controllability of the film thickness.
  • the above insulating film is formed by CVD at a low temperature of, for example, about 600 ° C.
  • the etching rate of the insulating film at the time of cleaning is considerably large. For this reason, the insulating film may be excessively removed during cleaning, and the controllability of the film thickness during the cleaning process is deteriorated.
  • the etching rate at the time of cleaning can be made relatively small, and the controllability of the film thickness at the time of cleaning can be improved. It is an object to provide a method and an apparatus for forming a silicon-containing insulating film.
  • a first aspect of the present invention is a CVD method for forming a silicon-containing insulating film
  • a hydrocarbon gas is supplied together with the film forming gas.
  • a second aspect of the present invention is a CVD method for forming an insulating film substantially consisting of a film selected from the group consisting of a silicon oxide film, a silicon nitride film, and a silicon oxynitride film.
  • the processing chamber contains a first gas substantially consisting of a silane-based gas, and an oxidizing gas, a nitriding gas, and an oxynitriding gas.
  • a second gas substantially consisting of a gas selected from the group and a third gas substantially consisting of a hydrocarbon gas are supplied to form the insulating film on the substrate by deposition.
  • a flow ratio of the third gas to the first gas is 10 to 100.
  • a third aspect of the present invention is to form an insulating film substantially consisting of a film selected from the group consisting of a silicon oxide film, a silicon nitride film, and a silicon oxynitride film.
  • a support member for supporting the substrate to be processed in the processing chamber
  • the supply unit supplies a first gas substantially consisting of a silane-based gas, and a gas selected from the group consisting of an oxidizing gas, a nitriding gas, and an oxynitriding gas.
  • a second supply system for supplying a second gas substantially consisting of the first gas a third supply system for supplying a third gas substantially consisting of hydrocarbon gas, and a first, second and third gas supply system. Gases can be supplied simultaneously.
  • FIG. 1 is a cross-sectional view showing a CVD device according to a first embodiment of the present invention.
  • FIG. 2 is a graph showing the relationship between the flow rate of C 2 H 6 gas and the carbon component concentration in the silicon nitride film, obtained in Experiment 1.
  • FIG. 3 is a graph showing the relationship between the preheating temperature of the C 2 H 6 gas and the carbon component concentration in the silicon nitride film obtained in Experiment 2.
  • a graph showing the relationship between the normalized etching rate for 0) and.
  • Figure 6 shows the C 2 H 6 gas flow rate (with or without preheating) obtained in Experiment 5 and the dilute hydrofluoric acid (49% HF: H) in the silicon nitride film.
  • a graph showing the relationship between the normalized etching rate for 20 1: 100) and.
  • FIG. 7 is a sectional view showing a CVD device according to a second embodiment of the present invention.
  • the present inventors clean silicon-containing insulating films such as a silicon oxide film, a silicon nitride film, and a silicon oxynitride film. Research on the etching rate Studied. As a result, it was found that the etching rate at the time of cleaning can be suppressed to a small level by actively including the carbon component in the insulating film. Obtained.
  • FIG. 1 is a sectional view showing a CVD apparatus according to the first embodiment of the present invention.
  • the CVD apparatus 2 includes a first gas substantially consisting of a silane-based gas (silicon source gas) and a gas selected from the group consisting of an oxidizing gas, a nitriding gas, and an oxynitriding gas. And a third gas composed of a hydrocarbon gas are supplied simultaneously, and a second gas composed of a silicon oxide film, a silicon nitride film, and a silicon oxynitride film is supplied. It is configured to form an insulating film consisting of the selected film.
  • a silicon nitride film is deposited using, for example, Si 2 Cl 6 and NH 3 gas
  • a hydrocarbon gas is supplied so that a carbon component is contained in the film.
  • the CVD apparatus 2 has a cylindrical inner cylinder 4 made of quartz, and an outer cylinder 6 made of quartz concentrically arranged outside the inner cylinder 4 with a predetermined gap 10 therebetween.
  • the treatment room 8 has a double pipe structure.
  • the outside of the processing chamber 8 is covered by a heating furnace 16 provided with heating means 12 such as a heating heater and a heat insulating material 14.
  • the heating means 12 is disposed over the entire inner surface of the heat insulating material 14.
  • the inner diameter of the inner cylinder 4 of the processing chamber 8 is 24 O mm
  • the size and height are about 130 mm
  • the volume of the processing chamber 8 is about 110 liters.
  • the lower end of the processing chamber 8 is supported by, for example, a cylindrical manifold 18 made of stainless steel.
  • the lower end of the inner cylinder 4 is supported by a ring-shaped support plate 18A protruding inward from the inner wall of the manifold 18.
  • a wafer wafer 20 made of quartz, on which semiconductor wafers W to be processed are mounted in multiple stages, is loaded into the processing chamber 8 by the downward force of the manifold 18.
  • the evaporator 20 includes approximately 150 product wafers having a diameter of 200 mm and 13 or 20 dummy wafers, for example. Can be supported in multiple stages. That is, a total of 170 wafers can be accommodated in the wafer boat 20.
  • the wafer boat 20 is placed on a rotary table 24 via a heat insulating tube 22 made of quartz.
  • the rotary table 24 is a rotary shaft that penetrates a lid 26 that opens and closes the lower end opening of the manifold 18.
  • a magnetic fluid seal 30 is interposed in the penetrating portion of the rotating shaft 28, and the rotating shaft 28 is rotatably supported in a hermetically sealed state.
  • a sealing member 32 made of, for example, an O-ring is provided between the periphery of the lid 26 and the lower end of the manifold 18 to maintain the sealing property in the processing chamber 8. .
  • the rotating shaft 28 is attached to a tip of an arm 36 supported by a lifting mechanism 34 such as a boat elevator.
  • Lifting mechanism 34 such as a boat elevator.
  • an exhaust port 38 for discharging the atmosphere in the processing chamber 8 is formed from the bottom of the gap 10 between the inner cylinder 4 and the outer cylinder 6.
  • the exhaust port 38 is connected to a vacuum exhaust unit 39 provided with a vacuum pump or the like.
  • a gas supply section 40 for supplying a predetermined processing gas into the inner cylinder 4 is provided on a side portion of the manifold 18.
  • the gas supply unit 40 includes a silane-based gas supply system 42, an oxidation and / or nitridation gas supply system 44, and a hydrocarbon gas supply system 46.
  • Each gas supply system 42, 44, 46 has a linear gas nozzle 48, 50, 52 provided through the side wall of the manifold 18, respectively.
  • Each of the gas nozzles 48, 50, 52 has a gas flow path 60, which is provided with a flow controller 54, 56, 58, such as a mass flow controller, respectively. 6 2 and 6 4 are connected respectively.
  • the gas flow paths 60, 62, and 64 are configured to supply a silane-based gas, an oxidizing and / or nitriding gas, and a hydrocarbon gas while controlling the flow rate thereof.
  • a silane-based gas silane-based gas
  • NH 3 gas is used as a nitriding gas
  • Ethane (C 2 H 6 ) gas is used as the hydrocarbon gas.
  • Ru Ah if N 2 0 gas and O 2 gas or the like is used.
  • a preheating section 66 is provided in the hydrocarbon gas flow path 64.
  • the preheating unit 66 is configured by filling quartz particles in a quartz container having a heating heater wound around the outside, for example. Reserve The heating unit 66 preheats a hydrocarbon gas such as ethane gas flowing to the heating unit to a predetermined temperature. As a result, the ethane gas flowing into the preheating section 66 is activated.
  • the inside of the processing chamber 8 is maintained at a process temperature, for example, about 500 ° C.
  • a large number of, for example, 150 product wafers W and 20 dummy wafers are mounted on the wafer boat 20.
  • the wafer boat 20 at room temperature is loaded into the processing chamber 8 from below and loaded into the processing chamber 8.
  • the inside of the processing chamber 8 is sealed by closing the opening at the lower end of the manifold 18 with the lid 26.
  • the inside of the processing chamber 8 is evacuated to maintain a predetermined process pressure, for example, about 27 Pa. Further, the wafer temperature is raised to a process temperature for film formation, for example, about 600 ° C. After temperature stabilization, and S i 2 C l 6 gas is a predetermined Sila emissions based gas, and NH 3 gas Ru gas nitriding der, a hydrocarbon gas der Ru C 2 H 6 gas, while each of the flow control gas It is supplied from each nozzle 48, 50, 52 of the supply section 40.
  • the C 2 H 6 gas is supplied at a predetermined temperature, for example, 500 to 100 000 immediately before the supply, by a preheating section 66 provided in the hydrocarbon gas flow path 64 immediately before the nozzle 52. Activate by heating within ° C.
  • the C 2 H 6 gas need not be preheated. C 2 H 6 thus not preheated or preheated and activated
  • the gas is supplied to the lower part of the processing chamber 8 and mixed with the Si 2 C 16 gas and the NH 3 gas.
  • the mixed gas reacts while rising in the processing space S, and deposits a silicon nitride thin film on the surface of the wafer W.
  • the processing gas that has risen in the processing space S turns back at the ceiling in the processing chamber 8, flows down the gap 10 between the inner cylinder 4 and the outer cylinder 6, and exits through the exhaust port 38. Exhausted.
  • the lower limit value of the heating temperature of the C 2 H 6 gas in the preliminary heating section 66 is approximately 500 ° C.
  • the upper limit of the preheating is not particularly limited, but is desirably set at a temperature at which the etching rate of the silicon nitride film is saturated, for example, about 100 ° C., as described later.
  • the upper limit of the flow rate of the C 2 H 6 gas is not particularly limited, as described later, a flow rate at which the etching rate of the silicon nitride film is saturated, for example, about 200 sccm is desirable.
  • the flow rate of the Si 2 C 16 gas is about 30 sccm
  • the flow rate of the NH 3 gas is about 900 sccm.
  • the silicon nitride film formed on the wafer surface By supplying the C 2 H 6 gas into the processing chamber 8 in this manner, a carbon component is contained in the silicon nitride film formed on the wafer surface. As a result, despite the fact that the film was formed at a temperature lower than the conventional film forming temperature, for example, about 760 ° C., the silicon for the diluted hydrofluoric acid used at the time of the cleaning treatment was removed. The etching rate on the surface of the nitrided film can be reduced. As a result, it is possible to prevent the silicon nitride film from being excessively removed during the turging process, and to improve the controllability of this film thickness.
  • the C 2 H 6 gas when the C 2 H 6 gas is preheated, this gas is activated and a correspondingly large amount of carbon component is contained in the silicon nitride film. It is. As a result, the etching rate of the silicon nitride film can be further reduced. In this case, as will be described later, by controlling the concentration of the carbon component in the silicon nitride film, a desired etching rate can be obtained.
  • the processing chamber 8 (wafer boat 20) is divided into three zones in the vertical direction with respect to the wafer position. , BTM (bottom).
  • BTM bottom
  • the first zone to the 60th wafer from the top of the wafer boat 20 belong to the top zone
  • the 61st to 111st unos belong to the center zone. It is assumed that the 112th to 170th wafers belong to the bottom zone.
  • the etching rate As for the etching rate, the value obtained by the experiment was converted into a comparison value with respect to the reference value “1”, and this was used as a normalized etching rate.
  • dichlorosilane (SiH 2 Cl 2 ) gas and NH 3 gas are used without using hydrocarbon gas, and the process temperature is set at 760 ° C. (conventionally).
  • the etching rate of the silicon nitride film formed by setting the film formation temperature to “1” was set as the reference value “1”.
  • FIG. 2 is a graph showing the relationship between the flow rate of C 2 H 6 gas and the carbon component concentration in the silicon nitride film, obtained in Experiment 1.
  • FIG. 3 is a graph showing the relationship between the preheating temperature of the C 2 H 6 gas and the carbon component concentration in the silicon nitride film obtained in Experiment 2.
  • the carbon concentration of the preheating temperature of the C 2 H 6 gas 5 0 0 ⁇ 7 0 0 ° Siri co down nitride film in the range of C is believed within the error range part Despite the downward trend, it basically increased slightly.
  • the preheating temperature was in the range of 700 to 900 ° C
  • the carbon content increased sharply as the temperature increased.
  • the preheating temperature was in the range of 900 to 100 ° C.
  • the carbon content gradually increased as the temperature increased, but it was almost saturated. Therefore, it was found that the higher the preheating of the C 2 H 6 gas and the higher the temperature, the higher the carbon content concentration.
  • the etch rate decreased linearly with increasing to 1 ⁇ 10 22 atms / cm 3 .
  • this normalized etch rate could be controlled by controlling the carbon content concentration.
  • the carbon content was 1 ⁇ 10 22 atms cm 3
  • the normalized etch rate was approximately “1”.
  • the etching rate can be almost the same as that of the conventional silicon nitride film formed at 760 ° C. It turned out to be.
  • the normalized etch rate decreased slightly. In the range of 700 to 900 ° C, the normalized etching rate sharply decreased as the temperature increased.
  • the preheating temperature is in the range of 900 ° C to 100 ° C, the higher the temperature becomes, the smaller the normalized etching rate gradually decreases and the preheating temperature becomes 100 ° C.
  • the normalized etch rate was almost “1” and saturated. Therefore, Ri by the and this to co emissions collected by filtration Lumpur preheating temperature of C. 2 H 6 gas in the range of 5 0 0 ⁇ 1 0 0 0 ° C, 1 the normalized error etching rate ⁇ It turned out that it can be arbitrarily selected within the range of about 8.
  • Figure 6 shows the flow rate of C 2 H 6 gas (preliminary) obtained from Experiment 5.
  • paraffin hydrocarbon ethane (C 2 H 6 ) is used as the hydrocarbon gas.
  • the hydrocarbon gas other paraffinic hydrocarbons such as methane, propane, and butane may be used, and the hydrocarbon gas is not limited to paraffinic hydrocarbons.
  • Acetylene-based hydrocarbons such as acetylene, and ethylene may be used.
  • ethylene (C 2 H 4 ) gas is used as the hydrocarbon gas.
  • ethylene gas is used as the hydrocarbon gas.
  • Merits using ethylene gas as the hydrocarbon gas can provide the same effects as those described above even if they are supplied into the processing chamber 8 without preheating, that is, they are sufficiently etched. The point is that a silicon-containing film having a small size can be formed.
  • the ethylene gas may be preheated.
  • FIG. 7 is a sectional view showing a CVD apparatus according to the second embodiment of the present invention.
  • the hydrocarbon device supply system 46 of the gas supply unit 40 is connected to an ethylene (C 2 H 4 ) gas source.
  • the difference is that the preheating section 66 is not included.
  • the configuration of other parts of the CVD apparatus 2X shown in FIG. 7 is basically the same as that of the CVD apparatus 2 shown in FIG.
  • friendly B B disilacyclobutanes emissions (S i 2 C l 6) gas is used to as a sila emissions based gas (Shi Li co Nsosugasu) NH 3 gas is used as the nitriding gas, and ethylene (C 2 H 4 ) gas is used as the hydrocarbon gas. Used as hydrocarbon gas The ethylene gas is not preheated and is introduced into the processing chamber 8 at approximately room temperature.
  • the carbon component is sufficiently contained in the silicon nitride film regardless of whether the ethylene is not preheated. Can be included.
  • the bond dissociation energy (approximately 63 kca 1 / mo 1) is the bond dissociation energy of C-C of the ethane (about 83 kca 1 / mo
  • the processing was performed in a state corresponding to a state where 150 product wafers and 20 dummy wafers were mounted on 0.
  • the processing chamber 8 (wafer boat 20) is divided into three zones in the up and down direction with respect to the wafer position, and TOP (top) and CTR (center) respectively. , BTM (bottom).
  • the top zone includes the 1st to 60th wafers from the top of wafer boat 20 and the center zone includes the 61st to 11th uenos.
  • the bottom zone is assumed to include the 112th to 170th wafers.
  • the value obtained by the experiment was converted into a comparison value with respect to the reference value “1”, and this was used as the normalized etching rate.
  • di click b Noreshi run-(S i H 2 C l 2 ) using a gas and NH 3 gas Pro Se scan temperatures 7 6 0 ° C (conventional The etching rate of the silicon nitride film formed by setting the film forming temperature to the reference value “1” was set as the reference value “1”.
  • the gas flow rate was 0 to 150 sccm at each wafer position from top to bottom.
  • the normalized etching rate decreased from about 5 to 6 J force to about 3.2 to 4 according to this increase.
  • a film forming gas (a first gas composed of a silane-based gas (silicon source gas) and a gas selected from the group consisting of an oxidizing gas, a nitriding gas, and an oxynitriding gas) is used.
  • the second gas composed of gas and the combination of) and the hydrocarbon gas are separately supplied to the processing chamber 8 by separate systems.
  • the hydrocarbon gas may be supplied in a state of being mixed with one of the film forming gases (Si 2 Cl 6 gas or NH 3 gas).
  • the flow rate ratio of the hydrocarbon gas to the film forming gas is set to 0.3 to 3.2, preferably to 0.4 to 2.8.
  • the flow ratio of hydrocarbon gas to silane-based gas is set to 10 to 100, preferably 15 to 85. If the flow rate ratio of the hydrocarbon gas is lower than the above range, the etching rate of the silicon-containing insulating film increases. In this case, the insulating film is excessively removed at the time of cleaning, and the controllability of the film thickness deteriorates. Meanwhile, hydrocarbons If the flow rate of the gas is higher than the above range, the growth rate of the silicon-containing insulating film decreases, which is not practical.
  • silane-based gas such as chloronoresilane (DCS:
  • a silicon oxide film is formed by thermal CVD instead of a silicon nitride film
  • the same effect as described above can be obtained by supplying hydrocarbon gas together.
  • the effect can be obtained.
  • Shi Li co down the oxide film as an example of a process gas for forming Ri by the thermal CVD
  • a combination of a mono Sila emissions (S i H 4) and N 2 O, Axis B Noreshira emissions (DCS: S i H 2 C 1 2) and N 2 O and set combined in a combination of TEOS and (Te preparative La Echiruo Li Seo sheet re gate) 0 2, or the friendly b b disila emissions (HCD: S i 2 Combinations of C 16 ) and N 20 can be mentioned.
  • the above N 2 O gas and O 2 gas are used as oxidizing gas. It is.
  • the same effect as described above can be obtained by supplying the film forming gas and the hydrocarbon gas together.
  • Shea Li co shea oxynitride film as an example of a process gas for forming Ri by the thermal CVD, the axis b Cie run-: and (DCS S i H 2 C 1 2) and N 2 0 and NH 3
  • a vertical batch type device is exemplified as the CVD device.
  • the present invention can also be applied to a horizontal batch type CVD apparatus or a single-wafer type CVD apparatus that processes substrates to be processed one by one.
  • the present invention can be applied to a glass substrate other than a semiconductor wafer, an LCD substrate, and the like.
  • the following effects can be obtained. That is, by supplying a hydrocarbon gas together when the silicon-containing insulating film is formed, a carbon component can be contained in the silicon-containing insulating film. As a result, even if the film is formed at a relatively low temperature, the etching rate at the time of cleaning the silicon-containing insulating film becomes relatively small, and the cleaning is performed. Time thickness control Controllability can be improved. Further, by preheating and activating the supplied hydrocarbon gas, more carbon components can be contained in the silicon-containing insulating film.

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Description

明 細 書
シ リ コ ン含有絶縁膜を形成する C V D方法及び装置
技術分野
本発明は被処理基板上にシ リ コ ン含有絶縁膜を形成するた めの C V D方法及び装置に関する。
背景技術
半導体デバイ ス 中の絶縁膜と して、 S i 02、 P S G ( P h o s p h o S i l i c a t e G l a s s 、 P ( フ フ ズマ C V Dで形成された) 一 S i 0、 P (プラズマ C V Dで 形成された) 一 S i N、 S O G ( S p i n O n G l a s s ) 、 S i 3N4 (シ リ コ ン窒化膜) 等が使用 される。 半導体 ウェハの表面に上述のよ う なシ リ コ ン酸化膜ゃシ リ コ ン窒化 膜を形成する方法と して、 シ リ コ ンソースガス と してモ ノ シ ラ ン ( S i H 4) 、 ジ ク ロ ルシ ラ ン ( D C S : S i H 2 C 1 2) 、 へキサ ク ロ ロ ジシ ラ ン ( H C D : S i 2C l 6) 、 ビス タ ー シ ヤ ノレブチルア ミ ノ シラ ン ( B T B A S : S i H 2 ( N H ( C 4H 9) ) 2 等の シ ラ ン系ガス を シ リ コ ン ソ ース ガス と して用いて、 熱 C V D ( C h e m i c a l V a p o r D e p o s i t i o n ) によ り 成膜する方法が知 られている。 具体的には、 例えばシ リ コ ン酸化膜を堆積する場合、 S i H4+ N20、 S i H2C l 2+ N20、 或いは T E O S (テ ト ラ ェチルオ リ ソ シ リ ケー ト) + 02 等のガスの組み合わせで熱 C V Dに よ り シ リ コ ン酸化膜を形成する。 . ま た、 シ リ コ ン窒 化膜を堆積する場合、 S i H2C 1 2+ N H3 或いは S i 2C 1 6+ N H3 等のガス の組み合わせで熱 C V D に よ り シリ コ ン窒 化膜を形成する。
半導体デバイ スの更なる高微細化及び高集積化に伴って、 上述のよ う な絶縁膜も更なる薄膜化が必要 と なっている。 熱 C V Dの成膜処理時の温度に関 して も、 絶縁膜の下側にすで に形成される各種の膜の電機的特性を維持する必要か ら、 よ り 低温化が進んでいる。 この点に関 して、 例えばシ リ コ ン窒 化膜を熱 C V D によ り 堆積する場合、 従来は 7 6 0 °C程度の 高温でこのシ リ コ ン窒化膜の堆積を行っている。 しか し、 最 近は 6 0 0 °C程度まで温度を下げて熱 C V D によ り 堆積する 場合も ある。
半導体デバイ ス を形成する場合、 導電膜や上述のよ う な絶 縁膜を相互に積層 し、 パターンエ ッチングを行いなが ら多層 構造とする。 絶縁膜を形成 した後に、 こ の上に別の薄膜を形 成する場合、 上記絶縁膜の表面が有機物やパーテ ィ クル等の 汚染物が付着 している可能性がある。 このため、 必要に応 じ て、 この汚染物を除去する 目 的で、 ク リ ーニ ング処理を行 う c この場合、 半導体ウェハを希フ ッ酸等のク リ ーニング液に浸 漬させて絶縁膜の表面をエ ッチングする。 これによ り 、 絶縁 膜の表面を非常に薄 く 削 り 取 り 、 汚染物を除去する。
上記絶縁膜を例えば 7 6 0 °C程度の高温で C V D成膜した 場合、 絶縁膜のク リ一ユング時のエ ッチング レー ト はかな り 小さ く なる。 このため、 ク リ ーニング時にこ の絶縁膜が過度 に削 り 取られる こ と がな く 、 膜厚の制御性が良い状態でク リ 一ユング処理を行 う こ と ができ る。 これに対 して、 上記絶縁 膜を例えば 6 0 0 °C程度の低い温度で C V D成膜 した場合、 絶縁膜のク リ ーユング時のエ ッチングレー ト はかな り 大き く なる。 こ のため、 ク リ ーニング時にこの絶縁膜が過度に削 り 取られる場合が発生 し、 ク リ ーニング処理時の膜厚の制御性 が劣って しま う 。
発明の開示
本発明は、 比較的低温で成膜 しても ク リ ーニング時のエ ツ チングレー ト を比較的小さ く でき 、 ク リ ーユング時の膜厚の 制御性を向上させる こ と ができ る、 シ リ コ ン含有絶縁膜を形 成するための方法及び装置を提供する こ と を 目 的 とする。
本発明の第 1 の視点は、 シ リ コ ン含有絶縁膜を形成する C V D方法であって、
被処理基板を収納 した処理室内を排気 しなが ら、 前記処理 室内に成膜ガス を供給 し、 前記被処理基板上に前記絶縁膜を 堆積によ り 形成する工程を具備 し、 こ こ で、 前記成膜ガス と 共に炭化水素ガス を供給する。
本発明の第 2 の視点は、 シ リ コ ン酸化膜、 シ リ コ ン窒化膜 及ぴシ リ コ ン酸窒化膜からなる群から選択された膜から実質 的になる絶縁膜を形成する C V D方法であって、
被処理基板を収納 した処理室内を加熱する と 共に排気 しな が ら、 前記処理室内に、 シラ ン系ガスから実質的になる第 1 ガス と 、 酸化ガス、 窒化ガス、 及び酸窒化ガスからなる群か ら選択されたガスから実質的になる第 2 ガス と 、 炭化水素ガ スから実質的になる第 3 ガス と を供給 し、 前記被処理基板上 に前記絶縁膜を堆積に よ り 形成する工程を具備 し、 前記第 1 ガス に対する前記第 3 ガス の流量比は 1 0 ~ 1 0 0 である。 本発明の第 3 の視点は、 シ リ コ ン酸化膜、 シ リ コ ン窒化膜、 及びシ リ コ ン酸窒化膜から なる群から選択された膜か ら実質 的になる絶縁膜を形成する C V D装置であって、
被処理基板を収納するための処理室と 、
前記処理室内で前記被処理基板を支持する ための支持部材 と 、
前記支持部材に支持された前記被処理基板を加熱する ヒ ー タ と 、
前記処理室内を真空排気する排気部 と 、
前記処理室内にガス を供給する供給部と 、
を具備 し、 前記供給部は、 シラ ン系ガスから実質的にな る第 1 ガス を供給する第 1 供給系 と 、 酸化ガス 、 窒化ガス 、 及び 酸窒化ガスからなる群から選択されたガスか ら実質的になる 第 2 ガス を供給する第 2供給系 と 、 炭化水素ガスから実質的 にな る第 3 ガス を供給する第 3 供給系 と 、 を具備 し、 第 1 、 第 2 及び第 3 ガスは同時に供給可能である。
図面の簡単な説明
図 1 は、 本発明の第 1 の実施の形態に係る C V D装置を示 す断面図。
図 2 は、 実験 1 によ って得られた、 C 2 H 6 ガス の流量と 、 シ リ コ ン窒化膜中の炭素成分濃度 と 、 の関係を示すグラ フ。
図 3 は、 実験 2 に よ って得られた、 C 2 H 6 ガス の予備加熱 温度 と 、 シ リ コ ン窒化膜中の炭素成分濃度 と 、 の関係を示す グラ フ。
図 4 は、 実験 3 によ って得られた、 シ リ コ ン窒化膜中の炭 素成分濃度 と 、 希 フ ッ 酸 ( 4 9 % H F : H 2O = 1 : 1 0 0 ) に対する正規化エ ッチング レー ト と 、 の関係を示すダラ フ。
図 5 は、 実験 4 に よ って得られた、 C 2H6 ガス の予備加熱 温度 と 、 シ リ コ ン窒化膜の希フ ッ酸 ( 4 9 % H F : H 20 = 1 : 1 0 0 ) に対する正規化エ ッチング レー ト と 、 の関係を 示すグラ フ。
図 6 は、 実験 5 によ って得られた、 C 2H6 ガス の流量 (予 備加熱有 り 無 し) と 、 シ リ コ ン窒化膜の希フ ッ酸 ( 4 9 % H F : H 20 = 1 : 1 0 0 ) に対する 正規化エ ッチ ング レー ト と 、 の関係を示すグラ フ。
図 7 は、 本発明の第 2 の実施の形態に係る C V D装置を示 す断面図。
図 8 は、 実験 6 に よ って得られた、 炭化水素ガス の流量と シ リ コ ン窒化膜の希フ ッ酸 ( 4 9 % H F : H 2〇 = l : 1 0 0 ) に対する正規化エ ッチング レー ト と 、 の関係を示すダラ フ。
図 9 は、 実験 7 によ って得られた、 エチ レ ンガス の流量と シ リ コ ン窒化膜の希フ ッ酸 ( 4 9 % H F : Η 2Ο = 1 : 1 0 0 ) に対する正規化エ ッチング レー ト と 、 の関係を示すダラ フ。
発明を実施する ための最良の形態
本発明等者は、 本発明の開発の過程で、 シ リ コ ン酸化膜、 シ リ コ ン窒化膜、 及びシ リ コ ン酸窒化膜な どのシ リ コ ン含有 絶縁膜をク リ ーニングする際のエ ッチング レー ト について研 究 した。 その結果、 絶縁膜中に炭素成分を積極的に含有させ る こ と に よ り 、 ク リ ーニ ン グ時のエ ッチング レー ト を小さ く 抑制する こ と ができ る、 と い う 知見を得た。
以下に本発明の実施の形態について図面を参照 して説明す る。 なお、 以下の説明において、 略同一の機能及び構成を有 する構成要素については、 同一符号を付 し、 重複説明は必要 な場合にのみ行 う。
<第 1 の実施の形態 >
図 1 は、 本発明の第 1 の実施の形態に係る C V D装置を示 す断面図である。 こ の C V D装置 2 は、 シラ ン系ガス (シ リ コ ン ソースガス) か ら実質的にな る第 1 ガス と 、 酸化ガス、 窒化ガス、 及び酸窒化ガスか らなる群から選択されたガスか らなる第 2 ガス と 、 炭化水素ガスから なる第 3 ガス と 、 を同 時に供給 し、 シ リ コ ン酸化膜、 シ リ コ ン窒化膜、 及びシ リ コ ン酸窒化膜からなる群から選択された膜か ら なる絶縁膜を形 成する よ う に構成される。 一例 と して、 例えば S i 2C l 6 と N H3 ガス と を用いてシ リ コ ン窒化膜を堆積する際に、 炭化 水素ガス を供給 して膜中に炭素成分を含有させる。
図 1 に示すよ う に、 C V D装置 2 は、 筒体状の石英製の内 筒 4 と 、 その外側に所定の間隙 1 0 を介 して同心円状に配置 した石英製の外筒 6 と 、 よ り なる 2 重管構造の処理室 8 を有 する。 処理室 8 の外側は、 加熱ヒ ータ等の加熱手段 1 2 と 断 熱材 1 4 と を備えた加熱炉 1 6 に よ り 覆われる。 加熱手段 1 2 は断熱材 1 4 の内面に全面に亘つて配設 される。 なお、 本 実施の形態において、 処理室 8 の内筒 4 の内径は 2 4 O m m 程度、 高 さ は 1 3 O O m m程度の大き さ であ り 、 処理室 8 の 容積は略 1 1 0 リ ッ トル程度である。
処理室 8 の下端は、 例えばス テ ン レス ス チール製の筒体状 のマ二ホール ド 1 8 に よ って支持される。 内筒 4 の下端は、 マ二ホール ド 1 8 の内壁よ り 内側へ突出 させた リ ング状の支 持板 1 8 Aによ り 支持される。 被処理基板である半導体ゥェ ハ Wを多段に載置 した石英製の ウェハボー ト 2 0 が、 マニホ 一ル ド 1 8 の下方力ゝら、 処理室 8 に対 して ロ ー ドノアンロー ドされる。 本実施の形態の場合において、 ゥエバボー ト 2 0 には、 例えば 1 5 0 枚程度の直径が 2 0 0 m mの製品 ウェハ と 1 3 枚或いは 2 0 枚のダ ミ ー ウェハ と が略等ピ ッチで多段 に支持可能 と なる。 即ち、 ウェハボー ト 2 0 には全体で 1 7 0枚の ウェハが収容可能と なる。
ウェハボー ト 2 0 は、 石英製の保温筒 2 2 を介 して回転テ 一ブル 2 4 上に載置 される。 回転テーブル 2 4 は、 マ二ホー ル ド 1 8 の下端開 口 部を開閉する蓋部 2 6 を貫通する回転軸
2 8 上に支持される。 回転軸 2 8 の貫通部には、 例えば磁性 流体シール 3 0 が介設され、 回転軸 2 8 が気密にシールされ た状態で回転可能に支持される。 また、 蓋部 2 6 の周辺部と マ二ホール ド 1 8 の下端部には、 例えば O リ ング等よ り なる シール部材 3 2 が介設 され、 処理室 8 内のシール性が保持さ れる。
回転軸 2 8 は、 例えばボー ト エ レベータ等の昇降機構 3 4 に支持されたアーム 3 6 の先端に取 り 付け られる。 昇降機構
3 4 によ り 、 ウェハボー ト 2 0 及び蓋部 2 6 等が一体的に昇 降さ れる。 マ二ホール ド 1 8 の側部には、 内筒 4 と外筒 6 と の間隙 1 0 の底部か ら処理室 8 内の雰囲気を排出する排気口 3 8 が形成される。 排気口 3 8 には、 真空ポンプ等を介設 し た真空排気部 3 9 が接続される。
マ二ホール ド 1 8 の側部には、 内筒 4 内に所定の処理ガス を供給するためのガス供給部 4 0 が配設される。 具体的には、 ガス供給部 4 0 は、 シラ ン系ガス供給系 4 2 と 、 酸化及び/ または窒化ガス供給系 4 4 と 、 炭化水素ガス供給系 4 6 と を 含む。 各ガス供給系 4 2 、 4 4 、 4 6 は、 マ二ホール ド 1 8 の側壁を貫通 して設け られた直線状のガス ノ ズル 4 8 、 5 0 、 5 2 を夫々 有する。
各ガス ノ ズノレ 4 8 、 5 0 、 5 2 にはマス フ ロ ー コ ン ト ロ ー ラの よ う な流量制御器 5 4、 5 6 、 5 8 を夫々介設 したガス 流路 6 0 、 6 2 、 6 4 が夫々接続される。 ガス流路 6 0 、 6 2、 6 4 は、 シラ ン系ガス、 酸化及び/または窒化ガス、 炭 化水素ガス を夫々流量制御 しつつ供給でき る よ う に構成 され る。 こ こ で、 例えばシラ ン系ガス (シ リ コ ン ソース ガス ) と してへキサク ロ ロ ジシラ ン ( S i 2C l 6) ガスが使用 さ れ、 窒化ガス と しては N H3 ガスが使用 され、 そ して、 炭化水素 ガス と してはェタ ン ( C 2H6) ガスが使用 される。 なお、 酸 化ガス と しては N 20ガスや O 2 ガス等が使用 される場合も あ る。
炭化水素用のガス流路 6 4 には、 予備加熱部 6 6 が介設さ れる。 予備加熱部 6 6 は、 例えば外部に加熱ヒ ータ等を卷回 してなる石英容器内に石英粒を充填 して構成される。 予備加 熱部 6 6 は、 これに流されるェタ ンガス等の炭化水素ガス を 所定の温度に予備加熱する。 これによ り 、 予備加熱部 6 6 内 に流されるェタ ンガス が活性化される。
次に、 以上の よ う に構成された装置を用いて行なわれる本 発明の実施の形態に係る C V D方法について説明する。
まず、 C V D装置が ウェハを ロ ー ド していない待機状態に ある時は、 処理室 8 内をプロ セス温度、 例えば 5 0 0 °C程度 に維持する。 一方、 多数枚、 例えば 1 5 0 枚の製品 ウェハ W と 2 0枚のダ ミ ーウェハ と を ウェハボー ト 2 0 に搭載する。 ウェハを搭載 した後、 常温の ウェハボー ト 2 0 を処理室 8 内 にその下方よ り 上昇させて処理室 8 内にロ ー ドする。 そ して、 蓋部 2 6 でマ二ホール ド 1 8 の下端開 口部を閉 じる こ と によ り 処理室 8 内を密閉する。
次に、 処理室 8 内を真空引 き して所定のプロ セ ス圧力、 例 えば 2 7 P a 程度に維持する。 ま た、 ウェハ温度を成膜用の プロ セス温度、 例えば 6 0 0 °C程度に上昇させる。 温度安定 後、 所定のシラ ン系ガスである S i 2C l 6 ガス と 、 窒化ガス であ る N H 3 ガス と 、 炭化水素ガス であ る C 2H 6 ガス を、 夫々 流量制御 しつつガス供給部 4 0 の各ノ ズル 4 8、 5 0、 5 2 から供給する。
C 2H6 ガス は ノ ズル 5 2 の直前の炭化水素用 ガス流路 6 4 に介設 した予備加熱部 6 6 によ り 、 供給直前に所定の温度、 例えば 5 0 0 〜 1 0 0 0 °Cの範囲内で加熱 して活性化する。 し力、 し、 C 2H6 ガス は予備加熱 しな く て も よい。 この よ う に 予備加熱されず、 或いは予備加熱されて活性化 さ れた C 2H 6 ガスは処理室 8 の下部に供給されて S i 2 C 1 6 ガス及び N H 3 ガス と混合さ れる。 混合ガスは、 処理空間 S を上昇 しつつ 反応 して、 ウェハ Wの表面にシ リ コ ン窒化膜の薄膜を堆積す る。 処理空間 S を上昇 した処理ガスは、 処理室 8 内の天井部 で折 り 返 して内筒 4 と外筒 6 と の間の間隙 1 0 を流下 し、 排 気口 3 8 か ら外へ排気される。
予備加熱部 6 6 における C 2 H 6 ガスの加熱温度に関 し、 下 限値は、 略 5 0 0 °Cである。 予備加熱の上限値は特に限定さ れないが、 後述する よ う にシ リ コ ン窒化膜のエッチング レー ト が飽和する温度、 例えば略 1 0 0 0 °C程度が望ま しい。 ま た、 C 2 H 6 ガスの流量の上限値は特に限定されないが、 後述 する よ う にシ リ コ ン窒化膜のエ ッチング レー トが飽和する流 量、 例えば略 2 0 0 s c c m程度が望ま しい。 また、 本実施 の形態において、 S i 2 C 1 6 ガスの流量は略 3 0 s c c m程 度、 N H 3ガス の流量は略 9 0 0 s c c m程度である。
このよ う に、 C 2 H 6 ガス を処理室 8 内へ供給する こ と によ り 、 ウェハ表面に形成される シ リ コ ン窒化膜中に炭素成分が 含有される。 これに よ り 、 従来の成膜温度、 例えば 7 6 0 °C 程度よ り も低い温度で成膜したに もかかわ らず、 ク リ ーニン グ処理時に使用 される希フ ッ酸に対する シ リ コ ン窒化膜の表 面のエ ッチング レー ト を小さ く でき る。 その結果、 タ リ ー二 ング処理時にシ リ コ ン窒化膜が過度に削 り 取 られる こ と を防 止 して、 こ の膜厚の制御性を向上させる こ と が可能と なる。
特に、 C 2 H 6 ガス を予備加熱する と 、 このガスが活性化さ れてその分だけ多量の炭素成分がシ リ コ ン窒化膜中に含有さ れる。 これによ り 、 シ リ コ ン窒化膜のエ ッチング レー ト を一 層小さ く する こ と ができ る。 この場合、 後述する よ う に、 シ リ コ ン窒化膜中の炭素成分の濃度をコ ン ト ロ ールする こ と に よ り 、 所望のエ ッチングレー ト を得る こ と が可能 と なる。
次に、 図 1 図示の C V D装置 2 を使用 して行った実験につ いて説明する。 これ らの実験において、 ウェハボー ト 2 0 上 に 1 5 0 枚の製品 ウェハ と 2 0 枚のダ ミ ーウェハ と を搭載す る状態に対応する状態で処理を行った。 図 1 にも示すよ う に、 ウェハ位置に関 し、 処理室 8 (ウェハボー ト 2 0 ) 内を上下 の方向に 3 つのゾーンに分割 して、 夫々 T O P ( ト ッ プ) 、 C T R (センタ) 、 B T M (ボ ト ム) と した。 こ こで、 ト ツ プゾーンにはウェハボー ト 2 0 の上部よ り 1 番 目 〜 6 0 番 目 の ウェハが属 し、 セ ンタ ゾーンには 6 1 番目 〜 1 1 1 番 目 の ウ エノ、が属 し、 ボ ト ムゾーンには 1 1 2番 目 〜 1 7 0番 目 の ウェハが属する もの と した。
また、 エ ッチング レー ト に関 しては、 実験によ り 得られた 値を、 基準値 「 1 」 に対する比較値に変換し、 これを正規化 エ ッチングレー ト と して使用 した。 こ こで、 炭化水素ガスを 使用せずに、 ジク ロ ルシラ ン ( S i H 2C l 2) ガス と N H 3 ガス と を使用 し、 プ ロ セ ス 温度を 7 6 0 °C (従来の成膜温 度) に設定 して成膜 したシ リ コ ン窒化膜のエ ッチング レー ト を基準値 「 1 」 と した。
[実験 1 ]
C 2H6 ガス の流量 と 、 シ リ コ ン窒化膜中に含まれる炭素成 分の濃度 と の関係を評価する実験を行った。 こ の実験の条件 と して、 プロ セ ス温度を 6 0 0 °C、 プロ セ ス圧力を 2 7 P a S i 2C 1 6 ガス の流量を 3 0 s c c m、 N H 3 ガス の流量を 9 0 0 s c c m、 C 2H6 ガス の予備加熱温度を 1 0 0 0 °Cで 夫々 一定と した。 一方、 C 2H6 ガス の流量を 0 〜 2 0 0 s c c mの範囲で変化させた。
図 2 は、 実験 1 に よ って得られた、 C 2H6 ガス の流量 と 、 シ リ コ ン窒化膜中の炭素成分濃度と 、 の関係を示すグラ フで ある。
図 2 に示すよ う に、 ト ップからボ ト ムまでの ウェハ位置に 関係な く 、 C 2H6 ガス の流量を 0 〜 2 0 0 s c c mの範囲内 で増加させる と 、 こ の増加に従って、 シ リ コ ン窒化膜中の炭 素成分濃度は略直線的に増加 した。 従って、 C 2H6 ガス の流 量を増加する程、 シ リ コ ン窒化膜中の炭素成分濃度は増加す る こ と が判明 した。
[実験 2 ]
C 2H6 ガス の予備加熱温度と 、 シ リ コ ン窒化膜中に含まれ る炭素成分の濃度と の関係を評価する実験を行った。 こ の実 験の条件と して、 プ ロ セ ス温度を 6 0 0 °C、 プロ セス圧力を 2 7 P a 、 S i 2C 1 6 ガス の流量を 3 0 s c c m、 N H3 ガ ス の流量を 9 0 0 s c c m、 C 2H6 ガス の流量を 2 0 0 s c c mで夫々 一定と した。 一方、 C 2H6 ガス の予備加熱温度を 5 0 0 〜 1 0 0 0 °Cの範囲で変化させた。
図 3 は、 実験 2 に よ って得られた、 C 2H6 ガス の予備加熱 温度 と 、 シ リ コ ン窒化膜中の炭素成分濃度 と 、 の関係を示す グラ フである。 図 3 に示すよ う に、 C 2H6 ガス の予備加熱温度が 5 0 0 〜 7 0 0 °Cの範囲ではシリ コ ン窒化膜中の炭素含有濃度は、 一 部に誤差範囲内 と思われる減少傾向は見られたも のの、 基本 的には僅かずつ増加 した。 予備加熱温度が 7 0 0 〜 9 0 0 °C の範囲では、 温度が高く なるほど、 炭素含有濃度は急激に増 加した。 予備加熱温度が 9 0 0 〜 1 0 0 0 °Cの範囲内では、 温度が高く なるほど、 炭素含有濃度は僅かずつ増加したが、 略飽和状態と なった。 従って、 C 2H6 ガス の予備加熱を行つ て、 且つその温度を高く すればする程、 炭素含有濃度をよ り 高く でき る こ とが判明 した。
この場合、 シ リ コ ン窒化膜中の炭素成分濃度をある程度以 上に増加させるには、 C 2H6 を予備加熱し、 その温度を略 5 0 0 °C以上に設定するのが望ま しい。 また、 略 1 0 0 o °cで 炭素成分濃度は略飽和する ので、 その上限値は略 1 0 0 o °c 程度に設定する のが望ま しい。
[実験 3 ]
実験 1 、 2 の結果を参考と して、 シ リ コ ン窒化膜中の炭素 成分濃度と 、 希フ ッ酸に対するエッチングレー ト と の関係を 評価する実験を行った。 こ の実験の条件と して、 プロ セ ス温 度を 6 0 0 °C、 プロ セス圧力を 2 7 P a 、 S i 2C l 6 ガス の 流量を 3 0 s c c m、 N H3 ガスの流量を 9 0 0 s c c m、 。 2^16ガスの流量を 2 0 0 s c c mで夫々一定と した。 一方、 シ リ コ ン窒化膜中の炭素含有濃度を、 予備加熱温度を変化さ せる こ と によ り 、 1 1 0 18〜 1 1 0223 1; 111 3ノ 0 1113 の 範囲で変化させた。 図 4 は、 実験 3 によ って得られた、 シ リ コ ン窒化膜中の炭 素成分濃度 と 、 希 フ ッ 酸 ( 4 9 % H F : H 2O = l : 1 0
0 ) に対する正規化エ ッチング レー ト と 、 の関係を示すダラ フである。
図 4 に示すよ う に、 ト ッ プか ら ボ ト ムまでの ウェハ位置に 関係な く 、 シ リ コ ン窒化膜中の炭素含有濃度を 1 X 1 0 18
1 X 1 0 22 a t m s / c m3 ま で増加すればする程、 エ ッ チ ング レー ト は直線的に低下 した。 即ち、 炭素含有濃度を コ ン ト ロ ールすれば、 こ の正規化エ ッチングレー ト を制御でき る こ と が判明 した。 特に、 炭素含有濃度が 1 X 1 022 a t m s ノ c m 3 の時には正規化エ ッチングレー ト は略 「 1 」 であつ た。 即ち、 プロセス温度 6 0 0 °C と い う 低温で成膜したにも かかわ らず、 7 6 0 °Cで成膜した従来のシ リ コ ン窒化膜と略 同 じエ ッチング レー ト にでき る こ と が判明 した。
[実験 4 ]
実験 3 の結果を補完する 目 的で、 C 2H6 ガスの予備加熱温 度と 、 シ リ コ ン窒化膜の希フ ッ酸に対するエ ッチング レー ト と の関係を評価する実験を行った。 こ の実験の条件と して、 プ ロ セ ス温度を 6 0 0 °C、 プ ロ セ ス圧力 を 2 7 P a 、 S i 2 C 1 6 ガス の流量を 3 0 s c c m、 N H 3 ガス の流量を 9 0 0 s c c m、 C 2H6 ガス の流量を 2 0 0 s c c mで夫々 一定 と した。 一方、 C 2H6 ガス の予備加熱温度を 5 0 0 ~ 1 0 0 0 °Cの範囲で変化させた。
図 5 は、 実験 4 によ って得られた、 C 2H6 ガス の予備加熱 温度 と 、 シ リ コ ン窒化膜の希フ ッ 酸 ( 4 9 % H F : H 20 = 1 : 1 0 0 ) に対する正規化エ ッチングレー ト と 、 の関係を 示すグラ フである。
図 5 に示すよ う に、 ト ップか らボ ト ムまでの ウェハ位置に 関係な く 、 予備加熱温度が 5 0 0 〜 7 0 0 °Cの範囲内では、 温度が高 く なる ほ ど、 正規化エ ッチングレー ト は僅かずつ減 少 した。 7 0 0 〜 9 0 0 °Cの範囲内では、 温度が高く なる ほ ど、 正規化エ ッチング レー ト は急激に減少 した。 予備加熱温 度が 9 0 0 〜 1 0 0 0 °Cの範囲内では、 温度が高 く なる ほ ど、 正規化エ ッチングレー ト はまた僅かずつ減少 し、 予備加熱温 度が 1 0 0 0 °C程度で正規化エ ッチングレー ト は略 「 1 」 に なって飽和 した。 従って、 C.2 H6 ガス の予備加熱温度を 5 0 0 〜 1 0 0 0 °Cの範囲内でコ ン ト ロ ールする こ と によ り 、 正 規化エ ッチング レー ト を 1 〜 8 程度の範囲内で任意に選択で き る こ と が判明 した。
[実験 5 ]
実験 3 の結果を補完する 目 的で、 C 2H6 ガス の流量 (予備 加熱有 り /無 し) と 希フ ッ酸に対するエ ッチング レー ト の関 係を評価する実験を行った。 こ の実験の条件と して、 プロセ ス温度を 6 0 0 °C、 プロセス圧力 を 2 7 P a 、 S i 2C l 6 ガ ス の流量を 3 0 s c c m、 N H3 ガスの流量を 9 0 0 s c c mで夫々 一定と した。 一方、 C 2H6 ガス の流量を 0 〜 2 0 0 s c c mの範囲で変化させ、 各選択流量において、 C 2H6 を 予備加熱 しない場合 (常温) と 1 0 0 0 °cに予備加熱 した場 合と の 2 種類に条件を設定した。
図 6 は、 実験 5 に よ って得られた、 C 2H6 ガス の流量 (予 備加熱有 り 無 し) と 、 シ リ コ ン窒化膜の希フ ッ酸 ( 4 9 % H F : H 2 O = 1 : 1 0 0 ) に対する正規化エ ッチング レー ト と 、 の関係を示すグラ フであ る。
図 6 に示すよ う に、 C 2 H 6 ガス を予備加熱 しないで常温で 供給 した場合、 ト ップからボ ト ムまでの ウェハ位置に関係な く 、 C 2H 6 ガスの流量を 0〜 2 0 0 s c c mの範囲内で増カロ させて も、 その正規化エッチング レー ト の低下は、 「 6〜 7 . 5 J 力、ら 「 5 . 5〜 7 . 0 」 と 僅かな ものであった。 即ち、 予備加熱 しない場合、 C 2 H 6 ガスの流量の増加に伴ってエ ツ チングレー ト低下の効果は見 られるが、 その低下の程度は非 常に少ないこ と が判明 した。
これに対 して、 C 2H 6 ガス を 1 0 0 0 °Cに予備加熱 した場 合、 ト ッ プ力、 らボ ト ムま での ウェハ位置に関係な く 、 C 2 H 6 ガスの流量を 0〜 2 0 0 s c c mの範囲内で増カ卩させる と 、 正規化エ ッチング レー ト は大き く 変化 した。 即ち、 C 2 H 6 ガ スの流量が 0〜 1 0 0 s c c mの範囲内では正規化エ ツチン グレー ト は 「 6〜 8 」 から 「 2 」 程度まで急激に低下 した。 流量が 1 0 0〜 2 0 0 s c c mの範囲では、 流量が増加する ほ ど、 正規化エ ッチングレー ト は僅かずつ低下 した。 流量が 2 0 0 s c c mではエ ッチング レー ト は略 「 1 」 になって、 その低下が飽和 した。 即ち、 C 2 H 6 ガスの予備加熱温度を 1 0 0 0 °Cに維持 したま ま、 この流量を 0〜 2 0 0 s c c mの 範囲内でコ ン ト ロ ールする こ と に よ り 、 正規化エ ッチングレ 一 ト を 1〜 8 程度の範囲内で任意に選択でき る こ と が判明 し た。 ぐ第 2 の実施の形態〉
上述の第 1 の実施の形態において、 炭化水素ガス と してパ ラ フ ィ ン炭化水素のェタ ン ( C 2H6) を用いている。 しか し、 炭化水素ガス と して、 メ タ ン、 プロパン、 ブタ ン等の他のパ ラ フ ィ ン系炭化水素を用いても よい し、 更にはパラ フ ィ ン系 炭化水素に限定されず、 アセチ レ ン、 エチ レ ン等のァセチ レ ン系炭化水素等を用いても よい。
第 2 の実施の形態においては、 炭化水素ガス と してェチレ ン ( C 2H4) ガス を使用する。 炭化水素ガス と してエチ レン ガス を用いる メ リ ッ ト は、 予備加熱な しで処理室 8 内へ供給 して も、 前述の効果 と 同様な効果が得られる、 即ち、 十分に エ ッチングレー ト の小さ いシ リ コ ン含有膜を形成でき る と い う 点である。 なお、 エチ レ ンガスは予備加熱 して も よい。
図 7 は、 本発明の第 2 の実施の形態に係る C V D装置を示 す断面図である。 図 7 図示の C V D装置 2 Xは、 図 1 図示の C V D装置 2 と 比較する と 、 ガス供給部 4 0 の炭化水素ガス 供給系 4 6 が、 エチ レ ン ( C 2H4) ガス源に接続される と 共 に、 予備加熱部 6 6 を含ま ない点で相違する。 図 7 図示の C V D装置 2 Xのその他の部分の構成は、 図 1 図示の C V D装 置 2 と基本的に同 じである。
即ち、 第 2 の実施の形態に係る C V D装置 2 X においては、 シラ ン系ガス (シ リ コ ンソースガス) と してへキサク ロ ロ ジ シラ ン ( S i 2C l 6) ガスが使用 さ れ、 窒化ガス と しては N H3 ガスが使用 さ れ、 そ して、 炭化水素ガス と してはェチ レ ン ( C 2H4) ガス が使用 される。 炭化水素ガス と して使用 さ れるエチ レンガスは、 予備加熱されず、 略室温の状態で処理 室 8 内へ導入さ れる。
第 2 の実施の形態に係る C V D装置 2 X においてシ リ コ ン 窒化膜を形成 した場合にも、 エチ レンを予備加熱 しないにも かかわらず、 シ リ コ ン窒化膜中に炭素成分を十分に含ませる こ と ができ る。 これによ り 、 比較的低温で成膜を行って も、 ク リ ーユング時のエ ッチングレー ト が比較的小さ く な り 、 ク リ ーユング時の膜厚の制御性を向上させる こ と ができ る。 こ のよ う に、 炭化水素ガス と してエチ レンガス を用いた場合に 予備加熱な しで使用でき る理由は、 エチ レ ンの C = C (二重 結合) の結合解離エネルギ (約 6 3 k c a 1 / m o 1 ) が、 ェタ ンの C — C の結合解離エネルギ (約 8 3 k c a 1 / m o
1 ) よ り も小さ く 、 エチ レ ンの方が反応性が高い (差が約 2
O k c a 1 / m o 1 ) ため と考え られる。
次に、 図 7 図示の C V D装置 2 X を使用 して行った実験に ついて説明する。 これらの実験において も、 ウェハボー ト 2
0 上に 1 5 0枚の製品 ウェハ と 2 0 枚のダ ミ ーウェハ と を搭 載する状態に対応する状態で処理を行った。 図 7 にも示すよ う に、 ウェハ位置に関 し、 処理室 8 (ウェハボー ト 2 0 ) 内 を上下の方向に 3 つのゾーンに分割 して、 夫々 T O P ( ト ツ プ) 、 C T R (センタ) 、 B T M (ボ ト ム) と した。 こ こで、 ト ップゾー ンにはウェハボー ト 2 0 の上部よ り 1 番目 〜 6 0 番 目 の ウェハが属 し、 センタ ゾーンには 6 1 番 目 〜 1 1 1 番 目 の ウエノ、が属 し、 ボ ト ムゾーンには 1 1 2番 目 〜 1 7 0番 目 の ウェハが属する もの と した。 また、 エ ッチング レー ト に関 しては、 実験によ り 得られた 値を、 基準値 「 1 」 に対する比較値に変換 し、 これを正規化 エ ッ チ ング レー ト と して使用 した。 こ こ で、 炭化水素ガス を 使用せずに、 ジ ク ロ ノレシ ラ ン ( S i H 2C l 2) ガス と N H 3 ガス と を使用 し、 プロ セ ス温度を 7 6 0 °C (従来の成膜温 度) に設定 して成膜 したシ リ コ ン窒化膜のエ ッチング レー ト を基準値 「 1 」 と した。
[実験 6 ]
炭化水素ガス と して、 ェタ ン ( C 2H 6) ガス に代えてェチ レ ン ( C 2H4) ガス を使用 した場合の効果を評価する実験を 行った。 この実験の条件と して、 プロ セス温度を 6 0 0 °C、 プロ セ ス圧力を 2 7 P a 、 S i 2C 1 6 ガス の流量を 3 0 s c c m、 N H 3 のガス の流量を 9 0 0 s c c mで夫々 一定と し た。 一方、 C 2H4 ガス の流量を 0 〜 1 5 0 s c c mの範囲で 変化させた。
図 8 は、 実験 6 に よ って得られた、 炭化水素ガス の流量と 、 シ リ コ ン窒化膜の希 フ ッ 酸 ( 4 9 % H F : H 20 = 1 : 1 0 0 ) に対する正規化エ ッチング レー ト と 、 の関係を示すダラ フである。 こ のグラ フ 中には、 比較のために予備加熱な しで エタ ンを用いた時の結果も併記されている。
図 8 に示すよ う に、 ェタ ン ( C 2H6) を予備加熱な しで使 用 した場合、 ト ップか らボ ト ムまでの ウェハ位置間において 若干の差はある が、 ガス流量を 0 〜 1 5 0 s c c mの範囲内 で増加 させても、 正規化エ ッチングレー ト は略 6 〜 8 の範囲 よ り 小さ く なる こ と はなかった。 即ち、 この場合、 ガス流量 を増加 させて も、 正規化エ ッチングレー ト は略一定か、 或い は僅かに低下するだけであった。
これに対 して、 炭化水素ガス と してエチ レ ンを予備加熱な しで使用 した場合、 ト ップからボ ト ムまでの各ウェハ位置に おいて、 ガス流量を 0 〜 1 5 0 s c c mの範囲内で増カ卩 させ る と 、 こ の増加に従って正規化エ ッチング レー ト は約 「 5 〜 6 J 力 ら約 「 3 . 2 〜 4 」 に低下 した。
[実験 7 ]
更に、 エチ レ ン ( C 2H 4) ガス の流量と 、 シ リ コ ン窒化膜 の希フ ッ酸に対するエ ッチング レー ト と の関係を評価する実 験を行っ た。 こ の実験の条件 と して、 プロ セス 温度を 6 0 0 °C、 プロセス圧力を 2 7 P a 、 S i 2C l 6 ガスの流量を 3 O s c c m、 N H 3 のガス の流量を 9 0 0 s c c mで夫々 一 定と した。 一方、 C 2H 4 ガス の流量を 0 〜 9 0 0 s c c mの 範囲で変化させた。
図 9 は、 実験 7 に よ って得られた、 エチ レ ンガス の流量と 、 シ リ コ ン窒化膜の希フ ッ酸 ( 4 9 % H F : H 2 O = 1 : 1 0 0 ) に対する正規化エ ッチング レー ト と 、 の関係を示すダラ フである。 このグラ フでは、 ト ッ プ力 らボ ト ムまでの ウエノヽ 位置の平均値でエッ チングレー ト を表 している。
図 9 に示すよ う に、 エチ レ ンガス流量を 0 〜 9 0 0 s c c mの範囲内で増加させる と 、 こ の増加に従って正規化工 ツチ ング レー ト は約 6 . 4 5 力 ら約 1 . 8 0 に次第に低下 した。 また、 正規化エ ッチング レー ト の低下はエチ レ ンガス流量が 9 0 0 s c c m近傍で略飽和 した。 実験 6 、 7 の結果から、 炭化水素ガス と してエチレンを使 用する場合、 予備加熱な しでも、 シ リ コ ン窒化膜のヱ ッチン グ レー ト を十分に低 く する (即ちシ リ コ ン窒化膜中に炭素成 分を十分に含ませる) こ と ができ る こ と が判明 した。
[実験 8 ]
実験 6 、 7 の結果を補完する 目 的で、 プロ セス温度を 4 5 0 °C、 エチ レンガスの流量を 3 0 0 s c c m と した以外は、 実験 6 と 同 じ条件 (即ち予備加熱な し) で実験を行った。 そ の結果、 この場合でも、 正規化エ ッチング レー ト は、 ェチレ ンガスを入れない場合に比べて約半分にまで低下する こ と が 判明 した。
なお、 第 1 及び第 2 の実施の形態では成膜ガス (シラ ン系 ガス (シ リ コ ンソースガス) からなる第 1 ガス と 、 酸化ガス、 窒化ガス、 及び酸窒化ガスからなる群から選択されたガスか らなる第 2 ガス と 、 の組み合わせ) と 炭化水素ガス と を夫々 別系統で独立 して処理室 8 内へ供給 している。 しか し、 炭化 水素ガス を成膜ガス の 1 種 ( S i 2C l 6 ガス 、 或いは N H3 ガス) へ混合させた状態で供給する よ う に して も よい。
いずれの場合も、 成膜ガスに対する炭化水素ガス の流量比 は 0 . 3 〜 3 . 2 、 望ま し く は 0 . 4 〜 2 . 8 とする。 また, シ ラ ン系ガス に対する炭化水素ガス の流量比は 1 0 〜 10 0 , 望ま しく は 1 5 〜 8 5 とする。 炭化水素ガス の流量比が上記 の範囲よ り も低い と 、 シ リ コ ン含有絶縁膜のエ ッ チング速度 が大き く なる。 この場合、 ク リ ーニング時に この絶縁膜が過 度に削 り 取 られ、 膜厚の制御性が悪く なる。 一方、 炭化水素 ガス の流量比が上記の範囲よ り も高い と 、 シ リ コ ン含有絶縁 膜の成長速度が低下するため、 実用的でない。
ま た、 第 1 及び第 2 の実施の形態では、 へキサク ロ ロ ジシ ラ ン ( H C D : S i 2C 1 6) と N H3 を用いてシ リ コ ン窒化 膜を形成する際に、 これと 同時に炭化水素ガス を供給する。 しか し、 他の処理ガス を用いてシ リ コ ン窒化膜を形成する場 合でも、 炭化水素ガス を併せて供給する こ と によ り 、 上述の 効果 と 同様な効果を得る こ と ができ る。 例えば、 シ リ コ ン窒 化膜を形成する他の処理ガス の例 と しては、 シ ラ ン系ガス (シ リ コ ン ソース ガス ) であ る 、 ジク ロ ノレシラ ン (D C S :
S i H 2 C 1 2) 、 テ ト ラ ク ロ ルシラ ン ( S i C l 4) 、 ビス タ ーシャノレプチルァ ミ ノ シラ ン ( B T B A S : S i H 2 ( N H ( C 4H 9) ) 2、 及びへキサェチルア ミ ノ ジシラ ン ( H E A D ) の 1 つ と 、 窒化ガスであ る N H3 と の組み合わせを挙 げる こ と ができ る。
ま た、 シ リ コ ン窒化膜ではな く 、 熱 C V D によ り シ リ コ ン 酸化膜を形成する場合にも、 炭化水素ガス を併せて供給する こ と によ り 、 上述の効果と 同様な効果を得る こ と ができ る。 シ リ コ ン酸化膜を熱 C V D によ り 形成する ための処理ガス の 例 と しては、 モ ノ シラ ン ( S i H4) と N 2O と の組み合わせ、 ジク ロ ノレシラ ン ( D C S : S i H 2 C 1 2) と N 2O と の組み 合わせ、 T E O S (テ ト ラ ェチルオ リ ソ シ リ ゲー ト ) と 02 と の組み合わせ、 或いはへキサク ロ ロ ジシラ ン ( H C D : S i 2C 1 6) と N 20 と の組み合わせを挙げる こ と ができ る。 この場合、 上記 N2Oガスや 02 ガスは酸化ガス と して使用 さ れる。
更に、 シ リ コ ン酸窒化膜を形成する場合に も、 成膜ガス と 炭化水素ガス と を併せて供給する こ と に よ り 、 上述の効果と 同様な効果を得る こ と ができ る。 シ リ コ シ酸窒化膜を熱 C V D によ り 形成するための処理ガス の例 と しては、 ジク ロ ルシ ラ ン ( D C S : S i H2C 1 2) と N20 と N H3 と の組み合わ せを挙げる こ と ができ る。 なお、 この場合、 図 1 中に破線で 示すよ う に、 C V D装置の酸窒化ガス供給系 と して、 N H 3 ガス供給系 4 4 にカ卩え、 N 2 Oガス供給系 4 5 (図 1 におい て、 符号 5 7 、 6 3 は流量制御器及びガス流路を示す) を配 設 して、 N20 と N H3 と を個別に処理室 8 に供給する こ と 力 望ま しい。
また、 以上の実施の形態では、 C V D装置 と して縦型のバ ツチ式の装置を例示 している。 しか し、 本発明は、 横型のバ ツチ式の C V D装置、 或いは被処理基板を 1 枚ずつ処理する 枚葉式の C V D装置に も適用する こ と ができ る。 また、 被処 理基板に関 し、 本発明は、 半導体ウェハ以外のガラス基板や L C D基板等に も適用する こ と ができ る。
以上の実施の形態に係る シ リ コ ン含有絶縁膜を形成する C V D方法及び装置に よれば、 次の よ う に効果を得る こ と がで き る。 即ち、 シ リ コ ン含有絶縁膜の成膜時に炭化水素ガス を 併せて供給する こ と に よ り 、 シ リ コ ン含有絶縁膜中に炭素成 分を含ませる こ と ができ る。 これによ り 、 比較的低温で成膜 を行って も、 シ リ コ ン含有絶縁膜のク リ ーユ ング時のエ ッチ ング レー ト が比較的小さ く な り 、 ク リ ーニ ング時の膜厚の制 御性を向上させる こ と ができ る。 更に、 供給される炭化水素 ガス を予備加熱して活性化させる こ と によ り 、 シ リ コ ン含有 絶縁膜中に よ り 多く の炭素成分を含ませる こ と ができ る。

Claims

求 の 範 囲
1 . シ リ コ ン含有絶縁膜を形成する C V D方法であって、 被処理基板を収納 した処理室内を排気 しなが ら、 前記処理 室内に成膜ガス を供給 し、 前記被処理基板上に前記絶縁膜を 堆積によ り 形成する工程を具備 し、 こ こ で、 前記成膜ガス と 共に炭化水素ガス を供給する C V D方法。
2 . 前記炭化水素ガス は、 アセ チ レ ン、 エチ レ ン、 メ タ ン ェタ ン、 プロパン、 ブタ ンから なる群か ら選択さ れる 1 以上 のガスである請求の範囲 1 に記載の方法。
3 . 前記炭化水素ガスはエチ レンから実質的にな り 、 予備 加熱な しで前記処理室内に供給する請求の範囲 2 に記載の方 法。
4 . 前記炭化水素ガス を、 前記処理室内へ供給する直前に 所定の温度まで予備加熱する工程を更に具備する請求の範囲 1 に記載の方法。
5 . 前記予備加熱の温度は、 5 0 0 〜 1 0 0 0 °〇の範囲内 である請求の範囲 4 に記載の方法。
6 . 前記成膜ガス に対する前記炭化水素ガス の流量比は 0 3 〜 3 . 2 である請求の範囲 1 に記載の方法。
7 . 前記絶縁膜は、 シ リ コ ン酸化膜、 シ リ コ ン窒化膜、 及 びシ リ コ ン酸窒化膜か らなる群か ら選択された膜から実質的 になる請求の範囲 1 に記載の方法。
8 . 前記成膜ガスは、 シ ラ ン系ガス か ら実質的になる第 1 ガス と 、 酸化ガス、 窒化ガス、 及び酸窒化ガスか らなる群か ら選択されたガスから実質的にな る第 2 ガス と 、 を具備する 請求の範囲 7 に記載の方法。
9 . 前記第 1 ガス は、 へキサク ロ ロ ジシラ ン、 へキサェチ ルア ミ ノ ジシラ ン、 ビス タ ーシャ ルブチルア ミ ノ シラ ン、 及 びジク ロルシラ ンからなる群か ら選択されたガスから実質的 にな り 、 前記第 2 ガスは窒化ガスから実質的にな り 、 前記絶 緣膜を堆積に よ り 形成する工程におけるプ ロ セ ス温度は 4 5 0 〜 6 0 0 °Cの範囲内である請求の範囲 8 に記載の方法。
1 0 . シ リ コ ン酸化膜、 シ リ コ ン窒化膜、 及びシ リ コ ン酸窒 化膜から なる群から選択された膜から実質的になる絶縁膜を 形成する C V D方法であって、
被処理基板を収納 した処理室内を加熱する と共に排気 しな が ら、 前記処理室内に、 シ ラ ン系ガス か ら実質的になる第 1 ガス と 、 酸化ガス 、 窒化ガス 、 及び酸窒化ガスからなる群か ら選択されたガスから実質的になる第 2 ガス と 、 炭化水素ガ スか ら実質的になる第 3 ガス と を供給 し、 前記被処理基板上 に前記絶縁膜を堆積によ り 形成する工程を具備 し、 前記第 1 ガス に対する前記第 3 ガス の流量比は 1 0 〜 1 0 0 であ る C V D方法。
1 1 . シ リ コ ン酸化膜、 シ リ コ ン窒化膜、 及びシ リ コ ン酸窒 化膜から なる群から選択された膜か ら実質的になる絶縁膜を 形成する C V D装置であって、
被処理基板を収納するための処理室と 、
前記処理室内で前記被処理基板を支持するための支持部材 と 、
前記支持部材に支持された前記被処理基板を加熱する ヒ ー タ と 、
前記処理室内を真空排気する排気部 と 、
前記処理室内にガス を供給する供給部と 、
を具備 し、 前記供給部は、 シラ ン系ガスか ら実質的にな る第 1 ガスを供給する第 1 供給系 と 、 酸化ガス 、 窒化ガス、 及び 酸窒化ガスから なる群から選択されたガスから実質的になる 第 2 ガス を供給する第 2供給系 と 、 炭化水素ガス か ら実質的 にな る第 3 ガス を供給する第 3 供給系 と 、 を具備 し、 第 1 、 第 2 及び第 3 ガスは同時に供給可能である C V D装置。
1 2 . 前記供給部は、 前記第 3 ガス を前記処理室内へ供給す る直前に所定の温度まで予備加熱する予備加熱部を含む請求 の範囲 1 1 に記載の装置。
PCT/JP2003/000206 2002-01-15 2003-01-14 Cvd method and device for forming silicon-containing insulation film WO2003060978A1 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
US10/500,150 US7125812B2 (en) 2002-01-15 2003-01-14 CVD method and device for forming silicon-containing insulation film
KR1020047007468A KR100903484B1 (ko) 2002-01-15 2003-01-14 실리콘 함유 절연막을 형성하는 cvd 방법 및 장치
EP03701067A EP1475828A4 (en) 2002-01-15 2003-01-14 CHEMICAL VAPOR DEPOSITION METHOD AND DEVICE FOR FORMING SILICON-BASED INSULATING FILM

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2002-005827 2002-01-15
JP2002005827 2002-01-15

Publications (1)

Publication Number Publication Date
WO2003060978A1 true WO2003060978A1 (en) 2003-07-24

Family

ID=19191151

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2003/000206 WO2003060978A1 (en) 2002-01-15 2003-01-14 Cvd method and device for forming silicon-containing insulation film

Country Status (6)

Country Link
US (1) US7125812B2 (ja)
EP (1) EP1475828A4 (ja)
KR (1) KR100903484B1 (ja)
CN (1) CN100373559C (ja)
TW (1) TWI262959B (ja)
WO (1) WO2003060978A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8546272B2 (en) 2010-04-12 2013-10-01 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, method of processing substrate and substrate processing apparatus

Families Citing this family (363)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4382750B2 (ja) * 2003-01-24 2009-12-16 東京エレクトロン株式会社 被処理基板上にシリコン窒化膜を形成するcvd方法
US20060172556A1 (en) * 2005-02-01 2006-08-03 Texas Instruments Incorporated Semiconductor device having a high carbon content strain inducing film and a method of manufacture therefor
JP4506677B2 (ja) * 2005-03-11 2010-07-21 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4434149B2 (ja) * 2006-01-16 2010-03-17 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4929932B2 (ja) * 2006-09-01 2012-05-09 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP2008078448A (ja) * 2006-09-22 2008-04-03 Hitachi Kokusai Electric Inc 基板処理装置
US20080213479A1 (en) 2007-02-16 2008-09-04 Tokyo Electron Limited SiCN film formation method and apparatus
KR100924653B1 (ko) * 2007-09-13 2009-11-03 피에스케이 주식회사 기판 처리 장치 및 방법
JP2009260151A (ja) * 2008-04-18 2009-11-05 Tokyo Electron Ltd 金属ドープ層の形成方法、成膜装置及び記憶媒体
DE102008034330A1 (de) * 2008-07-23 2010-01-28 Ionbond Ag Olten CVD-Reaktor zur Abscheidung von Schichten aus einem Reaktionsgasgemisch auf Werkstücken
US8622032B2 (en) 2008-09-25 2014-01-07 Mustafa Rez Internal combustion engine with dual-chamber cylinder
US8191517B2 (en) 2008-09-25 2012-06-05 Rez Mustafa Internal combustion engine with dual-chamber cylinder
US8490584B2 (en) * 2008-09-25 2013-07-23 Rez Mustafa Air hybrid engine with dual chamber cylinder
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20110223770A1 (en) * 2010-03-15 2011-09-15 Lam Research Corporation Nitride plasma etch with highly tunable selectivity to oxide
KR101209003B1 (ko) * 2010-10-14 2012-12-06 주식회사 유진테크 3차원 구조의 메모리 소자를 제조하는 방법 및 장치
JP5847566B2 (ja) * 2011-01-14 2016-01-27 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US8465811B2 (en) * 2011-01-28 2013-06-18 Asm Japan K.K. Method of depositing film by atomic layer deposition with pulse-time-modulated plasma
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP5722450B2 (ja) 2011-08-25 2015-05-20 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置および記録媒体
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
JP6030378B2 (ja) * 2012-08-14 2016-11-24 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
JP6022274B2 (ja) * 2012-09-18 2016-11-09 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
TWI479664B (zh) * 2012-12-28 2015-04-01 Ye Xin Technology Consulting Co Ltd 薄膜電晶體及其製作方法與液晶顯示面板
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
JP2015056632A (ja) * 2013-09-13 2015-03-23 東京エレクトロン株式会社 シリコン酸化膜の製造方法
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202142733A (zh) 2020-01-06 2021-11-16 荷蘭商Asm Ip私人控股有限公司 反應器系統、抬升銷、及處理方法
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08227888A (ja) * 1995-02-21 1996-09-03 Sony Corp 誘電体膜の形成方法
EP1172846A2 (en) * 2000-07-12 2002-01-16 Canon Sales Co., Inc. Method of plasma depositing a low dielecric constant insulating film on a copper surface

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1507996A (en) * 1975-06-11 1978-04-19 Pilkington Brothers Ltd Coating glass
GB8630918D0 (en) * 1986-12-24 1987-02-04 Pilkington Brothers Plc Coatings on glass
JPH0499313A (ja) * 1990-08-17 1992-03-31 Shikoku Sogo Kenkyusho:Kk アモルファスシリコン系薄膜及びその製造方法
US6593247B1 (en) * 1998-02-11 2003-07-15 Applied Materials, Inc. Method of depositing low k films using an oxidizing plasma
US6245690B1 (en) * 1998-11-04 2001-06-12 Applied Materials, Inc. Method of improving moisture resistance of low dielectric constant films
JP3132489B2 (ja) * 1998-11-05 2001-02-05 日本電気株式会社 化学的気相成長装置及び薄膜成膜方法
JP2001168092A (ja) 1999-01-08 2001-06-22 Toshiba Corp 半導体装置およびその製造方法
US6436824B1 (en) * 1999-07-02 2002-08-20 Chartered Semiconductor Manufacturing Ltd. Low dielectric constant materials for copper damascene
US6583069B1 (en) * 1999-12-13 2003-06-24 Chartered Semiconductor Manufacturing Co., Ltd. Method of silicon oxide and silicon glass films deposition
US6541367B1 (en) * 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
EP1123991A3 (en) * 2000-02-08 2002-11-13 Asm Japan K.K. Low dielectric constant materials and processes
JP2002009069A (ja) * 2000-06-22 2002-01-11 Canon Sales Co Inc 成膜方法
US6580170B2 (en) * 2000-06-22 2003-06-17 Texas Instruments Incorporated Semiconductor device protective overcoat with enhanced adhesion to polymeric materials
US6764958B1 (en) * 2000-07-28 2004-07-20 Applied Materials Inc. Method of depositing dielectric films
US6537733B2 (en) * 2001-02-23 2003-03-25 Applied Materials, Inc. Method of depositing low dielectric constant silicon carbide layers
US6656371B2 (en) * 2001-09-27 2003-12-02 Micron Technology, Inc. Methods of forming magnetoresisitive devices

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08227888A (ja) * 1995-02-21 1996-09-03 Sony Corp 誘電体膜の形成方法
EP1172846A2 (en) * 2000-07-12 2002-01-16 Canon Sales Co., Inc. Method of plasma depositing a low dielecric constant insulating film on a copper surface

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See also references of EP1475828A4 *

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8546272B2 (en) 2010-04-12 2013-10-01 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, method of processing substrate and substrate processing apparatus
US8946092B2 (en) 2010-04-12 2015-02-03 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, method of processing substrate and substrate processing apparatus

Also Published As

Publication number Publication date
EP1475828A1 (en) 2004-11-10
TW200302294A (en) 2003-08-01
CN100373559C (zh) 2008-03-05
EP1475828A4 (en) 2012-02-22
US20050095770A1 (en) 2005-05-05
CN1692480A (zh) 2005-11-02
TWI262959B (en) 2006-10-01
KR20040081424A (ko) 2004-09-21
KR100903484B1 (ko) 2009-06-18
US7125812B2 (en) 2006-10-24

Similar Documents

Publication Publication Date Title
WO2003060978A1 (en) Cvd method and device for forming silicon-containing insulation film
JP6484478B2 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
JP4961381B2 (ja) 基板処理装置、基板処理方法及び半導体装置の製造方法
US8461059B2 (en) Batch CVD method and apparatus for semiconductor process
US7964516B2 (en) Film formation apparatus for semiconductor process and method for using same
JP5250600B2 (ja) 成膜方法および成膜装置
JP6457101B2 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
JP6086942B2 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
US20080063791A1 (en) Film formation method and apparatus for semiconductor process
JP6529348B2 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
WO2004066377A1 (ja) 被処理基板上にシリコン窒化膜を形成するcvd方法
KR20170106905A (ko) 클리닝 방법, 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
JP6478330B2 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
US10156012B2 (en) Cleaning method, method of manufacturing semiconductor device, substrate processing apparatus, and a non-transitory computer-readable recording medium
JP2016131210A (ja) 半導体装置の製造方法、基板処理装置およびプログラム
JP2017022276A (ja) 半導体装置の製造方法、基板処理装置およびプログラム
JP6470060B2 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
WO2017199570A1 (ja) クリーニング方法、半導体装置の製造方法、基板処理装置およびプログラム
JP2013179321A (ja) 成膜方法および成膜装置
JP2016058489A (ja) 半導体装置の製造方法、基板処理装置およびプログラム
WO2020188801A1 (ja) 半導体装置の製造方法、基板処理装置、およびプログラム
US20190214250A1 (en) Method of Manufacturing Semiconductor Device, Substrate Processing Apparatus and Non-Transitory Computer-Readable Recording Medium
WO2016103317A1 (ja) 半導体装置の製造方法、基板処理装置および記録媒体
JP3915697B2 (ja) 成膜方法及び成膜装置
JP6654232B2 (ja) 半導体装置の製造方法、基板処理装置およびプログラム

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): CN KR US

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PT SE SI SK TR

DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 1020047007468

Country of ref document: KR

WWE Wipo information: entry into national phase

Ref document number: 10500150

Country of ref document: US

WWE Wipo information: entry into national phase

Ref document number: 20038022826

Country of ref document: CN

WWE Wipo information: entry into national phase

Ref document number: 2003701067

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 2003701067

Country of ref document: EP