US20160379826A9 - Capped ald films for doping fin-shaped channel regions of 3-d ic transistors - Google Patents

Capped ald films for doping fin-shaped channel regions of 3-d ic transistors Download PDF

Info

Publication number
US20160379826A9
US20160379826A9 US14/194,549 US201414194549A US2016379826A9 US 20160379826 A9 US20160379826 A9 US 20160379826A9 US 201414194549 A US201414194549 A US 201414194549A US 2016379826 A9 US2016379826 A9 US 2016379826A9
Authority
US
United States
Prior art keywords
dopant
film
precursor
substrate
free
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US14/194,549
Other versions
US9997357B2 (en
US20150249013A1 (en
Inventor
Reza Arghavani
Samantha Tan
Bhadri N. Varadarajan
Adrien Lavoie
Ananda Banerji
Jun Qian
Shankar Swaminathan
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US13/084,305 external-priority patent/US20110256734A1/en
Priority claimed from US13/242,084 external-priority patent/US8637411B2/en
Priority claimed from US13/607,386 external-priority patent/US8956983B2/en
Priority to US14/194,549 priority Critical patent/US9997357B2/en
Application filed by Lam Research Corp filed Critical Lam Research Corp
Assigned to LAM RESEARCH CORPORATION reassignment LAM RESEARCH CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: ARGHAVANI, REZA, TAN, SAMANTHA, QIAN, JUN, VARADARAJAN, BHADRI N, BANERJI, ANANDA, LAVOIE, ADRIEN, SWAMINATHAN, SHANKAR
Priority to TW104106165A priority patent/TWI682438B/en
Priority to KR1020150028413A priority patent/KR102406983B1/en
Priority to CN202011057147.6A priority patent/CN112635563A/en
Priority to CN201510091775.9A priority patent/CN104882381A/en
Publication of US20150249013A1 publication Critical patent/US20150249013A1/en
Publication of US20160379826A9 publication Critical patent/US20160379826A9/en
Priority to US15/976,793 priority patent/US10559468B2/en
Publication of US9997357B2 publication Critical patent/US9997357B2/en
Application granted granted Critical
Priority to US16/556,122 priority patent/US11011379B2/en
Priority to KR1020220068022A priority patent/KR102648013B1/en
Priority to KR1020220068027A priority patent/KR102658989B1/en
Active legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/223Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45529Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making a layer stack of alternating different compositions or gradient compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/2225Diffusion sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/225Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a solid phase, e.g. a doped oxide layer
    • H01L21/2251Diffusion into or out of group IV semiconductors
    • H01L21/2252Diffusion into or out of group IV semiconductors using predeposition of impurities into the semiconductor surface, e.g. from a gaseous phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/66803Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with a step of doping the vertical sidewall, e.g. using tilted or multi-angled implants

Definitions

  • FIG. 1A displays a side view schematic of a traditional planar IC transistor.
  • FIG. 1B displays a cross-sectional view schematic of a traditional planar IC transistor.
  • FIG. 1C displays a perspective view schematic of a modern tri-gate IC transistor employing a fin-shaped channel region.
  • FIG. 1D displays another perspective view schematic of a modern tri-gate IC transistor employing a fin-shaped channel region.
  • FIG. 2A schematically illustrates the shadowing effect which may occur when attempting to dope the channel region of high aspect ratio fin-shaped structures via conventional ion-implantation techniques. This figure illustrates the scenario where the shadowing effect of an adjacent fin structure is increased due to the presence of deposited gate electrode material.
  • FIG. 2B also schematically illustrates the shadowing effect which may occur when attempting to dope the channel region of high aspect ratio fin-shaped structures via conventional ion-implantation techniques. This figure illustrates the scenario where the shadowing effect of an adjacent fin structure is increased due to the presence of a pattern mask layer.
  • FIG. 2C again schematically illustrates the shadowing effect which may occur when attempting to dope the channel region of high aspect ratio fin-shaped structures via conventional ion-implantation techniques.
  • This figure illustrates the scenario where the shadowing effect of an adjacent fin structure is increased due to the presence of deposited gate electrode material and also by the presence of a pattern mask layer.
  • FIG. 3A schematically illustrates a dopant-containing film having a capping film.
  • FIG. 3B schematically illustrates the dopant-containing film of FIG. 3A disposed on a fin-shaped channel region for doping the channel region.
  • FIGS. 4A and 4B display schematics of a dopant-containing borosilicate glass (BSG) films sandwiched between silicon dioxide (SiO 2 ) layers which are used to demonstrate boron dopant diffusion through SiO 2 layers via the SIMS experiments shown in FIG. 4C .
  • BSG dopant-containing borosilicate glass
  • FIG. 4C displays the results of secondary ion mass spectroscopy (SIMS) experiments which demonstrate diffusion of boron dopant through the SiO 2 of the films schematically illustrated in FIGS. 4A and 4B .
  • SIMS secondary ion mass spectroscopy
  • FIG. 5 displays the results of SIMS experiments demonstrating reduced diffusion of boron dopant through silicon carbide (SiC) layers sandwiching a BSG film relative to the dopant diffusion exhibited through SiO 2 exhibited in FIG. 4C .
  • FIG. 6A presents a flowchart schematically illustrating a method of doping a fin-shaped channel region of a partially fabricated 3-D transistor on a semiconductor substrate using a dopant-containing film and a capping film having a silicon carbide material, a silicon nitride material, a silicon carbonitride material, or a combination thereof.
  • FIG. 6B present a flowchart of an atomic layer deposition (ALD) process sequence for depositing a dopant-containing film.
  • ALD atomic layer deposition
  • FIG. 7 schematically illustrates a dopant-containing film similar to that illustrated in FIG. 3A but in FIG. 7 exhibiting a film structure wherein dopant-rich portions are interspersed with substantially dopant-free portions.
  • FIG. 8 schematically illustrates a substrate processing station suitable for performing film-forming ALD operations such as those employed in the methods disclosed herein.
  • FIG. 9 schematically illustrates a multi-station substrate processing tool suitable for performing film-forming ALD operations such as those employed in the methods disclosed herein.
  • IC transistors have employed a planar design wherein components of the transistor—source, drain, and channel—are formed in the surface of the semiconductor substrate, and the gate component is formed as a flat structure atop the channel region of the substrate's surface. More recently, however, the desire for smaller and smaller device sizes has motivated the development of so-called 3-D transistors wherein source, drain, and channel are formed in fin-shaped structures which extend vertically from the substrate surface, generally with a high aspect ratio. With the channel formed in these vertical fin structures, the gate component of a 3-D transistor can be made to wrap around the channel region, substantially increasing the surface area of the channel region relative to its volume exposed directly to the gate voltage.
  • FIG. 1A schematically illustrates a traditional planar IC transistor 100 .
  • On the left in the figure is a side view showing source 120 , channel 130 , and drain 140 formed in a silicon substrate 110 , with gate 150 sitting atop channel 130 separated by gate dielectric 149 .
  • To the right in the figure is a cross-sectional view of the same transistor 100 taken from the point-of-view of the vertical dotted line (as indicated by the horizontal arrow). From both views, it is seen that gate 150 is only located adjacent to one side of channel 130 (separated by gate dielectric 149 ).
  • FIG. 1A schematically illustrates a traditional planar IC transistor 100 .
  • On the left in the figure is a side view showing source 120 , channel 130 , and drain 140 formed in a silicon substrate 110 , with gate 150 sitting atop channel 130 separated by gate dielectric 149 .
  • To the right in the figure is a cross-sectional view of the same transistor 100 taken from the point-of-view of the vertical
  • FIG. 1B provides a simplified illustration of a modern 3-D transistor design 101 with side view (left) and cross-sectional view (right) similar to that shown in FIG. 1A for the planar transistor 100 . It is seen from the side view that source 121 , channel 131 , and drain 141 extend vertically from the plane of the silicon substrate 110 (unlike planar transistor 100 ). However, the cross-sectional view in FIG. 1B (right) shows that gate 151 of 3-D transistor 101 is able to wrap around the channel region 131 from three sides (in contrast to the arrangement of the gate 150 in planar transistor 100 ). This wrapping of the gate around the vertical fin structure is further illustrated in FIG.
  • FIG. 1C (again showing 3-D transistor 101 with source 121 , drain 141 , and gate 151 , although channel 131 is obscured by the gate); and FIG. 1D illustrates how multiple 3-D transistors 101 formed from parallel vertical fin structures may be wrapped by a 3-D gate component 151 .
  • This fundamental shift in transistor architecture from planar to 3-D designs has created challenges for IC fabrication, and to optimally address these challenges new fabrication techniques must be developed.
  • the methods include forming a dopant-containing film on the substrate, forming a capping film located such that the dopant-containing film is located in between the substrate and the capping film, and driving dopant from the dopant-containing film into the fin-shaped channel region.
  • the capping film includes a silicon carbide material, a silicon nitride material, a silicon carbonitride material, or a combination thereof.
  • multiple dopant-containing layers of the dopant-containing film are formed by an atomic layer deposition process which includes adsorbing a dopant-containing film precursor onto the substrate such that the precursor forms an adsorption-limited layer, removing at least some unadsorbed dopant-containing film precursor from the volume surrounding the adsorbed precursor, reacting adsorbed dopant-containing film precursor to form a dopant-containing layer on the substrate, removing desorbed dopant-containing film precursor and/or reaction by-product from the volume surrounding the dopant-containing layer when present after reacting the adsorbed precursor, and repeating this process sequence to form multiple dopant-containing layers of the dopant-containing film.
  • the films may include first and second dopant-rich portions, first and second substantially dopant-free portions, and a capping film including a silicon carbide material, a silicon nitride material, a silicon carbonitride material, or a combination thereof.
  • the first dopant-rich portion of the film may be formed by conformally depositing multiple dopant-containing layers sequentially, without intervening deposition of a substantially dopant-free layer
  • the second dopant rich portion may also be formed by conformally depositing multiple dopant-containing layers sequentially, without intervening deposition of a substantially dopant-free layer.
  • the first substantially dopant-free portion of the film may be formed by conformally depositing multiple substantially dopant-free layers sequentially, without intervening deposition of a dopant-containing layer
  • the second substantially dopant-free portion of the film may also be formed by conformally depositing multiple substantially dopant-free layers sequentially, without intervening deposition of a dopant-containing layer.
  • the portions of the films may be located such that the first substantially dopant-free portion is located between the first and second dopant-rich portions, the second dopant-rich portion is located between the first and second substantially dopant-free portions, and the layer of capping film is located such that the first and second dopant-rich portions and the first and second substantially dopant-free portions are in between the substrate and the capping film.
  • the apparatuses include a plurality of process stations having a substrate holder contained in one or more processing chambers, one or more valves for controlling flow of dopant-containing film precursor to the process stations, one or more valve-operated vacuum sources for removing dopant-containing film precursor from the volumes surrounding the process stations contained in the one or more processing chambers, and one or more controllers having, and/or having access to, machine-readable instructions for operating the one or more valves and one or more vacuum sources to dope the fin-shaped channel regions on the surfaces of the substrates.
  • the capping film includes a silicon carbide material, a silicon nitride material, a silicon carbonitride material, or a combination thereof.
  • the multiple dopant-containing layers of the film are formed according to the instructions by an atomic layer deposition process including introducing a dopant-containing film precursor into the processing chamber containing the process station having the substrate holder holding the substrate, and allowing the precursor to adsorb onto the surface of the substrate such that the precursor forms an adsorption-limited layer on the substrate, removing unadsorbed dopant-containing film precursor from the volume surrounding the adsorbed precursor, reacting adsorbed dopant-containing film precursor to form a dopant-containing layer on the substrate, removing desorbed dopant-containing film precursor and/or reaction by-product from the volume surrounding the dopant-containing layer when present after reacting the adsorbed precursor, and repeating this process sequence to form multiple dopant-containing layers of the dopant-containing film.
  • the semi-conductive region between source and drain in an IC transistor is referred to as the channel or the transistor's channel region.
  • Electrical potential applied by the gate to the channel region affects its polarization and conductivity, effectively switching the transistor from ‘on’ to ‘off’ states and vice versa. Accordingly, channel conductivity and the ability to consistently adjust it via the gate are key aspects of IC transistor design and fabrication. In the fabrication of traditional planar IC transistors, ion implantation techniques are generally employed to dope the channel region and adjust its conductivity to a desired level.
  • FIG. 2A provides a cross-sectional view (analogous to that shown in FIG. 1B ) of four fin-shaped channel regions 231 , 232 , 233 , 234 of four 3-D transistors arranged in parallel (similar to that shown in FIG. 1D ).
  • Two of the channels 233 , 234 have had gate material 251 applied to them.
  • Schematically indicated in the figure are the possible incident angles of ion-flux which will reach the base of channels 231 and 232 —clearing the adjacent vertical structure—and thus may be used to uniformly dope the side of each channel.
  • ‘Angle 1 ’ in the figure shows that only a small range of incident angles will reach the base of channel 231 due to the shadowing effect of adjacent channel 232 .
  • Doping the sides of the channels obviously requires that the ion-flux have some horizontal component (so as to not just bombard the top), but the figure illustrates that if the horizontal component is too great the side of the channel will be differentially bombarded—with more ions reaching the upper portions than the lower portions.
  • uniform bombardment requires that incident ion-flux angles be restricted to a range between zero and Angle 1 .
  • Angle 1 represents a relatively narrow range of ion fluxes difficult to achieve consistently due to, for example, electromagnetic field fluctuations in the plasma generating the ions, intra-ion collisions in the collimated ion flux, etc.
  • Angle 2 also indicated in FIG. 2A , shows that the problem is amplified for channel 232 due to the presence of gate material 251 atop adjacent channel 233 .
  • FIG. 2B illustrates that the problem is similarly amplified for channel 232 if the adjacent channel 233 is masked with a layer of resist material 255 .
  • FIG. 2A shows that the problem is amplified for channel 232 due to the presence of gate material 251 atop adjacent channel 233 .
  • FIG. 2B illustrates that the problem is similarly amplified for channel 232 if the adjacent channel 233 is masked with a layer of resist material 255 .
  • FIG. 2C illustrates that the problem is greatly exacerbated if adjacent channel 233 is masked with a layer of resist material 255 atop an already present layer of gate material 251 —compare Angle 3 in FIG. 2C with Angle 2 in FIGS. 2A and 2B .
  • FIGS. 3A and 3B illustrate the basic idea of a dopant-containing film 310 combined with a capping film 320 .
  • FIG. 3B illustrates this film conformally deposited on a vertical fin-shaped channel structure 131 . Because the film substantially conforms to the shape of the target structure, diffusive transfer of dopant from the film to the target structure, e.g.
  • microelectronics and optoelectronics based on III-V semiconductors such as GaAs, and II-VI semiconductors such as HgCdTe, as well as photovoltaics, flat panel displays, and electrochromic technology.
  • conformal doping may offer distinct advantages in terms of the potential for uniform vertical doping of the target structure (e.g., versus ion implantation techniques)
  • uniform doping for example with boron or phosphorous, at concentrations relevant to IC transistor fabrication have proven difficult to achieve.
  • the root of this problem has been investigated and, without being limited to a particular theory, it is thought that it stems from rapid back-diffusion of dopant out of the films before sufficient dopant can be driven into the target channel region.
  • lack of dopant uniformity and/or sufficient concentration in the deposited conformal film due to back-diffusion appears to result in a lack of sufficient uniformity and/or concentration of dopant in the channel region.
  • FIGS. 4A, 4B, and 4C The problem is demonstrated by the experiments illustrated in FIGS. 4A, 4B, and 4C .
  • the experiments involve conformal deposition of boron-containing films—in particular, borosilicate glass (BSG) films—on the front and back sides of a silicon wafer.
  • BSG borosilicate glass
  • the front-side and back-side boron-containing films are illustrated in FIGS. 4A and 4B , respectively, and as shown in the figures, each includes a dopant-containing borosilicate glass film sandwiched between two silicon dioxide (SiO 2 ) layers.
  • the SiO 2 film formed on the side of the BSG film opposite the silicon wafer may be referred to as a “capping layer” or “capping film,” though it should be understood that these terms do not necessarily imply that the referred to film/layer is the uppermost film/layer relative to the wafer. Instead, these terms as used herein simply imply that some dopant-containing film is located between the “capping” film/layer and the substrate.
  • FIGS. 4A and 4B indicate that the dopant-containing films were formed via an ALD (atomic layer deposition) process and that the BSG film was deposited to a thickness about double that of the sandwiching SiO 2 films (100 versus 50 Angstroms, respectively). After deposition, the films were annealed to accelerate the rate of diffusion of boron dopant out of the BSG film and into the adjacent films and wafer substrate.
  • ALD atomic layer deposition
  • FIG. 5 presents SIMS results for a boron dopant-containing film having a SiC capping film which are analogous to the SIMS results presented in FIG. 4 for a SiO 2 capping film.
  • the structure of the SiC-capped films are the same as the films schematically illustrated in FIGS. 4A and 4B but with the substitution of SiC for SiO 2 .
  • FIG. 5 shows that the boron peak appearing in the top SiC film adjacent to the BSG film is substantially reduced relative to the boron peak at the same location in the SiO 2 film of FIG. 4 , thereby confirming that a SiC capping film does a substantially better job of preventing boron back-diffusion than an equivalent capping film formed from SiO 2 material.
  • a capping film comprising a silicon carbide (SiC) material, a silicon nitride material (SiN), a silicon carbonitride (SiCN) material, or a combination thereof. So as to reduce or prevent dopant diffusion, the SiC/SiN/SiCN capping film is located such that at least a portion of the dopant-containing film is located in between the substrate and the capping film.
  • the capping film may block diffusion of dopant out of the dopant-containing film and away from the fin-shaped channel region by 20% or more, or 30% or more, or 40% or more, or 50% or more, or 60% or more, or 70% or more, or 80% or more, or 90% or more relative to the rate of diffusion during the same thermal anneal conditions in the absence of the capping film.
  • the methods begin with an operation 610 of forming a dopant-containing film on a substrate having multiple dopant-containing layers formed by an ALD process.
  • the methods then proceed with an operation 620 of forming a capping film containing a silicon carbide material, a silicon nitride material, a silicon carbonitride material, or a combination thereof, wherein (as indicated above) the capping film is located such that the dopant-containing film is located in between the substrate and the capping film.
  • the transfer of dopant to the fin-shaped channel region of the 3-D transistor occurs in an operation 630 involving driving dopant from the dopant-containing film into the channel region.
  • a thermal anneal may be used for this purpose, for example.
  • a laser anneal, spike anneal, laser spike anneal, rapid thermal processing (RTP), rapid thermal anneal (RTA), or millisecond anneal may be used.
  • the driving of dopant into the channel region is achieved within a “low thermal budget” by generating a high temperature, but for just a short time.
  • the dopant may be boron. In other embodiments, the dopant may be phosphorous or arsenic.
  • dopant species may also be advantageously transferred to a target structure/region on a semiconductor substrate utilizing these techniques.
  • at least a portion of the dopant containing film and/or capping film may be removed after dopant is driven into the target structure/region.
  • ALD atomic layer deposition
  • ALD processes use surface-mediated deposition reactions to deposit films on a layer-by-layer basis.
  • a first film precursor (P 1 ) is introduced in a processing chamber in the gas phase, is exposed to a substrate, and is allowed to adsorb onto the surface of the substrate (typically at a population of surface active sites).
  • Some molecules of P 1 may form a condensed phase atop the substrate surface, including chemisorbed species and physisorbed molecules of P 1 .
  • the volume surrounding the substrate surface is then evacuated to remove gas phase and physisorbed P 1 so that only chemisorbed species remain.
  • a second film precursor (P 2 ) may then be introduced into the processing chamber so that some molecules of P 2 adsorb to the substrate surface.
  • the volume surrounding the substrate within the processing chamber may again be evacuated, this time to remove unbound P 2 .
  • energy provided to the substrate e.g., thermal or plasma energy
  • the volume surrounding the substrate is again evacuated to remove unreacted P 1 and/or P 2 and/or reaction by-product, if present, ending a single cycle of ALD.
  • a basic ALD cycle for depositing a single layer of material on a substrate may include: (i) adsorbing a film precursor onto a substrate such that it forms an adsorption-limited layer, (ii) removing unadsorbed precursor from the volume surrounding the adsorbed precursor, (iii) reacting the adsorbed-precursor to form a layer of film on the substrate, and (iv) removing desorbed film precursor and/or reaction by-product from the volume surrounding the layer of film formed on the substrate.
  • the removing in operations (ii) and (iv) may be done via purging, evacuating, pumping down to a base pressure (“pump-to-base”), etc. the volume surrounding the substrate.
  • this basic ALD sequence of operations (i) through (iv) doesn't necessary involve two chemiadsorbed reactive species P 1 and P 2 as in the example described above, nor does it even necessarily involve a second reactive species, although these possibilities/options may be employed, depending on the desired deposition chemistries involved.
  • each ALD cycle may deposit a film layer only about 0.5 to 3 Angstroms thick.
  • operations (i) through (iv) are repeated consecutively at least 1 time, or at least 2 times, or at least 3 times, or at least 5 times, or at least 7 times, or at least 10 times in a row.
  • Dopant ALD film may be deposited at a rate of about or between 0.1 ⁇ and 2.5 ⁇ per ALD cycle, or about or between 0.2 ⁇ and 2.0 ⁇ per ALD cycle, or about or between 0.3 ⁇ and 1.8 ⁇ per ALD cycle, or about or between 0.5 ⁇ and 1.5 ⁇ per ALD cycle, or about or between 0.1 ⁇ and 1.5 ⁇ per ALD cycle, or about or between 0.2 ⁇ and 1.0 ⁇ per ALD cycle, or about or between 0.3 ⁇ and 1.0 ⁇ per ALD cycle, or about or between 0.5 ⁇ and 1.0 ⁇ per ALD cycle.
  • an auxiliary reactant or co-reactant in addition to what is referred to as the “film precursor”—may also be employed.
  • the auxiliary reactant or co-reactant may be flowed continuously during a subset of steps (i) through (iv) or throughout each of steps (i) through (iv) as they are repeated.
  • this other reactive chemical species may be adsorbed onto the substrate surface with the film precursor prior to its reaction with the film precursor (as in the example involving precursors P 1 and P 2 described above), however, in other embodiments, it may react with the adsorbed film precursor as it contacts it without prior adsorption onto the surface of the substrate, per se.
  • operation (iii) of reacting the adsorbed film precursor may involve contacting the adsorbed film precursor with a plasma. The plasma may provide energy to drive the film-forming reaction on the substrate surface.
  • the plasma may be an oxidative plasma generated in the reaction chamber with application of suitable RF power (although in some embodiments, it may be generated remotely).
  • an inert plasma instead of an oxidative plasma, an inert plasma may be used.
  • the oxidizing plasma may be formed from one or more oxidants such as O 2 , N 2 O, or CO 2 , and may optionally include one or more diluents such as Ar, N 2 , or He.
  • the oxidizing plasma is formed from O 2 and Ar.
  • a suitable inert plasma may be formed from one or more inert gases such as He or Ar. Further variations on ALD processes are described in detail in the prior patent applications just cited (and which are incorporated by reference).
  • an ALD process for forming multiple dopant-containing layers of film on a substrate may begin with an operation 611 of adsorbing a dopant-containing film precursor onto the substrate such that the precursor forms an adsorption-limited layer on the substrate, followed by an operation 612 of removing at least some unadsorbed dopant-containing film precursor from the volume surrounding the adsorbed precursor.
  • the adsorbed dopant-containing film precursor is reacted to form a dopant-containing layer on the substrate, and following that, in operation 614 , desorbed dopant-containing film precursor and/or reaction by-product is removed from the volume surrounding the dopant-containing layer when present after reacting the adsorbed precursor in operation 613 .
  • the foregoing sequence of operations 611 through 614 represents once ALD cycle. However, since a single ALD cycle typically only deposits a thin layer of film, multiple ALD cycles may be repeated in sequence to form multiple layers of dopant-containing film (or, equivalently, a multi-layer dopant containing film of the desired thickness). Thus, referring again to FIG. 6B , after an ALD cycle concludes with operation 614 , in operation 615 , it is determined whether a sufficient number of layers of dopant-containing film have been formed (or whether a film of a sufficient thickness has been deposited), and if so, the film-forming operations conclude, whereas if not, the process sequence returns to operation 611 to begin another cycle of ALD. It is noted that FIGS.
  • 6A and 6B may be viewed in combination as a method of doping a channel region of a 3-D transistor with operation 610 ( FIG. 6A ) of forming a dopant-containing film including the ALD process sequence of operations 611 through 615 ( FIG. 6B ).
  • a dopant-containing film for doping the fin-shaped channel region of a partially fabricated 3-D transistor may include dopant-rich portions as well as substantially dopant-free portions (in addition to the capping film which may be dopant-free).
  • a dopant-containing film may include a first dopant-rich portion formed by conformally depositing multiple dopant-containing layers sequentially, without intervening deposition of a substantially dopant-free layer, and a first substantially dopant-free portion formed by conformally depositing multiple substantially dopant-free layers sequentially, without intervening deposition of a dopant-containing layer.
  • One reason for doing this arises from the fact that, in some cases, it has been found that depositing dopant-containing film layers sequentially, using sequential ALD cycles, may be self-inhibiting (at least to a certain extent in some embodiments), and the growth rate may continue to drop after more and more dopant-rich layers have been deposited. For example, in one experiment, the total thickness of a B 2 O 3 film deposited using sequential ALD cycles was found to not significantly change between the 50th ALD cycle and the 100th ALD cycle.
  • the quantity of dopant in an ALD-formed conformal film may not be effectively increased by sequentially depositing additional dopant-rich layers.
  • a dopant-containing film may additionally include a second dopant-rich portion (also formed by conformally depositing multiple dopant-containing layers sequentially, without intervening deposition of a substantially dopant-free layer), and also a second substantially dopant-free portion of the film (again, formed by conformally depositing multiple substantially dopant-free layers sequentially, without intervening deposition of a dopant-containing layer); and these first and second, dopant-rich and substantially dopant-free portions may be arranged such that they alternate with one another by composition—e.g., the first substantially dopant-free portion is located between the first and second dopant-rich portions, the second dopant-rich portion is located between the first and second substantially dopant-free portions.
  • the capping film may be located such that the first and second dopant-rich portions and the first and second substantially dopant-free portions are in between it and the substrate.
  • FIG. 7 provides a schematic of one such example film 700 having dopant-rich portions 710 alternating with substantially dopant-free portions 720 , and also having a SiC/SiN/SiCN capping film 730 .
  • the dopant-rich portions of such films may be formed in multiple layers by multiple ALD cycles as described above, e.g., with respect to FIG. 6B .
  • multiple ALD cycles may also be used to form multiple layers of the substantially dopant-free portions of such films, albeit using a dopant-free (instead of a dopant-containing) film precursor.
  • forming each of the first and second substantially dopant-free portions just described e.g., see FIG.
  • 7 may include (i) adsorbing a dopant-free film precursor onto the substrate such that the precursor forms an adsorption-limited layer, thereafter (ii) removing unadsorbed dopant-free film precursor from the volume surrounding the adsorbed precursor, then (iii) reacting the adsorbed dopant-free film precursor after removing unadsorbed precursor to form a substantially dopant-free layer on the substrate, and finally (iv) removing desorbed dopant-free film precursor and/or reaction by-product from the volume surrounding the substantially dopant-free layer when present after reacting the adsorbed precursor.
  • multiple ALD cycles may be used to form multiple layers, and so the foregoing sequence of operations (i) through (iv) may be repeated multiple times to form multiple substantially dopant-free layers of the dopant-containing film.
  • these substantially dopant-free layers may include a dielectric material, and that, in certain such embodiments, the dielectric material may be SiO 2 .
  • conformal films having portions of alternating composition including films used for doping an underlying target IC structure or substrate region—as well as methods of forming these films, are described in detail in: U.S. patent application Ser. No. 13/084,399, filed Apr. 11, 2011, and titled “PLASMA ACTIVATED CONFORMAL FILM DEPOSITION” (Attorney Docket No. NOVLP405); U.S. patent application Ser. No. 13/242,084, filed Sep. 23, 2011, and titled “PLASMA ACTIVATED CONFORMAL DIELECTRIC FILM DEPOSITION,” now U.S. Pat. No. 8,637,411 (Attorney Docket No.
  • the capping film may also be formed via an ALD process.
  • multiple layers of the capping film may be deposited via the sequence of operations (i) through (iv) (just described) repeated multiple times to form multiple layers of the capping film.
  • substantially dopant-free layers of SiO 2 may be interspersed with the dopant-rich portions of the film, for the reasons described above with respect to FIGS. 4 and 5
  • the capping film would typically be composed of a material suitable for blocking dopant back-diffusion such as SiC, SiN, SiCN, or a combination thereof.
  • a chemical vapor deposition (CVD) process may be used to form the capping film, and in certain such embodiments, a plasma-enhanced chemical vapor deposition (PECVD) process.
  • CVD/PECVD processes proceed via gas phase reactions rather than through the formation of an adsorption-limited layer of reactants, they produce less conformal films than ALD techniques, and therefore ALD processes are generally preferred for forming the capping films described herein.
  • the capping film will generally have a sufficient concentration of SiC, SiN, SiCN, or combination thereof, and be formed of sufficient thickness to block back-diffusion of dopant to the desired extent feasible based on the chemistries and rates of diffusion involved.
  • the average concentration of SiC in the capping film may be between about 1 and 4 g/cm 3 , or between about 2 and 3 g/cm 3 , or between about 2.2 and 2.8 g/cm 3 .
  • the average concentration of SiCN in the capping film may be between about 1 and 4 g/cm 3 , or between about 2 and 3 g/cm 3 , or between about 2.2 and 2.8 g/cm 3
  • the average concentration of SiN in the capping film may be between about 1 and 4 g/cm 3 , or between about 2 and 3 g/cm 3 , or between about 2.2 and 2.8 g/cm 3 .
  • the average combined concentration of SiC, SiN, and SiCN in the capping film may be between about 1 and 4 g/cm 3 , or between about 2 and 3 g/cm 3 , or between about 2.2 and 2.8 g/cm 3 .
  • a capping film may be formed having an average thicknesses of about 1, 2, 3, 5, 10, 20, 30, 40, 50, 100, 150, 200, 300, or 500 Angstroms, or the capping film corresponding to a given embodiment may have an average thickness within a range defined on the low end and high end by any pair of the aforementioned thicknesses such as, for example, a capping film may have an average thickness between about 1 and 500 Angstroms, or between about 5 and 200 Angstroms, or between about 10 and 100 Angstroms.
  • the capping film may be a substantially conformal film—such as, for example, if it is formed via an ALD process—and thus it may be of relatively consistent thickness, quantifiable, for example, by the relative standard deviation in the film's thickness.
  • the relative standard deviation in its thickness may be less than about 20%, or less than about 15%, or less than about 10%, or less than about 5%, or less than about 4%, or less than about 3%, or less than about 2%, or less than about 1%, or even less than about 0.1%.
  • suitable temperatures within an ALD reaction chamber may range from between about 25° C. and 450° C., or between about 50° C. and 300° C., or between about 20° C. and 400° C., or between about 200° C. and 400° C., or between about 100° C. and 350° C.
  • ALD processes for forming the dopant-containing films and/or capping films may be performed at various ALD reaction chamber pressures.
  • suitable pressures within the reaction chamber may range from between about 10 mTorr and 10 Torr, or between about 20 mTorr and 8 Torr, or between about 50 mTorr and 5 Torr, or between about 100 mTorr and 2 Torr.
  • RF power levels may be employed to generate a plasma if used in operation (iii).
  • suitable RF power may range from between about 100 W and 10 kW, or between about 200 W and 6 kW, or between about 500 W, and 3 kW, or between about 1 kW and 2 kW.
  • suitable flow rates may range from about or between 0.1 mL/min to 10 mL/min, or about or between 0.5 mL/min and 5 mL/min, or about or between 1 mL/min and 3 mL/min.
  • gas flow rates may be used in the various operations.
  • general gas flow rates may range from about or between 1 L/min and 20 L/min, or about or between 2 L/min and 10 L/min.
  • an employed burst flow rate may range from about or between 20 L/min and 100 L/min, or about or between 40 L/min and 60 L/min.
  • a pump-to-base step refers to pumping the reaction chamber to a base pressure by directly exposing it to one or more vacuum pumps.
  • the base pressure may typically be only a few milliTorr (e.g., between about 1 and 20 mTorr).
  • a pump-to-base step may or may not be accompanied by an inert purge, and thus carrier gases may or may not be flowing when one or more valves open up the conductance path to the vacuum pump.
  • Capping films may preferably contain a silicon carbide (SiC) material, a silicon nitride (SiN) material, a silicon carbonitride (SiCN) material, or a combination thereof.
  • SiC silicon carbide
  • SiN silicon nitride
  • SiCN silicon carbonitride
  • Silicon-containing film precursors which may be selected from a variety of compounds.
  • Silicon-carbon-containing films e.g., silicon carbides, silicon-carbon-oxides, silicon carbonitrides, and silicon-carbon-oxynitrides
  • silicon-containing film precursors such as organosilicon reactants selected and supplied to provide desired composition properties, and in some cases, physical or electronic properties.
  • organo-silicon reactants/film-precursors may include silanes, alkyl silanes, siloxanes, alkoxy silanes, and amino silanes, among others.
  • silanes non-limiting examples include silane, disilane, trisilane, and higher silanes.
  • these compounds include a central silicon atom with one or more alkyl groups bonded to it as well as one or more hydrogen atoms bonded to it. In certain embodiments, any one or more of the alkyl groups contain 1-5 carbon atoms.
  • the alkyl groups may be saturated or unsaturated. In some embodiments, these alkyl groups may be used to SiC films.
  • Non-limiting examples of alkyl silanes include dimethylsilane (2MS), trimethylsilane (3MS), tetramethylsilane (4MS), triethylsilane (TES), and pentamethyldisilamethane.
  • alkyl silanes can include alkylcarbosilanes, alkylaminosilanes, and alkyldisilazanes.
  • Alkylcarbosilanes can have a branched polymeric structure with a carbon bonded to a silicon atom as well as alkyl groups bonded to a silicon atom. Examples include dimethyl trimethylsilyl methane (DTMSM) and bis-dimethylsilyl ethane (BDMSE).
  • Alkylaminosilanes include amines with alkyl groups and bonded to a silicon atom.
  • Examples include dimethylamino dimethylsilane (DMADMS), bis-dimethylamino methylsilane (BDMAMS), and tris-dimethylamino silane (TDMAS).
  • these alkyl silanes can form SiCN films.
  • Alkyldisilazanes include silizanes and alkyl groups bonded to two silicon atoms.
  • An example includes 1,1,3,3-tetramethyldisilazane (TMDSN).
  • TMDSN can form SiCN films.
  • HMDS hexamethyldisilane
  • PMDS pentamethyldisilane
  • one of the silicon atoms can have a carbon-containing or alkoxy-containing group exclusively attached to it, and one of the silicon atoms can have a hydrogen atom exclusively attached to it.
  • the siloxane may be cyclic.
  • Cyclic siloxanes may include cyclotetrasiloxanes, such as 2,4,6,8-tetramethylcyclotetrasiloxane (TMCTS), octamethylcyclotetrasiloxane (OMCTS), and heptamethylcyclotetrasiloxane (HMCTS).
  • Other cyclic siloxanes may include, but are not limited to, cyclotrisiloxanes and cyclopentasiloxanes.
  • Cyclic siloxanes are ring structures that may introduce porosity into a SiC film, with the size of the pores corresponding to the radius of the ring.
  • a cyclotetrasiloxane ring can have a radius of about 6.7 Angstroms.
  • the siloxane may have a three-dimensional or caged structure.
  • Caged siloxanes have silicon atoms bridged to one another via oxygen atoms to form a polyhedron or any 3-D structure.
  • An example of a caged siloxane precursor molecule is silsesquioxane. Caged siloxane structures are described in further detail in commonly owned U.S. Pat. No.
  • the caged siloxane can introduce porosity into a SiC film.
  • the porosity scale is mesoporous.
  • the siloxane may be linear. Linear siloxanes may include, but are not limited to, disiloxanes, such as pentamethyldisiloxane (PMDSO), tetramethyldisiloxane (TMDSO), and hexamethyl trisiloxane. PMDSO and TMDSO may be used to form SiOC films.
  • the structural configuration (that is, linear, cyclic, or caged) of the siloxane may affect film porosity properties.
  • cyclic siloxanes may form microporous films having pores sized according to the cyclic ring size
  • caged siloxanes may form mesoporous films.
  • Silicon-carbon-containing films may also include oxygen atoms (e.g., silicon-carbon-oxides and silicon-carbon-oxynitrides) and these may also be formed using siloxanes (e.g., those listed above), as well as other organosilicon reactants that include oxygen, such as the alkoxy silanes.
  • the alkoxy silanes include a central silicon atom with one or more alkoxy groups bonded to it and one or more hydrogen atoms bonded to it.
  • TMOS trimethoxysilane
  • DMOS dimethoxysilane
  • MOS methoxysilane
  • MDMOS methyldimethoxysilane
  • DEMS diethoxymethylsilane
  • DMES dimethylethoxysilane
  • DAMES dimethylaminomethoxysilane
  • DMOS dimethylmethoxysilane
  • Silicon-carbon-containing films may also include nitrogen atoms (e.g., silicon-carbon-nitrides and silicon-carbon-oxynitrides) and may be formed using an organosilicon reactant that includes nitrogen, such as amino silanes and silazanes.
  • amino silanes include 2,2-bis(dimethylamino)-4,4-dimethyl-2,4-disilapentane, 2,2,4-trimethyl-4-dimethylamino-3,4-disilapentane, dimethylaminodimethylsilane, bis(dimethylamino)methylsilane, and tris(dimethylamino)silane.
  • 1,1,3,3-tetramethyldisilazane is a non-limiting example of a silazane.
  • aminosilanes are mono-, di-, tri- and tetra-aminosilane (H 3 Si(NH 2 ) 4 , H 2 Si(NH 2 ) 2 , HSi(NH 2 ) 3 and Si(NH 2 ) 4 , respectively), as well as substituted mono-, di-, tri- and tetra-aminosilanes, for example, t-butylaminosilane, methylaminosilane, tert-butylsilanamine, bis(tertiarybutylamino)silane (SiH 2 (NHC(CH 3 ) 3 ) 2 (BTBAS), tert-butyl silylcarbamate, SiH(CH 3 )—(N(CH 3 ) 2 ) 2 , SiHCl—(N(CH 3 ) 2 ) 2 , (Si(CH 3
  • a film-precursor may include multiple chemical groups combined into a single precursor.
  • a single precursor can include alkoxy, amino, and alkyl groups, such as DMADMS.
  • multiple organo-silicon film precursors may be present in the process gas.
  • a siloxane and an alkyl silane may be used together, or a siloxane and an alkoxy silane may be used together.
  • the relative proportions of the individual precursors can be chosen based on the chemical structures of precursors chosen and the application of the resulting SiC film.
  • the amount of siloxane can be greater than the amount of silane in molar percentages to produce a porous film.
  • silicon nitrides SiN
  • silicon carbonitrides SiCN
  • an appropriate silicon-containing reactant/film-precursor such as those described above, may be used in conjunction with a nitrogen-containing co-reactant.
  • Non-limiting examples of nitrogen-containing co-reactants which may be used include ammonia, hydrazine, amines such as methylamine, dimethylamine, ethylamine, isopropylamine, t-butylamine, di-t-butylamine, cyclopropylamine, sec-butylamine, cyclobutylamine, isoamylamine, 2-methylbutan-2-amine, trimethylamine, diisopropylamine, diethylisopropylamine, di-t-butylhydrazine, as well as aromatic containing amines such as anilines, pyridines, and benzylamines.
  • amines such as methylamine, dimethylamine, ethylamine, isopropylamine, t-butylamine, di-t-butylamine, cyclopropylamine, sec-butylamine, cyclobutylamine, isoamylamine, 2-methylbutan-2-
  • Amines may be primary, secondary, tertiary or quaternary (for example, tetraalkylammonium compounds).
  • a nitrogen-containing co-reactant contains at least one nitrogen, but may also contain heteroatoms other than nitrogen.
  • heteroatoms such as hydroxylamine, t-butyloxycarbonyl amine, and N-t-butyl hydroxylamine are considered nitrogen-containing reactants.
  • an appropriate silicon-containing reactant/film-precursor as described above may be used in conjunction with an appropriate oxidizing reactant.
  • oxidizing reactants include oxygen, ozone, hydrogen, nitrous oxide, carbon monoxide, mixtures thereof, etc.
  • an oxide film may be deposited by an ALD process using bis(tert-butylamino)silane (BTBAS) as a silicon-containing film precursor in conjunction with oxygen or nitrous oxide serving as an oxidant, e.g. in ALD operation (iii), which may or may not, depending on the embodiment, flow continuously during delivery of the BTBAS (in ALD operation (i)).
  • BBAS bis(tert-butylamino)silane
  • various dopant-containing film precursors may be used for forming the dopant-containing films, such as films of boron-doped silicate glass (BSG) (used as the dopant-containing film in the examples of FIGS. 4 and 5 above), phosphorous-doped silicate glass (PSG), boron phosphorus doped silicate glass (BPSG), arsenic (As) doped silicate glass (ASG), and the like.
  • the dopant-containing films may include B 2 O 3 , B 2 O, P 2 O 5 , P 2 O 3 , As 2 O 3 , As 2 O 5 , and the like.
  • One preferred dopant-containing film precursor where the dopant is boron is trimethyl borate (TMB).
  • TMB trimethyl borate
  • Other suitable boron-containing film precursors may include: other alkyl borates such as triethyl borate, triisopropyl borate, and tri-n-butyl borate, as well as trimethylboron, triethylboron, triphenylboron, tri-i-propyl borate, tri-n-amyl borate, B-tribromoborazine, tris(pentafluorophenyl)borane, and other similar boron containing compounds.
  • alkyl borates such as triethyl borate, triisopropyl borate, and tri-n-butyl borate, as well as trimethylboron, triethylboron, triphenylboron, tri-i-propyl borate, tri-n-amyl borate, B-tribrom
  • dopant-containing films having dopants other than boron are also feasible.
  • examples include gallium, phosphorous, or arsenic dopants, or other elements appropriate for doping a semiconductor substrate, such as other valence III and V elements.
  • Particular dopant-containing films having arsenic as the dopant may include, but are not limited to, arseno-silicate or arsenic-doped silicate glass (ASG), arsenic oxides (e.g., As 2 O 3 , As 2 O 5 ), and arsenic oxyhydrides.
  • ASG arseno-silicate or arsenic-doped silicate glass
  • arsenic oxides e.g., As 2 O 3 , As 2 O 5
  • arsenic oxyhydrides arseno-silicate or arsenic-doped silicate glass
  • Dopant-containing film precursors having arsenic as the dopant may include, but are not limited to, the alkylarsine, alkoxyarsine, and aminoarsine chemical families, and include, but are not limited to, the following specific compounds: arsine, triethylaresenate, trimethylarsine, triethylarsine, triphenylarsine, triphenylarsine oxide, ethylenebis(diphenylarsine), tris(dimethylamino)arsine, and As(OR) 3 where R is —CH 3 or —C 2 H 5 or other alkyl groups (including saturated and unsaturated alkyl groups), and other similar arsenic containing compounds.
  • Particular dopant-containing films having phosphorous as the dopant may include, but are not limited to, phosphorus-doped silicate glass (PSG), and phosphorous oxides (e.g., P 2 O 5 , P 2 O 3 ).
  • Dopant-containing film precursors having phosphorous as the dopant may include, but are not limited to, triethoxyphosphine oxide, alkyl phosphates such as trimethylphosphate, trimethylphosphite, and other similar phosphorous containing compounds. Choice of dopant precursor is typically dictated by ease of integration into existing delivery systems, purity requirements, and overall cost.
  • the dopant-containing film precursor may be used in combination with a silicon-containing film precursor or other co-reactant.
  • Silicon-containing film precursors that may be used for this purpose include, but are not limited to, silane (SiH 4 ), disilane (Si 2 H 6 ), and organo silanes such as methylsilane, ethylsilane, isopropylsilane, t-butylsilane, dimethylsilane, diethylsilane, di-t-butylsilane, allylsilane, sec-butylsilane, thexylsilane, isoamylsilane, t-butyldisilane, di-t-butyldisilane, and the like.
  • Other silicon-containing film precursors listed above as applicable to capping-layer formation may also be used for dopant-containing film formation, depending on the embodiment.
  • each layer may have substantially the same composition whereas in other embodiments, sequentially ALD deposited layers may have differing compositions, or in certain such embodiments, the composition may alternate from layer to layer or there may be a repeating sequence of layers having different compositions, as described above.
  • certain stack engineering concepts such as those disclosed in the patent applications listed and incorporated by reference above (U.S. patent application Ser. Nos. 13/084,399, 13/242,084, and 13/224,240) may be used to modulate boron, phosphorus, or arsenic concentration in these films.
  • a suitable apparatus includes hardware for accomplishing the process operations and a system controller having instructions for controlling process operations in accordance with the various channel doping methodologies disclosed herein.
  • the hardware may include one or more process stations included in a multi-station substrate processing tool, and a controller having (or having access to) machine-readable instructions for controlling process operations in accordance with the processing techniques disclosed herein.
  • an apparatus suitable for doping the fin-shaped channel regions of partially fabricated 3-D transistors on the surfaces of semiconductor substrates may include a plurality of process stations each having a substrate holder contained in one or more processing chambers, one or more valves for controlling flow of dopant-containing film precursor to the process stations, and one or more valve-operated vacuum sources for removing dopant-containing film precursor from the volumes surrounding the process stations contained in the one or more processing chambers.
  • an apparatus may also include a controller having (or having access to) machine-readable instructions for operating the one or more valves and one or more vacuum sources to dope the fin-shaped channel regions on the surfaces of the substrates.
  • said instructions executed by the controller may include instructions for forming a dopant-containing film on a substrate at a process station contained in a processing chamber, wherein multiple dopant-containing layers of the film are formed by an ALD process.
  • said instructions executed by the controller may include instructions for performing ALD operations (i) though (iv) as described above, and instructions for repeating ALD operations (i) through (iv) multiple times to form multiple layers of dopant-containing film on the multiple substrates at the multiple process stations of the substrate processing apparatus.
  • instructions executed by the controller may further include instructions for forming a capping film comprising a silicon carbide material, a silicon nitride material, a silicon carbonitride material, or a combination thereof, and instructions for driving dopant from the dopant-containing film into the fin-shaped channel regions of partially-manufactured 3-D transistors on multiple substrates.
  • FIG. 8 schematically shows an embodiment of a reaction chamber process station 800 .
  • process station 800 is depicted as a standalone process station having a process chamber body 802 for maintaining a low-pressure environment.
  • a plurality of process stations 800 may be included in a common process tool environment—e.g., within a common reaction chamber.
  • FIG. 9 depicts an embodiment of a multi-station processing tool.
  • one or more hardware parameters of process station 800 may be adjusted programmatically by one or more system controllers.
  • Process station 800 fluidly communicates with reactant delivery system 801 for delivering process gases to a distribution showerhead 806 .
  • Reactant delivery system 801 includes a mixing vessel 804 for blending and/or conditioning process gases for delivery to showerhead 806 .
  • One or more mixing vessel inlet valves 820 may control introduction of process gases to mixing vessel 804 .
  • delivery piping downstream of vaporization point 803 may be heat treated.
  • mixing vessel 804 may also be heat treated.
  • piping downstream of vaporization point 803 has an increasing temperature profile extending from approximately 100° C. to approximately 150° C. at mixing vessel 804 .
  • the vaporization point 803 may be a heated liquid injection module (“liquid injector” for short). Such a liquid injector may inject pulses of a liquid reactant into a carrier gas stream upstream of the mixing vessel.
  • a liquid injector may vaporize reactant by flashing the liquid from a higher pressure to a lower pressure.
  • a liquid injector may atomize the liquid into dispersed microdroplets that are subsequently vaporized in a heated delivery pipe. It will be appreciated that smaller droplets may vaporize faster than larger droplets, reducing a delay between liquid injection and complete vaporization. Faster vaporization may reduce a length of piping downstream from vaporization point 803 .
  • a liquid injector may be mounted directly to mixing vessel 804 .
  • a liquid injector may be mounted directly to showerhead 806 .
  • a liquid flow controller (LFC) upstream of vaporization point 803 may be provided for controlling a mass flow of liquid for vaporization and delivery to process station 800 .
  • the LFC may include a thermal mass flow meter (MFM) located downstream of the LFC.
  • a plunger valve of the LFC may then be adjusted responsive to feedback control signals provided by a proportional-integral-derivative (PID) controller in electrical communication with the MFM.
  • PID proportional-integral-derivative
  • the LFC may be dynamically switched between a feedback control mode and a direct control mode.
  • the LFC may be dynamically switched from a feedback control mode to a direct control mode by disabling a sense tube of the LFC and the PID controller.
  • showerhead 806 distributes process gases and/or reactants (e.g., dopant-containing film precursor) toward substrate 812 at the process station, the flow of which is controlled by one or more valves upstream from the showerhead (e.g., valves 820 , 820 A, 805 ).
  • substrate 812 is located beneath showerhead 806 , and is shown resting on a pedestal 808 .
  • showerhead 806 may have any suitable shape, and may have any suitable number and arrangement of ports for distributing processes gases to substrate 812 .
  • a microvolume 807 is located beneath showerhead 806 .
  • Performing an ALD process in a microvolume in the process station near the substrate rather than in the entire volume of a processing chamber may reduce reactant exposure and sweep times, may reduce times for altering process conditions (e.g., pressure, temperature, etc.), may limit an exposure of process station robotics to process gases, etc.
  • Example microvolume sizes include, but are not limited to, volumes between 0.1 liter and 2 liters.
  • pedestal 808 may be raised or lowered to expose substrate 812 to microvolume 807 and/or to vary a volume of microvolume 807 .
  • pedestal 808 may be lowered to allow substrate 812 to be loaded onto pedestal 808 .
  • pedestal 808 may be raised to position substrate 812 within microvolume 807 .
  • microvolume 807 may completely enclose substrate 812 as well as a portion of pedestal 808 to create a region of high flow impedance during a deposition process.
  • pedestal 808 may be lowered and/or raised during portions the deposition process to modulate process pressure, reactant concentration, etc. within microvolume 807 .
  • lowering pedestal 808 may allow microvolume 807 to be evacuated.
  • Example ratios of microvolume to process chamber volume include, but are not limited to, volume ratios between 1:500 and 1:10. It will be appreciated that, in some embodiments, pedestal height may be adjusted programmatically by a suitable system controller.
  • adjusting a height of pedestal 808 may allow a plasma density to be varied during plasma activation and/or treatment cycles included, for example, in an ALD or CVD process.
  • pedestal 808 may be lowered during another substrate transfer phase to allow removal of substrate 812 from pedestal 808 .
  • a position of showerhead 806 may be adjusted relative to pedestal 808 to vary a volume of microvolume 807 .
  • a vertical position of pedestal 808 and/or showerhead 806 may be varied by any suitable mechanism within the scope of the present disclosure.
  • pedestal 808 may include a rotational axis for rotating an orientation of substrate 812 . It will be appreciated that, in some embodiments, one or more of these example adjustments may be performed programmatically by one or more suitable system controllers having machine-readable instructions for performing all or a subset of the foregoing operations.
  • showerhead 806 and pedestal 808 electrically communicate with RF power supply 814 and matching network 816 for powering a plasma.
  • the plasma energy may be controlled (e.g., via a system controller having appropriate machine-readable instructions) by controlling one or more of a process station pressure, a gas concentration, an RF source power, an RF source frequency, and a plasma power pulse timing.
  • RF power supply 814 and matching network 816 may be operated at any suitable power to form a plasma having a desired composition of radical species. Examples of suitable powers are included above.
  • RF power supply 814 may provide RF power of any suitable frequency.
  • RF power supply 814 may be configured to control high- and low-frequency RF power sources independently of one another.
  • Example low-frequency RF frequencies may include, but are not limited to, frequencies between 50 kHz and 500 kHz.
  • Example high-frequency RF frequencies may include, but are not limited to, frequencies between 1.8 MHz and 2.45 GHz. It will be appreciated that any suitable parameters may be modulated discretely or continuously to provide plasma energy for the surface reactions.
  • the plasma power may be intermittently pulsed to reduce ion bombardment with the substrate surface relative to continuously powered plasmas.
  • the plasma may be monitored in-situ by one or more plasma monitors.
  • plasma power may be monitored by one or more voltage, current sensors (e.g., VI probes).
  • plasma density and/or process gas concentration may be measured by one or more optical emission spectroscopy (OES) sensors.
  • OES optical emission spectroscopy
  • one or more plasma parameters may be programmatically adjusted based on measurements from such in-situ plasma monitors.
  • an OES sensor may be used in a feedback loop for providing programmatic control of plasma power.
  • other monitors may be used to monitor the plasma and other process characteristics. Such monitors may include, but are not limited to, infrared (IR) monitors, acoustic monitors, and pressure transducers.
  • the plasma may be controlled via input/output control (IOC) sequencing instructions.
  • the instructions for setting plasma conditions for a plasma activation phase may be included in a corresponding plasma activation recipe phase of a process recipe.
  • process recipe phases may be sequentially arranged, so that all instructions for a process phase are executed concurrently with that process phase.
  • instructions for setting one or more plasma parameters may be included in a recipe phase preceding a plasma process phase.
  • a first recipe phase may include instructions for setting a flow rate of an inert (e.g., helium) and/or a reactant gas, instructions for setting a plasma generator to a power set point, and time delay instructions for the first recipe phase.
  • a second, subsequent recipe phase may include instructions for enabling the plasma generator and time delay instructions for the second recipe phase.
  • a third recipe phase may include instructions for disabling the plasma generator and time delay instructions for the third recipe phase. It will be appreciated that these recipe phases may be further subdivided and/or iterated in any suitable way within the scope of the present disclosure.
  • plasma strikes last on the order of a few seconds or more in duration. In certain implementations described herein, much shorter plasma strikes may be applied during a processing cycle. These may be on the order of 50 milliseconds to 1 second, with 0.25 seconds being a specific example. Such short RF plasma strikes require quick stabilization of the plasma.
  • the plasma generator may be configured such that the impedance match is preset to a particular voltage, while the frequency is allowed to float. Conventionally, high-frequency plasmas are generated at an RF frequency at about 13.56 MHz. In various embodiments disclosed herein, the frequency is allowed to float to a value that is different from this standard value. By permitting the frequency to float while fixing the impedance match to a predetermined voltage, the plasma can stabilize much more quickly, a result which may be important when using the very short plasma strikes associated with ALD cycles.
  • pedestal 808 may be temperature controlled via heater 810 .
  • pressure control for process station 800 may be provided by one or more valve-operated vacuum sources such as butterfly valve 818 .
  • butterfly valve 818 throttles a vacuum provided by a downstream vacuum pump (not shown).
  • pressure control of process station 800 may also be adjusted by varying a flow rate of one or more gases introduced to process station 800 .
  • FIG. 9 schematically illustrates an example of a multi-station processing tool 900 which includes a plurality of process stations 901 , 902 , 903 , 904 in a common low-pressure processing chamber 914 .
  • a multi-station processing tool 900 which includes a plurality of process stations 901 , 902 , 903 , 904 in a common low-pressure processing chamber 914 .
  • the multi-station processing tool 900 has an inbound load lock 922 and an outbound load lock 924 , either or both of which may comprise a remote plasma source.
  • a robot 926 at atmospheric pressure, is configured to move wafers from a cassette loaded through a pod 928 into inbound load lock 922 via an atmospheric port 920 .
  • a wafer is placed by the robot 926 on a pedestal 912 in the inbound load lock 922 , the atmospheric port 920 is closed, and the load lock is pumped down.
  • the inbound load lock 922 comprises a remote plasma source
  • the wafer may be exposed to a remote plasma treatment in the load lock prior to being introduced into a processing chamber 914 .
  • the wafer also may be heated in the inbound load lock 922 , for example, to remove moisture and adsorbed gases.
  • a chamber transport port 916 to processing chamber 914 is opened, and another robot (not shown) places the wafer into the processing chamber on a pedestal 918 at process station 901 . While the embodiment depicted in FIG. 9 includes load locks, it will be appreciated that, in some embodiments, direct entry of a wafer substrate into a processing chamber may be provided.
  • the depicted processing chamber 914 shown in FIG. 9 provides four process stations, 901 , 902 , 903 , and 904 .
  • Each station has a heated pedestal (shown at 918 for process station 901 ) and gas line inlets.
  • each process station may have different or multiple purposes.
  • a process station may be switchable between an ALD process mode and a CVD process mode.
  • processing chamber 914 may include one or more matched pairs of ALD/CVD process stations. While the depicted processing chamber 914 comprises 4 process stations, it will be understood that a processing chamber according to the present disclosure may have any suitable number of stations.
  • a processing chamber may have 1, or 2, or 3, or 4, or 5, or 6, or 7, or 8, or 9, or 10, or 11, or 12, or 13, or 14, or 15, or 16, or more process stations (or a set of embodiments may be described as having a number of process stations per reaction chamber within a range defined by any pair of the foregoing values, such as having 2 to 6 process stations per reaction chamber, or 4 to 8 process stations per reaction chamber, or 8 to 16 process stations per reaction chamber, etc.).
  • FIG. 9 also depicts an embodiment of a wafer handling system 990 for transferring wafers within processing chamber 914 .
  • wafer handling system 990 may transfer wafers between various process stations and/or between a process station and a load lock. It will be appreciated that any suitable wafer handling system may be employed. Non-limiting examples include wafer carousels and wafer handling robots.
  • FIG. 9 also depicts an embodiment of a system controller 950 employed to control process conditions and hardware states of process tool 900 and its process stations.
  • System controller 950 may include one or more memory devices 956 , one or more mass storage devices 954 , and one or more processors 952 .
  • Processor 952 may include one or more CPUs, ASICs, general-purpose computer(s) and/or specific purpose computer(s), one or more analog and/or digital input/output connection(s), one or more stepper motor controller board(s), etc.
  • system controller 950 controls some or all of the operations of process tool 900 including the operations of its individual process stations.
  • System controller 950 may execute machine-readable system control instructions 958 on processor 952 —the system control instructions 958 , in some embodiments, loaded into memory device 956 from mass storage device 954 .
  • System control instructions 958 may include instructions for controlling the timing, mixture of gaseous and liquid reactants, chamber and/or station pressure, chamber and/or station temperature, wafer temperature, target power levels, RF power levels, RF exposure time, substrate pedestal, chuck, and/or susceptor position, and other parameters of a particular process performed by process tool 900 .
  • These processes may include various types of processes including, but not limited to, processes related to deposition of film on substrates.
  • System control instructions 958 may be configured in any suitable way. For example, various process tool component subroutines or control objects may be written to control operation of the process tool components necessary to carry out various process tool processes.
  • System control instructions 958 may be coded in any suitable computer readable programming language.
  • system control instructions 958 are implemented in software, in other embodiments, the instructions may be implemented in hardware—for example, hard-coded as logic in an ASIC (application specific integrated circuit), or, in other embodiments, implemented as a combination of software and hardware.
  • system control software 958 may include input/output control (IOC) sequencing instructions for controlling the various parameters described above.
  • IOC input/output control
  • each phase of a deposition process or processes may include one or more instructions for execution by system controller 950 .
  • the instructions for setting process conditions for a dopant-containing film deposition process phase may be included in a corresponding deposition recipe phase, and likewise for a capping film deposition phase.
  • the recipe phases may be sequentially arranged, so that all instructions for a process phase are executed concurrently with that process phase.
  • mass storage device 954 and/or memory device 956 associated with system controller 950 may be employed in some embodiments.
  • programs or sections of programs include a substrate positioning program, a process gas control program, a pressure control program, a heater control program, and a plasma control program.
  • a substrate positioning program may include instructions for process tool components that are used to load the substrate onto pedestal 918 and to control the spacing between the substrate and other parts of process tool 900 .
  • the positioning program may include instructions for appropriately moving substrates in and out of the reaction chamber as necessary to deposit dopant-containing and capping films on the substrates.
  • a process gas control program may include instructions for controlling gas composition and flow rates and optionally for flowing gas into the volumes surrounding one or more process stations prior to deposition in order to stabilize the pressure in these volumes.
  • the process gas control program may include instructions for introducing certain gases into the volume(s) surrounding the one or more process stations within a processing chamber during deposition of a dopant-containing film on a substrates, and for introducing different gases during deposition of a capping film on the substrates.
  • the process gas control program may also include instructions to deliver these gases at the same rates, for the same durations, or at different rates and/or for different durations depending on the composition of the film being deposited.
  • the process gas control program may also include instructions for atomizing/vaporizing a liquid reactant in the presence of helium or some other carrier gas in a heated injection module.
  • a pressure control program may include instructions for controlling the pressure in the process station by regulating, for example, a throttle valve in the exhaust system of the process station, a gas flow into the process station, etc.
  • the pressure control program may include instructions for maintaining the same or different pressures during deposition of the various film types on the substrates.
  • a heater control program may include instructions for controlling the current to a heating unit that is used to heat the substrates. Alternatively or in addition, the heater control program may control delivery of a heat transfer gas (such as helium) to the substrate.
  • the heater control program may include instructions for maintaining the same or different temperatures in the reaction chamber and/or volumes surrounding the process stations during deposition of the various film types on the substrates.
  • a plasma control program may include instructions for setting RF power levels, frequencies, and exposure times in one or more process stations in accordance with the embodiments herein.
  • the plasma control program may include instructions for using the same or different RF power levels and/or frequencies and/or exposure times during deposition of the dopant-containing film and capping film types on the substrates.
  • the user interface may include a display screen, graphical software displays of the apparatus and/or process conditions, and user input devices such as pointing devices, keyboards, touch screens, microphones, etc.
  • parameters adjusted by system controller 950 may relate to process conditions.
  • process conditions include process gas compositions and flow rates, temperatures, pressures, plasma conditions (such as RF bias power levels and exposure times), etc. These parameters may be provided to the user in the form of a recipe, which may be entered utilizing the user interface.
  • Signals for monitoring the processes may be provided by analog and/or digital input connections of system controller 950 from various process tool sensors.
  • the signals for controlling the processes may be output on the analog and/or digital output connections of process tool 900 .
  • process tool sensors that may be monitored include mass flow controllers (MFCs), pressure sensors (such as manometers), thermocouples, etc. Appropriately programmed feedback and control algorithms may be used with data from these sensors to maintain process conditions.
  • System controller 950 may provide machine-readable instructions for implementing the above-described deposition processes.
  • the instructions may control a variety of process parameters, such as DC power level, RF bias power level, pressure, temperature, etc.
  • the instructions may control the parameters to operate in-situ deposition of film stacks according to various embodiments described herein.
  • the system controller will typically include one or more memory devices and one or more processors configured to execute machine-readable instructions so that the apparatus will perform operations in accordance with the processes disclosed herein.
  • Machine-readable, non-transitory media containing instructions for controlling operations in accordance with the substrate doping processes disclosed herein may be coupled to the system controller.
  • lithographic patterning tools and/or processes for example, for the fabrication or manufacture of semiconductor devices, displays, LEDs, photovoltaic panels and the like.
  • lithographic patterning tools for example, for the fabrication or manufacture of semiconductor devices, displays, LEDs, photovoltaic panels and the like.
  • such tools will be used or processes conducted together and/or contemporaneously in a common fabrication facility.
  • Lithographic patterning of a film typically includes some or all of the following operations, each operation enabled with a number of possible tools: (1) application of photoresist on a substrate, e.g., a substrate having a silicon nitride film formed thereon, using a spin-on or spray-on tool; (2) curing of photoresist using a hot plate or furnace or other suitable curing tool; (3) exposing the photoresist to visible or UV or x-ray light with a tool such as a wafer stepper; (4) developing the resist so as to selectively remove resist and thereby pattern it using a tool such as a wet bench or a spray developer; (5) transferring the resist pattern into an underlying film or substrate by using a dry or plasma-assisted etching tool; and (6) removing the resist using a tool such as an RF or microwave plasma resist stripper.
  • an ashable hard mask layer such as an amorphous carbon layer
  • another suitable hard mask such as an antireflective layer

Abstract

Disclosed herein are methods of doping a fin-shaped channel region of a partially fabricated 3-D transistor on a semiconductor substrate. The methods may include forming a multi-layer dopant-containing film on the substrate, forming a capping film comprising a silicon carbide material, a silicon nitride material, a silicon carbonitride material, or a combination thereof, the capping film located such that the multi-layer dopant-containing film is located in between the substrate and the capping film, and driving dopant from the dopant-containing film into the fin-shaped channel region. Multiple dopant-containing layers of the film may be formed by an atomic layer deposition process which includes adsorbing a dopant-containing film precursor such that it forms an adsorption-limited layer on the substrate and reacting adsorbed dopant-containing film precursor. Also disclosed herein are multi-station substrate processing apparatuses for doping the fin-shaped channel regions of partially fabricated 3-D transistors.

Description

    BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1A displays a side view schematic of a traditional planar IC transistor.
  • FIG. 1B displays a cross-sectional view schematic of a traditional planar IC transistor.
  • FIG. 1C displays a perspective view schematic of a modern tri-gate IC transistor employing a fin-shaped channel region.
  • FIG. 1D displays another perspective view schematic of a modern tri-gate IC transistor employing a fin-shaped channel region.
  • FIG. 2A schematically illustrates the shadowing effect which may occur when attempting to dope the channel region of high aspect ratio fin-shaped structures via conventional ion-implantation techniques. This figure illustrates the scenario where the shadowing effect of an adjacent fin structure is increased due to the presence of deposited gate electrode material.
  • FIG. 2B also schematically illustrates the shadowing effect which may occur when attempting to dope the channel region of high aspect ratio fin-shaped structures via conventional ion-implantation techniques. This figure illustrates the scenario where the shadowing effect of an adjacent fin structure is increased due to the presence of a pattern mask layer.
  • FIG. 2C again schematically illustrates the shadowing effect which may occur when attempting to dope the channel region of high aspect ratio fin-shaped structures via conventional ion-implantation techniques. This figure illustrates the scenario where the shadowing effect of an adjacent fin structure is increased due to the presence of deposited gate electrode material and also by the presence of a pattern mask layer.
  • FIG. 3A schematically illustrates a dopant-containing film having a capping film.
  • FIG. 3B schematically illustrates the dopant-containing film of FIG. 3A disposed on a fin-shaped channel region for doping the channel region.
  • FIGS. 4A and 4B display schematics of a dopant-containing borosilicate glass (BSG) films sandwiched between silicon dioxide (SiO2) layers which are used to demonstrate boron dopant diffusion through SiO2 layers via the SIMS experiments shown in FIG. 4C.
  • FIG. 4C displays the results of secondary ion mass spectroscopy (SIMS) experiments which demonstrate diffusion of boron dopant through the SiO2 of the films schematically illustrated in FIGS. 4A and 4B.
  • FIG. 5 displays the results of SIMS experiments demonstrating reduced diffusion of boron dopant through silicon carbide (SiC) layers sandwiching a BSG film relative to the dopant diffusion exhibited through SiO2 exhibited in FIG. 4C.
  • FIG. 6A presents a flowchart schematically illustrating a method of doping a fin-shaped channel region of a partially fabricated 3-D transistor on a semiconductor substrate using a dopant-containing film and a capping film having a silicon carbide material, a silicon nitride material, a silicon carbonitride material, or a combination thereof.
  • FIG. 6B present a flowchart of an atomic layer deposition (ALD) process sequence for depositing a dopant-containing film.
  • FIG. 7 schematically illustrates a dopant-containing film similar to that illustrated in FIG. 3A but in FIG. 7 exhibiting a film structure wherein dopant-rich portions are interspersed with substantially dopant-free portions.
  • FIG. 8 schematically illustrates a substrate processing station suitable for performing film-forming ALD operations such as those employed in the methods disclosed herein.
  • FIG. 9 schematically illustrates a multi-station substrate processing tool suitable for performing film-forming ALD operations such as those employed in the methods disclosed herein.
  • BACKGROUND
  • Traditionally, integrated circuit (IC) transistors have employed a planar design wherein components of the transistor—source, drain, and channel—are formed in the surface of the semiconductor substrate, and the gate component is formed as a flat structure atop the channel region of the substrate's surface. More recently, however, the desire for smaller and smaller device sizes has motivated the development of so-called 3-D transistors wherein source, drain, and channel are formed in fin-shaped structures which extend vertically from the substrate surface, generally with a high aspect ratio. With the channel formed in these vertical fin structures, the gate component of a 3-D transistor can be made to wrap around the channel region, substantially increasing the surface area of the channel region relative to its volume exposed directly to the gate voltage.
  • The structural differences between planar and 3-D transistors is schematically illustrated in FIGS. 1A and 1B. FIG. 1A schematically illustrates a traditional planar IC transistor 100. On the left in the figure is a side view showing source 120, channel 130, and drain 140 formed in a silicon substrate 110, with gate 150 sitting atop channel 130 separated by gate dielectric 149. To the right in the figure is a cross-sectional view of the same transistor 100 taken from the point-of-view of the vertical dotted line (as indicated by the horizontal arrow). From both views, it is seen that gate 150 is only located adjacent to one side of channel 130 (separated by gate dielectric 149). FIG. 1B provides a simplified illustration of a modern 3-D transistor design 101 with side view (left) and cross-sectional view (right) similar to that shown in FIG. 1A for the planar transistor 100. It is seen from the side view that source 121, channel 131, and drain 141 extend vertically from the plane of the silicon substrate 110 (unlike planar transistor 100). However, the cross-sectional view in FIG. 1B (right) shows that gate 151 of 3-D transistor 101 is able to wrap around the channel region 131 from three sides (in contrast to the arrangement of the gate 150 in planar transistor 100). This wrapping of the gate around the vertical fin structure is further illustrated in FIG. 1C (again showing 3-D transistor 101 with source 121, drain 141, and gate 151, although channel 131 is obscured by the gate); and FIG. 1D illustrates how multiple 3-D transistors 101 formed from parallel vertical fin structures may be wrapped by a 3-D gate component 151. This fundamental shift in transistor architecture from planar to 3-D designs has created challenges for IC fabrication, and to optimally address these challenges new fabrication techniques must be developed.
  • SUMMARY
  • Disclosed herein are methods of doping a fin-shaped channel region of a partially fabricated 3-D transistor on a semiconductor substrate. In some embodiments, the methods include forming a dopant-containing film on the substrate, forming a capping film located such that the dopant-containing film is located in between the substrate and the capping film, and driving dopant from the dopant-containing film into the fin-shaped channel region. In certain such embodiments, the capping film includes a silicon carbide material, a silicon nitride material, a silicon carbonitride material, or a combination thereof. In certain such embodiments, multiple dopant-containing layers of the dopant-containing film are formed by an atomic layer deposition process which includes adsorbing a dopant-containing film precursor onto the substrate such that the precursor forms an adsorption-limited layer, removing at least some unadsorbed dopant-containing film precursor from the volume surrounding the adsorbed precursor, reacting adsorbed dopant-containing film precursor to form a dopant-containing layer on the substrate, removing desorbed dopant-containing film precursor and/or reaction by-product from the volume surrounding the dopant-containing layer when present after reacting the adsorbed precursor, and repeating this process sequence to form multiple dopant-containing layers of the dopant-containing film.
  • Also disclosed herein are dopant-containing films for doping the fin-shaped channel region of a partially fabricated 3-D transistor on a semiconductor substrate. In some embodiments, the films may include first and second dopant-rich portions, first and second substantially dopant-free portions, and a capping film including a silicon carbide material, a silicon nitride material, a silicon carbonitride material, or a combination thereof. In certain such embodiments, the first dopant-rich portion of the film may be formed by conformally depositing multiple dopant-containing layers sequentially, without intervening deposition of a substantially dopant-free layer, and the second dopant rich portion may also be formed by conformally depositing multiple dopant-containing layers sequentially, without intervening deposition of a substantially dopant-free layer. Likewise, in certain such embodiments, the first substantially dopant-free portion of the film may be formed by conformally depositing multiple substantially dopant-free layers sequentially, without intervening deposition of a dopant-containing layer, and the second substantially dopant-free portion of the film may also be formed by conformally depositing multiple substantially dopant-free layers sequentially, without intervening deposition of a dopant-containing layer. In certain such embodiments, the portions of the films may be located such that the first substantially dopant-free portion is located between the first and second dopant-rich portions, the second dopant-rich portion is located between the first and second substantially dopant-free portions, and the layer of capping film is located such that the first and second dopant-rich portions and the first and second substantially dopant-free portions are in between the substrate and the capping film.
  • Also disclosed herein are multi-station substrate processing apparatuses for doping the fin-shaped channel regions of partially fabricated 3-D transistors on the surfaces of multiple semiconductor substrates. In some embodiments, the apparatuses include a plurality of process stations having a substrate holder contained in one or more processing chambers, one or more valves for controlling flow of dopant-containing film precursor to the process stations, one or more valve-operated vacuum sources for removing dopant-containing film precursor from the volumes surrounding the process stations contained in the one or more processing chambers, and one or more controllers having, and/or having access to, machine-readable instructions for operating the one or more valves and one or more vacuum sources to dope the fin-shaped channel regions on the surfaces of the substrates. In some embodiments, included are instructions for forming a dopant-containing film on a substrate at a process station contained in a processing chamber, instructions for forming a capping film located such that the dopant-containing film is in between the substrate and the capping film, and instructions for driving dopant from the dopant-containing film into a fin-shaped channel region. In certain such embodiments, the capping film includes a silicon carbide material, a silicon nitride material, a silicon carbonitride material, or a combination thereof. In some embodiments, the multiple dopant-containing layers of the film are formed according to the instructions by an atomic layer deposition process including introducing a dopant-containing film precursor into the processing chamber containing the process station having the substrate holder holding the substrate, and allowing the precursor to adsorb onto the surface of the substrate such that the precursor forms an adsorption-limited layer on the substrate, removing unadsorbed dopant-containing film precursor from the volume surrounding the adsorbed precursor, reacting adsorbed dopant-containing film precursor to form a dopant-containing layer on the substrate, removing desorbed dopant-containing film precursor and/or reaction by-product from the volume surrounding the dopant-containing layer when present after reacting the adsorbed precursor, and repeating this process sequence to form multiple dopant-containing layers of the dopant-containing film.
  • DETAILED DESCRIPTION
  • In the following description, numerous specific details are set forth in order to provide a thorough understanding of the present invention. However, the present invention may be practiced without some or all of these specific details. In other instances, well known process operations have not been described in detail so as to not unnecessarily obscure the present invention. While the invention will be described in conjunction with specific detailed embodiments, it is to be understood that these specific detailed embodiments are not intended to limit the scope of the inventive concepts disclosed herein.
  • The semi-conductive region between source and drain in an IC transistor is referred to as the channel or the transistor's channel region. Electrical potential applied by the gate to the channel region affects its polarization and conductivity, effectively switching the transistor from ‘on’ to ‘off’ states and vice versa. Accordingly, channel conductivity and the ability to consistently adjust it via the gate are key aspects of IC transistor design and fabrication. In the fabrication of traditional planar IC transistors, ion implantation techniques are generally employed to dope the channel region and adjust its conductivity to a desired level. However, with the move to 3-D transistors having a channel region formed in a high-aspect ratio fin-shaped structure (in some cases, having a width of less than about 32 nanometers, or less than about 22 nanometers, or less than about 12 nanometers), ion implantation techniques have proven ineffective for providing uniform and controlled doping.
  • The difficulty with ion-implantation techniques is schematically illustrated in FIGS. 2A and 2B. FIG. 2A provides a cross-sectional view (analogous to that shown in FIG. 1B) of four fin-shaped channel regions 231, 232, 233, 234 of four 3-D transistors arranged in parallel (similar to that shown in FIG. 1D). Two of the channels 233, 234 have had gate material 251 applied to them. Schematically indicated in the figure are the possible incident angles of ion-flux which will reach the base of channels 231 and 232—clearing the adjacent vertical structure—and thus may be used to uniformly dope the side of each channel. ‘Angle 1’ in the figure shows that only a small range of incident angles will reach the base of channel 231 due to the shadowing effect of adjacent channel 232. Doping the sides of the channels obviously requires that the ion-flux have some horizontal component (so as to not just bombard the top), but the figure illustrates that if the horizontal component is too great the side of the channel will be differentially bombarded—with more ions reaching the upper portions than the lower portions. Thus, for channel 231, uniform bombardment requires that incident ion-flux angles be restricted to a range between zero and Angle 1. In practice, however, because the fin-shaped channels have a high aspect ratio and are closely spaced, Angle 1 represents a relatively narrow range of ion fluxes difficult to achieve consistently due to, for example, electromagnetic field fluctuations in the plasma generating the ions, intra-ion collisions in the collimated ion flux, etc. Angle 2, also indicated in FIG. 2A, shows that the problem is amplified for channel 232 due to the presence of gate material 251 atop adjacent channel 233. FIG. 2B illustrates that the problem is similarly amplified for channel 232 if the adjacent channel 233 is masked with a layer of resist material 255. Moreover, FIG. 2C illustrates that the problem is greatly exacerbated if adjacent channel 233 is masked with a layer of resist material 255 atop an already present layer of gate material 251—compare Angle 3 in FIG. 2C with Angle 2 in FIGS. 2A and 2B.
  • Accordingly, techniques other than ion-implantation are sought to achieving consistent, uniform, and cost-effective doping of fin-shaped channel regions in 3-D transistors. An approach disclosed herein is to dope high aspect-ratio vertical fin-shaped channel structures using a dopant-containing film after conformally depositing it on the vertical structure. The basic idea is illustrated in FIGS. 3A and 3B. FIG. 3A illustrates the basic structure of a dopant-containing film 310 combined with a capping film 320. FIG. 3B illustrates this film conformally deposited on a vertical fin-shaped channel structure 131. Because the film substantially conforms to the shape of the target structure, diffusive transfer of dopant from the film to the target structure, e.g. with a thermal anneal, will result in uniform doping of the target structure. Details of such conformal films including composition, techniques for depositing them, the transferring of dopant from the film to the channel structure, as well as associated apparatuses for accomplishing these operations are described in detail herein. It is also noted, more generally, that doping via conformally deposited films may also be useful for doping other types of high aspect ratio devices structures, and may be appropriately used in many scenarios where conventional ion implantation or directional doping methods are inadequate. For instance, in addition to conventional silicon-based microelectronics, other applications of conformal doping may include microelectronics and optoelectronics based on III-V semiconductors such as GaAs, and II-VI semiconductors such as HgCdTe, as well as photovoltaics, flat panel displays, and electrochromic technology.
  • However, although conformal doping may offer distinct advantages in terms of the potential for uniform vertical doping of the target structure (e.g., versus ion implantation techniques), in practice uniform doping, for example with boron or phosphorous, at concentrations relevant to IC transistor fabrication have proven difficult to achieve. The root of this problem has been investigated and, without being limited to a particular theory, it is thought that it stems from rapid back-diffusion of dopant out of the films before sufficient dopant can be driven into the target channel region. In other words, lack of dopant uniformity and/or sufficient concentration in the deposited conformal film due to back-diffusion appears to result in a lack of sufficient uniformity and/or concentration of dopant in the channel region.
  • The problem is demonstrated by the experiments illustrated in FIGS. 4A, 4B, and 4C. The experiments involve conformal deposition of boron-containing films—in particular, borosilicate glass (BSG) films—on the front and back sides of a silicon wafer. The front-side and back-side boron-containing films are illustrated in FIGS. 4A and 4B, respectively, and as shown in the figures, each includes a dopant-containing borosilicate glass film sandwiched between two silicon dioxide (SiO2) layers. The SiO2 film formed on the side of the BSG film opposite the silicon wafer may be referred to as a “capping layer” or “capping film,” though it should be understood that these terms do not necessarily imply that the referred to film/layer is the uppermost film/layer relative to the wafer. Instead, these terms as used herein simply imply that some dopant-containing film is located between the “capping” film/layer and the substrate. FIGS. 4A and 4B indicate that the dopant-containing films were formed via an ALD (atomic layer deposition) process and that the BSG film was deposited to a thickness about double that of the sandwiching SiO2 films (100 versus 50 Angstroms, respectively). After deposition, the films were annealed to accelerate the rate of diffusion of boron dopant out of the BSG film and into the adjacent films and wafer substrate.
  • Concentrations of boron dopant and other chemical species (silicon, oxygen) were then measured by secondary ion mass spectroscopy (SIMS) using a 500 keV beam of oxygen ions (O+) and the results are shown in FIG. 4C. As indicated in FIG. 4C, the SIMS experiments reveal a peak in boron concentration at the edge of the top SiO2 film adjacent to the BSG film as well as a corresponding dip in boron concentration at the adjacent edge of the BSG film, the presence of both effects resulting from back-diffusion of boron atoms out of the edge of the BSG film. FIG. 4C also shows the presence of some boron in the bottom SiO2 layer, as well as boron and oxygen deep into the underlying silicon substrate. However, it is thought that the presence of these species in these regions is due to the so-called “knock-on effect” of the 500 keV O+ ion beam—essentially, that the high kinetic energy of ions in the beam has “knocked” these atoms deeper into the film and substrate—and hence these measurements are not indicate of the film and substrate compositions prior to the experiment. In any event, the boron peak in the top SiO2 film and corresponding adjacent boron dip in the BSG film—which cannot be a result of “knock-on effect”—demonstrate the occurrence of dopant back-diffusion, and also the fact that an SiO2 capping film does a poor job of preventing it.
  • As stated above, back-diffusion of dopant out of a deposited film adversely affects dopant concentration in the film and thus the film's utility as a tool for doping an underlying material in a uniform manner. However, it has been discovered that while a capping film of SiO2 does a poor job of preventing boron-back diffusion out of a conformal dopant-containing film, capping films formed from a silicon carbide material, a silicon nitride material, a silicon carbonitride material, or a combination thereof, are substantially more effective. For example, the effects of using a silicon carbide (SiC) capping film are demonstrated by the experimental results shown in FIG. 5. FIG. 5 presents SIMS results for a boron dopant-containing film having a SiC capping film which are analogous to the SIMS results presented in FIG. 4 for a SiO2 capping film. The structure of the SiC-capped films are the same as the films schematically illustrated in FIGS. 4A and 4B but with the substitution of SiC for SiO2. FIG. 5 shows that the boron peak appearing in the top SiC film adjacent to the BSG film is substantially reduced relative to the boron peak at the same location in the SiO2 film of FIG. 4, thereby confirming that a SiC capping film does a substantially better job of preventing boron back-diffusion than an equivalent capping film formed from SiO2 material.
  • Accordingly, due to the advantages associated with reducing or preventing dopant back-diffusion, disclosed herein are methods of doping the channel regions of partially fabricated 3-D transistors on semiconductor substrates which include forming a capping film comprising a silicon carbide (SiC) material, a silicon nitride material (SiN), a silicon carbonitride (SiCN) material, or a combination thereof. So as to reduce or prevent dopant diffusion, the SiC/SiN/SiCN capping film is located such that at least a portion of the dopant-containing film is located in between the substrate and the capping film. In this manner, during a thermal anneal, for example, to transfer dopant to the target region of the substrate, the capping film may block diffusion of dopant out of the dopant-containing film and away from the fin-shaped channel region by 20% or more, or 30% or more, or 40% or more, or 50% or more, or 60% or more, or 70% or more, or 80% or more, or 90% or more relative to the rate of diffusion during the same thermal anneal conditions in the absence of the capping film.
  • Certain such methods are schematically illustrated by the flow diagram of FIG. 6A. As indicated in the figure, the methods begin with an operation 610 of forming a dopant-containing film on a substrate having multiple dopant-containing layers formed by an ALD process. The methods then proceed with an operation 620 of forming a capping film containing a silicon carbide material, a silicon nitride material, a silicon carbonitride material, or a combination thereof, wherein (as indicated above) the capping film is located such that the dopant-containing film is located in between the substrate and the capping film. Finally, the transfer of dopant to the fin-shaped channel region of the 3-D transistor occurs in an operation 630 involving driving dopant from the dopant-containing film into the channel region. A thermal anneal may be used for this purpose, for example. In some embodiments, a laser anneal, spike anneal, laser spike anneal, rapid thermal processing (RTP), rapid thermal anneal (RTA), or millisecond anneal, may be used. In many of these instances, the driving of dopant into the channel region is achieved within a “low thermal budget” by generating a high temperature, but for just a short time. In some embodiments, the dopant may be boron. In other embodiments, the dopant may be phosphorous or arsenic. Other dopant species may also be advantageously transferred to a target structure/region on a semiconductor substrate utilizing these techniques. In some sequences of IC fabrication operations, at least a portion of the dopant containing film and/or capping film may be removed after dopant is driven into the target structure/region.
  • As discussed above, in order to achieve a uniform dopant concentration in the target structure, it is important that the deposited dopant-containing film substantially conform to the shape of the target structure. While, in principle, any workable method of depositing a conformal dopant-containing film may potentially be used in conjunction with the foregoing channel region doping approach, the technique often referred to in the art as atomic layer deposition (ALD) has been found an effective choice.
  • In contrast with chemical vapor deposition (CVD) process, where activated gas phase reactions are used to deposit films, ALD processes use surface-mediated deposition reactions to deposit films on a layer-by-layer basis. For instance, in one class of ALD processes, a first film precursor (P1) is introduced in a processing chamber in the gas phase, is exposed to a substrate, and is allowed to adsorb onto the surface of the substrate (typically at a population of surface active sites). Some molecules of P1 may form a condensed phase atop the substrate surface, including chemisorbed species and physisorbed molecules of P1. The volume surrounding the substrate surface is then evacuated to remove gas phase and physisorbed P1 so that only chemisorbed species remain. A second film precursor (P2) may then be introduced into the processing chamber so that some molecules of P2 adsorb to the substrate surface. The volume surrounding the substrate within the processing chamber may again be evacuated, this time to remove unbound P2. Subsequently, energy provided to the substrate (e.g., thermal or plasma energy) activates surface reactions between the adsorbed molecules of P1 and P2, forming a film layer. Finally, the volume surrounding the substrate is again evacuated to remove unreacted P1 and/or P2 and/or reaction by-product, if present, ending a single cycle of ALD.
  • ALD techniques for depositing conformal films having a variety of chemistries—and also many variations on the basic ALD process sequence—are described in detail in U.S. patent application Ser. No. 13/084,399, filed Apr. 11, 2011, titled “PLASMA ACTIVATED CONFORMAL FILM DEPOSITION” (Attorney Docket No. NOVLP405), U.S. patent application Ser. No. 13/242,084, filed Sep. 23, 2011, titled “PLASMA ACTIVATED CONFORMAL DIELECTRIC FILM DEPOSITION,” now U.S. Pat. No. 8,637,411 (Attorney Docket No. NOVLP427), U.S. patent application Ser. No. 13/224,240, filed Sep. 1, 2011, titled “PLASMA ACTIVATED CONFORMAL DIELECTRIC FILM DEPOSITION” (Attorney Docket No. NOVLP428), and U.S. patent application Ser. No. 13/607,386, filed Sep. 7, 2012, titled “CONFORMAL DOPING VIA PLASMA ACTIVATED ATOMIC LAYER DEPOSITION AND CONFORMAL FILM DEPOSITION” (Attorney Docket No. NOVLP488), each of which is incorporated by reference herein in its entirety for all purposes. As described in these prior applications, a basic ALD cycle for depositing a single layer of material on a substrate may include: (i) adsorbing a film precursor onto a substrate such that it forms an adsorption-limited layer, (ii) removing unadsorbed precursor from the volume surrounding the adsorbed precursor, (iii) reacting the adsorbed-precursor to form a layer of film on the substrate, and (iv) removing desorbed film precursor and/or reaction by-product from the volume surrounding the layer of film formed on the substrate. The removing in operations (ii) and (iv) may be done via purging, evacuating, pumping down to a base pressure (“pump-to-base”), etc. the volume surrounding the substrate. It is noted that this basic ALD sequence of operations (i) through (iv) doesn't necessary involve two chemiadsorbed reactive species P1 and P2 as in the example described above, nor does it even necessarily involve a second reactive species, although these possibilities/options may be employed, depending on the desired deposition chemistries involved.
  • Due to the adsorption-limited nature of ALD, however, a single cycle of ALD only deposits a thin film of material, and oftentimes only a single monolayer of material. For example, depending on the exposure time of the film precursor dosing operations and the sticking coefficients of the film precursors (to the substrate surface), each ALD cycle may deposit a film layer only about 0.5 to 3 Angstroms thick. Thus, the sequence of operations in a typical ALD cycle—operations (i) through (iv) just described—are generally repeated multiple times in order to form a conformal film of the desired thickness. Thus, in some embodiments, operations (i) through (iv) are repeated consecutively at least 1 time, or at least 2 times, or at least 3 times, or at least 5 times, or at least 7 times, or at least 10 times in a row. Dopant ALD film may be deposited at a rate of about or between 0.1 Å and 2.5 Å per ALD cycle, or about or between 0.2 Å and 2.0 Å per ALD cycle, or about or between 0.3 Å and 1.8 Å per ALD cycle, or about or between 0.5 Å and 1.5 Å per ALD cycle, or about or between 0.1 Å and 1.5 Å per ALD cycle, or about or between 0.2 Å and 1.0 Å per ALD cycle, or about or between 0.3 Å and 1.0 Å per ALD cycle, or about or between 0.5 Å and 1.0 Å per ALD cycle.
  • In some film forming chemistries, an auxiliary reactant or co-reactant—in addition to what is referred to as the “film precursor”—may also be employed. In certain such embodiments, the auxiliary reactant or co-reactant may be flowed continuously during a subset of steps (i) through (iv) or throughout each of steps (i) through (iv) as they are repeated. In some embodiments, this other reactive chemical species (auxiliary reactant, co-reactant, etc.) may be adsorbed onto the substrate surface with the film precursor prior to its reaction with the film precursor (as in the example involving precursors P1 and P2 described above), however, in other embodiments, it may react with the adsorbed film precursor as it contacts it without prior adsorption onto the surface of the substrate, per se. Also, in some embodiments, operation (iii) of reacting the adsorbed film precursor may involve contacting the adsorbed film precursor with a plasma. The plasma may provide energy to drive the film-forming reaction on the substrate surface. In certain such embodiments, the plasma may be an oxidative plasma generated in the reaction chamber with application of suitable RF power (although in some embodiments, it may be generated remotely). In other embodiments, instead of an oxidative plasma, an inert plasma may be used. The oxidizing plasma may be formed from one or more oxidants such as O2, N2O, or CO2, and may optionally include one or more diluents such as Ar, N2, or He. In one embodiment, the oxidizing plasma is formed from O2 and Ar. A suitable inert plasma may be formed from one or more inert gases such as He or Ar. Further variations on ALD processes are described in detail in the prior patent applications just cited (and which are incorporated by reference).
  • Accordingly, a basic sequence of operations for forming a dopant-containing film via an ALD process is schematically illustrated by the flowchart in FIG. 6B. A shown in the figure, an ALD process for forming multiple dopant-containing layers of film on a substrate may begin with an operation 611 of adsorbing a dopant-containing film precursor onto the substrate such that the precursor forms an adsorption-limited layer on the substrate, followed by an operation 612 of removing at least some unadsorbed dopant-containing film precursor from the volume surrounding the adsorbed precursor. Thereafter, in an operation 613, the adsorbed dopant-containing film precursor is reacted to form a dopant-containing layer on the substrate, and following that, in operation 614, desorbed dopant-containing film precursor and/or reaction by-product is removed from the volume surrounding the dopant-containing layer when present after reacting the adsorbed precursor in operation 613.
  • The foregoing sequence of operations 611 through 614 represents once ALD cycle. However, since a single ALD cycle typically only deposits a thin layer of film, multiple ALD cycles may be repeated in sequence to form multiple layers of dopant-containing film (or, equivalently, a multi-layer dopant containing film of the desired thickness). Thus, referring again to FIG. 6B, after an ALD cycle concludes with operation 614, in operation 615, it is determined whether a sufficient number of layers of dopant-containing film have been formed (or whether a film of a sufficient thickness has been deposited), and if so, the film-forming operations conclude, whereas if not, the process sequence returns to operation 611 to begin another cycle of ALD. It is noted that FIGS. 6A and 6B may be viewed in combination as a method of doping a channel region of a 3-D transistor with operation 610 (FIG. 6A) of forming a dopant-containing film including the ALD process sequence of operations 611 through 615 (FIG. 6B).
  • In some embodiments, a dopant-containing film for doping the fin-shaped channel region of a partially fabricated 3-D transistor may include dopant-rich portions as well as substantially dopant-free portions (in addition to the capping film which may be dopant-free). Thus, for example, in some embodiments, a dopant-containing film may include a first dopant-rich portion formed by conformally depositing multiple dopant-containing layers sequentially, without intervening deposition of a substantially dopant-free layer, and a first substantially dopant-free portion formed by conformally depositing multiple substantially dopant-free layers sequentially, without intervening deposition of a dopant-containing layer.
  • Moreover, in certain such embodiments, there may be multiple dopant-rich portions and multiple substantially dopant-free portions which form a structure of alternating composition in the deposited film. One reason for doing this arises from the fact that, in some cases, it has been found that depositing dopant-containing film layers sequentially, using sequential ALD cycles, may be self-inhibiting (at least to a certain extent in some embodiments), and the growth rate may continue to drop after more and more dopant-rich layers have been deposited. For example, in one experiment, the total thickness of a B2O3 film deposited using sequential ALD cycles was found to not significantly change between the 50th ALD cycle and the 100th ALD cycle. As a result, in some embodiments, for some dopants, and for some dopant-containing film precursors, the quantity of dopant in an ALD-formed conformal film may not be effectively increased by sequentially depositing additional dopant-rich layers. These aspects are described in detail in U.S. patent application Ser. No. 13/607,386, filed Sep. 7, 2012, and titled “CONFORMAL DOPING VIA PLASMA ACTIVATED ATOMIC LAYER DEPOSITION AND CONFORMAL FILM DEPOSITION” (Attorney Docket No. NOVLP488), which is incorporated by reference herein in its entirety for all purposes.
  • Thus, it may be desirable to form dopant-containing films of alternating composition—alternating between dopant-rich and substantially dopant-free portions. Therefore, in these sorts of embodiments, a dopant-containing film may additionally include a second dopant-rich portion (also formed by conformally depositing multiple dopant-containing layers sequentially, without intervening deposition of a substantially dopant-free layer), and also a second substantially dopant-free portion of the film (again, formed by conformally depositing multiple substantially dopant-free layers sequentially, without intervening deposition of a dopant-containing layer); and these first and second, dopant-rich and substantially dopant-free portions may be arranged such that they alternate with one another by composition—e.g., the first substantially dopant-free portion is located between the first and second dopant-rich portions, the second dopant-rich portion is located between the first and second substantially dopant-free portions. In such an arrangement, the capping film may be located such that the first and second dopant-rich portions and the first and second substantially dopant-free portions are in between it and the substrate. FIG. 7 provides a schematic of one such example film 700 having dopant-rich portions 710 alternating with substantially dopant-free portions 720, and also having a SiC/SiN/SiCN capping film 730.
  • The dopant-rich portions of such films may be formed in multiple layers by multiple ALD cycles as described above, e.g., with respect to FIG. 6B. However, multiple ALD cycles may also be used to form multiple layers of the substantially dopant-free portions of such films, albeit using a dopant-free (instead of a dopant-containing) film precursor. Thus, for instance, in some embodiments, forming each of the first and second substantially dopant-free portions just described (e.g., see FIG. 7) may include (i) adsorbing a dopant-free film precursor onto the substrate such that the precursor forms an adsorption-limited layer, thereafter (ii) removing unadsorbed dopant-free film precursor from the volume surrounding the adsorbed precursor, then (iii) reacting the adsorbed dopant-free film precursor after removing unadsorbed precursor to form a substantially dopant-free layer on the substrate, and finally (iv) removing desorbed dopant-free film precursor and/or reaction by-product from the volume surrounding the substantially dopant-free layer when present after reacting the adsorbed precursor. As stated, multiple ALD cycles may be used to form multiple layers, and so the foregoing sequence of operations (i) through (iv) may be repeated multiple times to form multiple substantially dopant-free layers of the dopant-containing film. It is noted that, in some embodiments, these substantially dopant-free layers may include a dielectric material, and that, in certain such embodiments, the dielectric material may be SiO2.
  • Further examples of conformal films having portions of alternating composition—including films used for doping an underlying target IC structure or substrate region—as well as methods of forming these films, are described in detail in: U.S. patent application Ser. No. 13/084,399, filed Apr. 11, 2011, and titled “PLASMA ACTIVATED CONFORMAL FILM DEPOSITION” (Attorney Docket No. NOVLP405); U.S. patent application Ser. No. 13/242,084, filed Sep. 23, 2011, and titled “PLASMA ACTIVATED CONFORMAL DIELECTRIC FILM DEPOSITION,” now U.S. Pat. No. 8,637,411 (Attorney Docket No. NOVLP427); U.S. patent application Ser. No. 13/224,240, filed Sep. 1, 2011, and titled “PLASMA ACTIVATED CONFORMAL DIELECTRIC FILM DEPOSITION” (Attorney Docket No. NOVLP428); and U.S. patent application Ser. No. 13/607,386, filed Sep. 7, 2012, and titled “CONFORMAL DOPING VIA PLASMA ACTIVATED ATOMIC LAYER DEPOSITION AND CONFORMAL FILM DEPOSITION” (Attorney Docket No. NOVLP488); each of which is incorporated by reference herein in its entirety for all purposes.
  • Details Regarding the Capping Film
  • In some embodiments, the capping film may also be formed via an ALD process. For example, in some embodiments, multiple layers of the capping film may be deposited via the sequence of operations (i) through (iv) (just described) repeated multiple times to form multiple layers of the capping film. However, while substantially dopant-free layers of SiO2 may be interspersed with the dopant-rich portions of the film, for the reasons described above with respect to FIGS. 4 and 5, the capping film would typically be composed of a material suitable for blocking dopant back-diffusion such as SiC, SiN, SiCN, or a combination thereof. In other embodiments, a chemical vapor deposition (CVD) process may be used to form the capping film, and in certain such embodiments, a plasma-enhanced chemical vapor deposition (PECVD) process. However, since CVD/PECVD processes proceed via gas phase reactions rather than through the formation of an adsorption-limited layer of reactants, they produce less conformal films than ALD techniques, and therefore ALD processes are generally preferred for forming the capping films described herein.
  • The capping film will generally have a sufficient concentration of SiC, SiN, SiCN, or combination thereof, and be formed of sufficient thickness to block back-diffusion of dopant to the desired extent feasible based on the chemistries and rates of diffusion involved. For instance, in some embodiments, the average concentration of SiC in the capping film may be between about 1 and 4 g/cm3, or between about 2 and 3 g/cm3, or between about 2.2 and 2.8 g/cm3. Likewise, in some embodiments, the average concentration of SiCN in the capping film may be between about 1 and 4 g/cm3, or between about 2 and 3 g/cm3, or between about 2.2 and 2.8 g/cm3, and, in some embodiments, the average concentration of SiN in the capping film may be between about 1 and 4 g/cm3, or between about 2 and 3 g/cm3, or between about 2.2 and 2.8 g/cm3. Finally, in some embodiments, the average combined concentration of SiC, SiN, and SiCN in the capping film may be between about 1 and 4 g/cm3, or between about 2 and 3 g/cm3, or between about 2.2 and 2.8 g/cm3.
  • Likewise, depending on the embodiment, a capping film may be formed having an average thicknesses of about 1, 2, 3, 5, 10, 20, 30, 40, 50, 100, 150, 200, 300, or 500 Angstroms, or the capping film corresponding to a given embodiment may have an average thickness within a range defined on the low end and high end by any pair of the aforementioned thicknesses such as, for example, a capping film may have an average thickness between about 1 and 500 Angstroms, or between about 5 and 200 Angstroms, or between about 10 and 100 Angstroms. In some embodiments, the capping film may be a substantially conformal film—such as, for example, if it is formed via an ALD process—and thus it may be of relatively consistent thickness, quantifiable, for example, by the relative standard deviation in the film's thickness. Thus, in embodiments where the capping film is substantially conformal, the relative standard deviation in its thickness may be less than about 20%, or less than about 15%, or less than about 10%, or less than about 5%, or less than about 4%, or less than about 3%, or less than about 2%, or less than about 1%, or even less than about 0.1%.
  • ALD Process Conditions
  • ALD processes for forming the dopant-containing films and/or capping films may be performed at various temperatures. In some embodiments, suitable temperatures within an ALD reaction chamber may range from between about 25° C. and 450° C., or between about 50° C. and 300° C., or between about 20° C. and 400° C., or between about 200° C. and 400° C., or between about 100° C. and 350° C.
  • Likewise, ALD processes for forming the dopant-containing films and/or capping films may be performed at various ALD reaction chamber pressures. In some embodiments, suitable pressures within the reaction chamber may range from between about 10 mTorr and 10 Torr, or between about 20 mTorr and 8 Torr, or between about 50 mTorr and 5 Torr, or between about 100 mTorr and 2 Torr.
  • Various RF power levels may be employed to generate a plasma if used in operation (iii). In some embodiments, suitable RF power may range from between about 100 W and 10 kW, or between about 200 W and 6 kW, or between about 500 W, and 3 kW, or between about 1 kW and 2 kW.
  • Various dopant-containing film precursor flow rates may be employed in operation (i). In some embodiments, suitable flow rates may range from about or between 0.1 mL/min to 10 mL/min, or about or between 0.5 mL/min and 5 mL/min, or about or between 1 mL/min and 3 mL/min.
  • Various gas flow rates may be used in the various operations. In some embodiments, general gas flow rates may range from about or between 1 L/min and 20 L/min, or about or between 2 L/min and 10 L/min. For the optional inert purge steps in operations (ii) and (iv), an employed burst flow rate may range from about or between 20 L/min and 100 L/min, or about or between 40 L/min and 60 L/min.
  • Once again, in some embodiments, a pump-to-base step refers to pumping the reaction chamber to a base pressure by directly exposing it to one or more vacuum pumps. In some embodiments, the base pressure may typically be only a few milliTorr (e.g., between about 1 and 20 mTorr). Furthermore, as indicated above, a pump-to-base step may or may not be accompanied by an inert purge, and thus carrier gases may or may not be flowing when one or more valves open up the conductance path to the vacuum pump.
  • Chemistries for Formation of Capping Films
  • Various film-forming chemistries may be used for forming the capping film. Capping films may preferably contain a silicon carbide (SiC) material, a silicon nitride (SiN) material, a silicon carbonitride (SiCN) material, or a combination thereof. Methods, techniques, and operations for depositing these types of films are described in detail in U.S. patent application Ser. No. 13/494,836, filed Jun. 12, 2012, titled “REMOTE PLASMA BASED DEPOSITION OF SiOC CLASS OF FILMS,” Attorney Docket No. NOVLP466/NVLS003722; U.S. patent application Ser. No. 13/907,699, filed May 31, 2013, titled “METHOD TO OBTAIN SiC CLASS OF FILMS OF DESIRED COMPOSITION AND FILM PROPERTIES,” Attorney Docket No. LAMRP046/3149; and U.S. patent application Ser. No. 14/062,648, titled “GROUND STATE HYDROGEN RADICAL SOURCES FOR CHEMICAL VAPOR DEPOSITION OF SILICON-CARBON-CONTAINING FILMS”; each of which is hereby incorporated by reference in its entirety and for all purposes.
  • Deposition of such films may utilize one or more silicon-containing film precursors which may be selected from a variety of compounds. Silicon-carbon-containing films (e.g., silicon carbides, silicon-carbon-oxides, silicon carbonitrides, and silicon-carbon-oxynitrides), for instance, may be formed using silicon-containing film precursors such as organosilicon reactants selected and supplied to provide desired composition properties, and in some cases, physical or electronic properties. Examples of suitable organo-silicon reactants/film-precursors may include silanes, alkyl silanes, siloxanes, alkoxy silanes, and amino silanes, among others.
  • Regarding the silanes, non-limiting examples include silane, disilane, trisilane, and higher silanes.
  • Regarding the alkyl silanes, these compounds include a central silicon atom with one or more alkyl groups bonded to it as well as one or more hydrogen atoms bonded to it. In certain embodiments, any one or more of the alkyl groups contain 1-5 carbon atoms. The alkyl groups may be saturated or unsaturated. In some embodiments, these alkyl groups may be used to SiC films. Non-limiting examples of alkyl silanes include dimethylsilane (2MS), trimethylsilane (3MS), tetramethylsilane (4MS), triethylsilane (TES), and pentamethyldisilamethane.
  • Other types of alkyl silanes can include alkylcarbosilanes, alkylaminosilanes, and alkyldisilazanes. Alkylcarbosilanes can have a branched polymeric structure with a carbon bonded to a silicon atom as well as alkyl groups bonded to a silicon atom. Examples include dimethyl trimethylsilyl methane (DTMSM) and bis-dimethylsilyl ethane (BDMSE). Alkylaminosilanes include amines with alkyl groups and bonded to a silicon atom. Examples include dimethylamino dimethylsilane (DMADMS), bis-dimethylamino methylsilane (BDMAMS), and tris-dimethylamino silane (TDMAS). In some embodiments, these alkyl silanes can form SiCN films. Alkyldisilazanes include silizanes and alkyl groups bonded to two silicon atoms. An example includes 1,1,3,3-tetramethyldisilazane (TMDSN). In some embodiments, TMDSN can form SiCN films.
  • Additionally, higher-order silanes may be used in place of monosilanes. An example of one such disilane from the alkyl silane class is hexamethyldisilane (HMDS). Another example of a disilane from the alkyl silane class can include pentamethyldisilane (PMDS), which can be used to form SiC films. In some embodiments, one of the silicon atoms can have a carbon-containing or alkoxy-containing group exclusively attached to it, and one of the silicon atoms can have a hydrogen atom exclusively attached to it.
  • Regarding the siloxanes, also possible class of organo-silicon film-precursors, in some embodiments, the siloxane may be cyclic. Cyclic siloxanes may include cyclotetrasiloxanes, such as 2,4,6,8-tetramethylcyclotetrasiloxane (TMCTS), octamethylcyclotetrasiloxane (OMCTS), and heptamethylcyclotetrasiloxane (HMCTS). Other cyclic siloxanes may include, but are not limited to, cyclotrisiloxanes and cyclopentasiloxanes. Cyclic siloxanes are ring structures that may introduce porosity into a SiC film, with the size of the pores corresponding to the radius of the ring. For example, a cyclotetrasiloxane ring can have a radius of about 6.7 Angstroms. In some embodiments, the siloxane may have a three-dimensional or caged structure. Caged siloxanes have silicon atoms bridged to one another via oxygen atoms to form a polyhedron or any 3-D structure. An example of a caged siloxane precursor molecule is silsesquioxane. Caged siloxane structures are described in further detail in commonly owned U.S. Pat. No. 6,576,345 to Cleemput et al., which is incorporated by reference herein in its entirety for all purposes. Like the cyclic siloxanes, the caged siloxane can introduce porosity into a SiC film. In some embodiments, the porosity scale is mesoporous. In some embodiments, the siloxane may be linear. Linear siloxanes may include, but are not limited to, disiloxanes, such as pentamethyldisiloxane (PMDSO), tetramethyldisiloxane (TMDSO), and hexamethyl trisiloxane. PMDSO and TMDSO may be used to form SiOC films. The structural configuration (that is, linear, cyclic, or caged) of the siloxane may affect film porosity properties. For example, cyclic siloxanes may form microporous films having pores sized according to the cyclic ring size, and caged siloxanes may form mesoporous films.
  • Silicon-carbon-containing films may also include oxygen atoms (e.g., silicon-carbon-oxides and silicon-carbon-oxynitrides) and these may also be formed using siloxanes (e.g., those listed above), as well as other organosilicon reactants that include oxygen, such as the alkoxy silanes. The alkoxy silanes include a central silicon atom with one or more alkoxy groups bonded to it and one or more hydrogen atoms bonded to it. Examples include but are not limited to trimethoxysilane (TMOS), dimethoxysilane (DMOS), methoxysilane (MOS), methyldimethoxysilane (MDMOS), diethoxymethylsilane (DEMS), dimethylethoxysilane (DMES), dimethylaminomethoxysilane (DMAMES), and dimethylmethoxysilane (DMMOS). Many of these precursors may be used to form SiOC films.
  • Silicon-carbon-containing films may also include nitrogen atoms (e.g., silicon-carbon-nitrides and silicon-carbon-oxynitrides) and may be formed using an organosilicon reactant that includes nitrogen, such as amino silanes and silazanes. Non-limiting examples of amino silanes include 2,2-bis(dimethylamino)-4,4-dimethyl-2,4-disilapentane, 2,2,4-trimethyl-4-dimethylamino-3,4-disilapentane, dimethylaminodimethylsilane, bis(dimethylamino)methylsilane, and tris(dimethylamino)silane. 1,1,3,3-tetramethyldisilazane is a non-limiting example of a silazane. Further examples of aminosilanes are mono-, di-, tri- and tetra-aminosilane (H3Si(NH2)4, H2Si(NH2)2, HSi(NH2)3 and Si(NH2)4, respectively), as well as substituted mono-, di-, tri- and tetra-aminosilanes, for example, t-butylaminosilane, methylaminosilane, tert-butylsilanamine, bis(tertiarybutylamino)silane (SiH2(NHC(CH3)3)2 (BTBAS), tert-butyl silylcarbamate, SiH(CH3)—(N(CH3)2)2, SiHCl—(N(CH3)2)2, (Si(CH3)2NH)3 and the like. A further example of an aminosilane is trisilylamine (N(SiH3)3).
  • Furthermore, in some embodiments, a film-precursor may include multiple chemical groups combined into a single precursor. For example, a single precursor can include alkoxy, amino, and alkyl groups, such as DMADMS.
  • In depositing the SiC-containing film, multiple organo-silicon film precursors may be present in the process gas. For example, a siloxane and an alkyl silane may be used together, or a siloxane and an alkoxy silane may be used together. The relative proportions of the individual precursors can be chosen based on the chemical structures of precursors chosen and the application of the resulting SiC film. For example, the amount of siloxane can be greater than the amount of silane in molar percentages to produce a porous film.
  • For the deposition of silicon nitrides (SiN) and silicon carbonitrides (SiCN), an appropriate silicon-containing reactant/film-precursor, such as those described above, may be used in conjunction with a nitrogen-containing co-reactant. Non-limiting examples of nitrogen-containing co-reactants which may be used include ammonia, hydrazine, amines such as methylamine, dimethylamine, ethylamine, isopropylamine, t-butylamine, di-t-butylamine, cyclopropylamine, sec-butylamine, cyclobutylamine, isoamylamine, 2-methylbutan-2-amine, trimethylamine, diisopropylamine, diethylisopropylamine, di-t-butylhydrazine, as well as aromatic containing amines such as anilines, pyridines, and benzylamines. Amines may be primary, secondary, tertiary or quaternary (for example, tetraalkylammonium compounds). A nitrogen-containing co-reactant contains at least one nitrogen, but may also contain heteroatoms other than nitrogen. Thus, for example, hydroxylamine, t-butyloxycarbonyl amine, and N-t-butyl hydroxylamine are considered nitrogen-containing reactants.
  • Finally, for the deposition of silicon oxides (SiOx)—though not preferred by themselves for forming the capping film, in some embodiments, they are preferred for interspersing with dopant-rich portions of a dopant-containing film—an appropriate silicon-containing reactant/film-precursor as described above may be used in conjunction with an appropriate oxidizing reactant. Examples of oxidizing reactants include oxygen, ozone, hydrogen, nitrous oxide, carbon monoxide, mixtures thereof, etc. In one particular example, an oxide film may be deposited by an ALD process using bis(tert-butylamino)silane (BTBAS) as a silicon-containing film precursor in conjunction with oxygen or nitrous oxide serving as an oxidant, e.g. in ALD operation (iii), which may or may not, depending on the embodiment, flow continuously during delivery of the BTBAS (in ALD operation (i)).
  • Chemistries for Formation of Dopant-Containing Films
  • As described in the patent applications listed and incorporated by reference above (US patent application Ser. Nos. 13/084,399, 13/242,084, and 13/224,240), various dopant-containing film precursors may be used for forming the dopant-containing films, such as films of boron-doped silicate glass (BSG) (used as the dopant-containing film in the examples of FIGS. 4 and 5 above), phosphorous-doped silicate glass (PSG), boron phosphorus doped silicate glass (BPSG), arsenic (As) doped silicate glass (ASG), and the like. The dopant-containing films may include B2O3, B2O, P2O5, P2O3, As2O3, As2O5, and the like.
  • One preferred dopant-containing film precursor where the dopant is boron is trimethyl borate (TMB). Other suitable boron-containing film precursors may include: other alkyl borates such as triethyl borate, triisopropyl borate, and tri-n-butyl borate, as well as trimethylboron, triethylboron, triphenylboron, tri-i-propyl borate, tri-n-amyl borate, B-tribromoborazine, tris(pentafluorophenyl)borane, and other similar boron containing compounds.
  • As indicated above, dopant-containing films having dopants other than boron are also feasible. Examples include gallium, phosphorous, or arsenic dopants, or other elements appropriate for doping a semiconductor substrate, such as other valence III and V elements.
  • Particular dopant-containing films having arsenic as the dopant may include, but are not limited to, arseno-silicate or arsenic-doped silicate glass (ASG), arsenic oxides (e.g., As2O3, As2O5), and arsenic oxyhydrides. Dopant-containing film precursors having arsenic as the dopant may include, but are not limited to, the alkylarsine, alkoxyarsine, and aminoarsine chemical families, and include, but are not limited to, the following specific compounds: arsine, triethylaresenate, trimethylarsine, triethylarsine, triphenylarsine, triphenylarsine oxide, ethylenebis(diphenylarsine), tris(dimethylamino)arsine, and As(OR)3 where R is —CH3 or —C2H5 or other alkyl groups (including saturated and unsaturated alkyl groups), and other similar arsenic containing compounds.
  • Particular dopant-containing films having phosphorous as the dopant may include, but are not limited to, phosphorus-doped silicate glass (PSG), and phosphorous oxides (e.g., P2O5, P2O3). Dopant-containing film precursors having phosphorous as the dopant may include, but are not limited to, triethoxyphosphine oxide, alkyl phosphates such as trimethylphosphate, trimethylphosphite, and other similar phosphorous containing compounds. Choice of dopant precursor is typically dictated by ease of integration into existing delivery systems, purity requirements, and overall cost.
  • In some embodiments, the dopant-containing film precursor may be used in combination with a silicon-containing film precursor or other co-reactant. Silicon-containing film precursors that may be used for this purpose include, but are not limited to, silane (SiH4), disilane (Si2H6), and organo silanes such as methylsilane, ethylsilane, isopropylsilane, t-butylsilane, dimethylsilane, diethylsilane, di-t-butylsilane, allylsilane, sec-butylsilane, thexylsilane, isoamylsilane, t-butyldisilane, di-t-butyldisilane, and the like. Other silicon-containing film precursors listed above as applicable to capping-layer formation may also be used for dopant-containing film formation, depending on the embodiment.
  • Again, multiple ALD cycles may be repeated to build up stacks of conformal layers. In some embodiments, each layer may have substantially the same composition whereas in other embodiments, sequentially ALD deposited layers may have differing compositions, or in certain such embodiments, the composition may alternate from layer to layer or there may be a repeating sequence of layers having different compositions, as described above. Thus, depending on the embodiment, certain stack engineering concepts, such as those disclosed in the patent applications listed and incorporated by reference above (U.S. patent application Ser. Nos. 13/084,399, 13/242,084, and 13/224,240) may be used to modulate boron, phosphorus, or arsenic concentration in these films.
  • Apparatuses
  • The methods described herein may be performed with any suitable semiconductor substrate processing apparatus. A suitable apparatus includes hardware for accomplishing the process operations and a system controller having instructions for controlling process operations in accordance with the various channel doping methodologies disclosed herein. In some embodiments, the hardware may include one or more process stations included in a multi-station substrate processing tool, and a controller having (or having access to) machine-readable instructions for controlling process operations in accordance with the processing techniques disclosed herein.
  • Thus, in some embodiments, an apparatus suitable for doping the fin-shaped channel regions of partially fabricated 3-D transistors on the surfaces of semiconductor substrates may include a plurality of process stations each having a substrate holder contained in one or more processing chambers, one or more valves for controlling flow of dopant-containing film precursor to the process stations, and one or more valve-operated vacuum sources for removing dopant-containing film precursor from the volumes surrounding the process stations contained in the one or more processing chambers. And, such an apparatus may also include a controller having (or having access to) machine-readable instructions for operating the one or more valves and one or more vacuum sources to dope the fin-shaped channel regions on the surfaces of the substrates. Thus, in some embodiments, said instructions executed by the controller may include instructions for forming a dopant-containing film on a substrate at a process station contained in a processing chamber, wherein multiple dopant-containing layers of the film are formed by an ALD process. Thus, in certain such embodiments, said instructions executed by the controller may include instructions for performing ALD operations (i) though (iv) as described above, and instructions for repeating ALD operations (i) through (iv) multiple times to form multiple layers of dopant-containing film on the multiple substrates at the multiple process stations of the substrate processing apparatus. And, in some embodiments, instructions executed by the controller may further include instructions for forming a capping film comprising a silicon carbide material, a silicon nitride material, a silicon carbonitride material, or a combination thereof, and instructions for driving dopant from the dopant-containing film into the fin-shaped channel regions of partially-manufactured 3-D transistors on multiple substrates.
  • Accordingly, FIG. 8 schematically shows an embodiment of a reaction chamber process station 800. For simplicity, process station 800 is depicted as a standalone process station having a process chamber body 802 for maintaining a low-pressure environment. However, it will be appreciated that a plurality of process stations 800 may be included in a common process tool environment—e.g., within a common reaction chamber. For example, FIG. 9 depicts an embodiment of a multi-station processing tool. Further, it will be appreciated that, in some embodiments, one or more hardware parameters of process station 800, including those discussed in detail above, may be adjusted programmatically by one or more system controllers.
  • Process station 800 fluidly communicates with reactant delivery system 801 for delivering process gases to a distribution showerhead 806. Reactant delivery system 801 includes a mixing vessel 804 for blending and/or conditioning process gases for delivery to showerhead 806. One or more mixing vessel inlet valves 820 may control introduction of process gases to mixing vessel 804.
  • Some reactants may be stored in liquid form prior to vaporization and subsequent delivery to the process chamber 802. The embodiment of FIG. 8 includes a vaporization point 803 for vaporizing liquid reactant to be supplied to mixing vessel 804. In some embodiments, vaporization point 803 may be a heated liquid injection module. In some embodiments, vaporization point 803 may be a heated vaporizer. The saturated reactant vapor produced from such modules/vaporizers may condense in downstream delivery piping when adequate controls are not in place (e.g., when no helium is used in vaporizing/atomizing the liquid reactant). Exposure of incompatible gases to the condensed reactant may create small particles. These small particles may clog piping, impede valve operation, contaminate substrates, etc. Some approaches to addressing these issues involve sweeping and/or evacuating the delivery piping to remove residual reactant. However, sweeping the delivery piping may increase process station cycle time, degrading process station throughput. Thus, in some embodiments, delivery piping downstream of vaporization point 803 may be heat treated. In some examples, mixing vessel 804 may also be heat treated. In one non-limiting example, piping downstream of vaporization point 803 has an increasing temperature profile extending from approximately 100° C. to approximately 150° C. at mixing vessel 804.
  • As mentioned, in some embodiments the vaporization point 803 may be a heated liquid injection module (“liquid injector” for short). Such a liquid injector may inject pulses of a liquid reactant into a carrier gas stream upstream of the mixing vessel. In one scenario, a liquid injector may vaporize reactant by flashing the liquid from a higher pressure to a lower pressure. In another scenario, a liquid injector may atomize the liquid into dispersed microdroplets that are subsequently vaporized in a heated delivery pipe. It will be appreciated that smaller droplets may vaporize faster than larger droplets, reducing a delay between liquid injection and complete vaporization. Faster vaporization may reduce a length of piping downstream from vaporization point 803. In one scenario, a liquid injector may be mounted directly to mixing vessel 804. In another scenario, a liquid injector may be mounted directly to showerhead 806.
  • In some embodiments, a liquid flow controller (LFC) upstream of vaporization point 803 may be provided for controlling a mass flow of liquid for vaporization and delivery to process station 800. For example, the LFC may include a thermal mass flow meter (MFM) located downstream of the LFC. A plunger valve of the LFC may then be adjusted responsive to feedback control signals provided by a proportional-integral-derivative (PID) controller in electrical communication with the MFM. However, it may take one second or more to stabilize liquid flow using feedback control. This may extend a time for dosing a liquid reactant. Thus, in some embodiments, the LFC may be dynamically switched between a feedback control mode and a direct control mode. In some embodiments, the LFC may be dynamically switched from a feedback control mode to a direct control mode by disabling a sense tube of the LFC and the PID controller.
  • Showerhead 806 distributes process gases and/or reactants (e.g., dopant-containing film precursor) toward substrate 812 at the process station, the flow of which is controlled by one or more valves upstream from the showerhead (e.g., valves 820, 820A, 805). In the embodiment shown in FIG. 8, substrate 812 is located beneath showerhead 806, and is shown resting on a pedestal 808. It will be appreciated that showerhead 806 may have any suitable shape, and may have any suitable number and arrangement of ports for distributing processes gases to substrate 812.
  • In some embodiments, a microvolume 807 is located beneath showerhead 806. Performing an ALD process in a microvolume in the process station near the substrate rather than in the entire volume of a processing chamber may reduce reactant exposure and sweep times, may reduce times for altering process conditions (e.g., pressure, temperature, etc.), may limit an exposure of process station robotics to process gases, etc. Example microvolume sizes include, but are not limited to, volumes between 0.1 liter and 2 liters.
  • In some embodiments, pedestal 808 may be raised or lowered to expose substrate 812 to microvolume 807 and/or to vary a volume of microvolume 807. For example, in a substrate transfer phase, pedestal 808 may be lowered to allow substrate 812 to be loaded onto pedestal 808. During a deposition on substrate process phase, pedestal 808 may be raised to position substrate 812 within microvolume 807. In some embodiments, microvolume 807 may completely enclose substrate 812 as well as a portion of pedestal 808 to create a region of high flow impedance during a deposition process.
  • Optionally, pedestal 808 may be lowered and/or raised during portions the deposition process to modulate process pressure, reactant concentration, etc. within microvolume 807. In one scenario where process chamber body 802 remains at a base pressure during the process, lowering pedestal 808 may allow microvolume 807 to be evacuated. Example ratios of microvolume to process chamber volume include, but are not limited to, volume ratios between 1:500 and 1:10. It will be appreciated that, in some embodiments, pedestal height may be adjusted programmatically by a suitable system controller.
  • In another scenario, adjusting a height of pedestal 808 may allow a plasma density to be varied during plasma activation and/or treatment cycles included, for example, in an ALD or CVD process. At the conclusion of a deposition process phase, pedestal 808 may be lowered during another substrate transfer phase to allow removal of substrate 812 from pedestal 808.
  • While the example microvolume variations described herein refer to a height-adjustable pedestal, it will be appreciated that, in some embodiments, a position of showerhead 806 may be adjusted relative to pedestal 808 to vary a volume of microvolume 807. Further, it will be appreciated that a vertical position of pedestal 808 and/or showerhead 806 may be varied by any suitable mechanism within the scope of the present disclosure. In some embodiments, pedestal 808 may include a rotational axis for rotating an orientation of substrate 812. It will be appreciated that, in some embodiments, one or more of these example adjustments may be performed programmatically by one or more suitable system controllers having machine-readable instructions for performing all or a subset of the foregoing operations.
  • Returning to the embodiment shown in FIG. 8, showerhead 806 and pedestal 808 electrically communicate with RF power supply 814 and matching network 816 for powering a plasma. In some embodiments, the plasma energy may be controlled (e.g., via a system controller having appropriate machine-readable instructions) by controlling one or more of a process station pressure, a gas concentration, an RF source power, an RF source frequency, and a plasma power pulse timing. For example, RF power supply 814 and matching network 816 may be operated at any suitable power to form a plasma having a desired composition of radical species. Examples of suitable powers are included above. Likewise, RF power supply 814 may provide RF power of any suitable frequency. In some embodiments, RF power supply 814 may be configured to control high- and low-frequency RF power sources independently of one another. Example low-frequency RF frequencies may include, but are not limited to, frequencies between 50 kHz and 500 kHz. Example high-frequency RF frequencies may include, but are not limited to, frequencies between 1.8 MHz and 2.45 GHz. It will be appreciated that any suitable parameters may be modulated discretely or continuously to provide plasma energy for the surface reactions. In one non-limiting example, the plasma power may be intermittently pulsed to reduce ion bombardment with the substrate surface relative to continuously powered plasmas.
  • In some embodiments, the plasma may be monitored in-situ by one or more plasma monitors. In one scenario, plasma power may be monitored by one or more voltage, current sensors (e.g., VI probes). In another scenario, plasma density and/or process gas concentration may be measured by one or more optical emission spectroscopy (OES) sensors. In some embodiments, one or more plasma parameters may be programmatically adjusted based on measurements from such in-situ plasma monitors. For example, an OES sensor may be used in a feedback loop for providing programmatic control of plasma power. It will be appreciated that, in some embodiments, other monitors may be used to monitor the plasma and other process characteristics. Such monitors may include, but are not limited to, infrared (IR) monitors, acoustic monitors, and pressure transducers.
  • In some embodiments, the plasma may be controlled via input/output control (IOC) sequencing instructions. In one example, the instructions for setting plasma conditions for a plasma activation phase may be included in a corresponding plasma activation recipe phase of a process recipe. In some cases, process recipe phases may be sequentially arranged, so that all instructions for a process phase are executed concurrently with that process phase. In some embodiments, instructions for setting one or more plasma parameters may be included in a recipe phase preceding a plasma process phase. For example, a first recipe phase may include instructions for setting a flow rate of an inert (e.g., helium) and/or a reactant gas, instructions for setting a plasma generator to a power set point, and time delay instructions for the first recipe phase. A second, subsequent recipe phase may include instructions for enabling the plasma generator and time delay instructions for the second recipe phase. A third recipe phase may include instructions for disabling the plasma generator and time delay instructions for the third recipe phase. It will be appreciated that these recipe phases may be further subdivided and/or iterated in any suitable way within the scope of the present disclosure.
  • In some deposition processes, plasma strikes last on the order of a few seconds or more in duration. In certain implementations described herein, much shorter plasma strikes may be applied during a processing cycle. These may be on the order of 50 milliseconds to 1 second, with 0.25 seconds being a specific example. Such short RF plasma strikes require quick stabilization of the plasma. To accomplish this, the plasma generator may be configured such that the impedance match is preset to a particular voltage, while the frequency is allowed to float. Conventionally, high-frequency plasmas are generated at an RF frequency at about 13.56 MHz. In various embodiments disclosed herein, the frequency is allowed to float to a value that is different from this standard value. By permitting the frequency to float while fixing the impedance match to a predetermined voltage, the plasma can stabilize much more quickly, a result which may be important when using the very short plasma strikes associated with ALD cycles.
  • In some embodiments, pedestal 808 may be temperature controlled via heater 810. Further, in some embodiments, pressure control for process station 800 may be provided by one or more valve-operated vacuum sources such as butterfly valve 818. As shown in the embodiment of FIG. 8, butterfly valve 818 throttles a vacuum provided by a downstream vacuum pump (not shown). However, in some embodiments, pressure control of process station 800 may also be adjusted by varying a flow rate of one or more gases introduced to process station 800. In some embodiments, the one or more valve-operated vacuum sources—such as butterfly valve 818—may be used for removing dopant-containing film precursor from the volumes surrounding the process stations during the appropriate ALD operational phases.
  • As described above, one or more process stations may be included in a multi-station substrate processing tool. FIG. 9 schematically illustrates an example of a multi-station processing tool 900 which includes a plurality of process stations 901, 902, 903, 904 in a common low-pressure processing chamber 914. By maintaining each station in a low-pressure environment, defects caused by vacuum breaks between film deposition processes may be avoided.
  • As shown in FIG. 9, the multi-station processing tool 900 has an inbound load lock 922 and an outbound load lock 924, either or both of which may comprise a remote plasma source. A robot 926, at atmospheric pressure, is configured to move wafers from a cassette loaded through a pod 928 into inbound load lock 922 via an atmospheric port 920. A wafer is placed by the robot 926 on a pedestal 912 in the inbound load lock 922, the atmospheric port 920 is closed, and the load lock is pumped down. Where the inbound load lock 922 comprises a remote plasma source, the wafer may be exposed to a remote plasma treatment in the load lock prior to being introduced into a processing chamber 914. Further, the wafer also may be heated in the inbound load lock 922, for example, to remove moisture and adsorbed gases. Next, a chamber transport port 916 to processing chamber 914 is opened, and another robot (not shown) places the wafer into the processing chamber on a pedestal 918 at process station 901. While the embodiment depicted in FIG. 9 includes load locks, it will be appreciated that, in some embodiments, direct entry of a wafer substrate into a processing chamber may be provided.
  • The depicted processing chamber 914 shown in FIG. 9 provides four process stations, 901, 902, 903, and 904. Each station has a heated pedestal (shown at 918 for process station 901) and gas line inlets. It will be appreciated that in some embodiments, each process station may have different or multiple purposes. For example, in some embodiments, a process station may be switchable between an ALD process mode and a CVD process mode. Additionally or alternatively, in some embodiments, processing chamber 914 may include one or more matched pairs of ALD/CVD process stations. While the depicted processing chamber 914 comprises 4 process stations, it will be understood that a processing chamber according to the present disclosure may have any suitable number of stations. For example, in some embodiments, a processing chamber may have 1, or 2, or 3, or 4, or 5, or 6, or 7, or 8, or 9, or 10, or 11, or 12, or 13, or 14, or 15, or 16, or more process stations (or a set of embodiments may be described as having a number of process stations per reaction chamber within a range defined by any pair of the foregoing values, such as having 2 to 6 process stations per reaction chamber, or 4 to 8 process stations per reaction chamber, or 8 to 16 process stations per reaction chamber, etc.).
  • FIG. 9 also depicts an embodiment of a wafer handling system 990 for transferring wafers within processing chamber 914. In some embodiments, wafer handling system 990 may transfer wafers between various process stations and/or between a process station and a load lock. It will be appreciated that any suitable wafer handling system may be employed. Non-limiting examples include wafer carousels and wafer handling robots.
  • FIG. 9 also depicts an embodiment of a system controller 950 employed to control process conditions and hardware states of process tool 900 and its process stations. System controller 950 may include one or more memory devices 956, one or more mass storage devices 954, and one or more processors 952. Processor 952 may include one or more CPUs, ASICs, general-purpose computer(s) and/or specific purpose computer(s), one or more analog and/or digital input/output connection(s), one or more stepper motor controller board(s), etc.
  • In some embodiments, system controller 950 controls some or all of the operations of process tool 900 including the operations of its individual process stations. System controller 950 may execute machine-readable system control instructions 958 on processor 952—the system control instructions 958, in some embodiments, loaded into memory device 956 from mass storage device 954. System control instructions 958 may include instructions for controlling the timing, mixture of gaseous and liquid reactants, chamber and/or station pressure, chamber and/or station temperature, wafer temperature, target power levels, RF power levels, RF exposure time, substrate pedestal, chuck, and/or susceptor position, and other parameters of a particular process performed by process tool 900. These processes may include various types of processes including, but not limited to, processes related to deposition of film on substrates. System control instructions 958 may be configured in any suitable way. For example, various process tool component subroutines or control objects may be written to control operation of the process tool components necessary to carry out various process tool processes. System control instructions 958 may be coded in any suitable computer readable programming language. In some embodiments, system control instructions 958 are implemented in software, in other embodiments, the instructions may be implemented in hardware—for example, hard-coded as logic in an ASIC (application specific integrated circuit), or, in other embodiments, implemented as a combination of software and hardware.
  • In some embodiments, system control software 958 may include input/output control (IOC) sequencing instructions for controlling the various parameters described above. For example, each phase of a deposition process or processes may include one or more instructions for execution by system controller 950. The instructions for setting process conditions for a dopant-containing film deposition process phase, for example, may be included in a corresponding deposition recipe phase, and likewise for a capping film deposition phase. In some embodiments, the recipe phases may be sequentially arranged, so that all instructions for a process phase are executed concurrently with that process phase.
  • Other computer-readable instructions and/or programs stored on mass storage device 954 and/or memory device 956 associated with system controller 950 may be employed in some embodiments. Examples of programs or sections of programs include a substrate positioning program, a process gas control program, a pressure control program, a heater control program, and a plasma control program.
  • A substrate positioning program may include instructions for process tool components that are used to load the substrate onto pedestal 918 and to control the spacing between the substrate and other parts of process tool 900. The positioning program may include instructions for appropriately moving substrates in and out of the reaction chamber as necessary to deposit dopant-containing and capping films on the substrates.
  • A process gas control program may include instructions for controlling gas composition and flow rates and optionally for flowing gas into the volumes surrounding one or more process stations prior to deposition in order to stabilize the pressure in these volumes. In some embodiments, the process gas control program may include instructions for introducing certain gases into the volume(s) surrounding the one or more process stations within a processing chamber during deposition of a dopant-containing film on a substrates, and for introducing different gases during deposition of a capping film on the substrates. The process gas control program may also include instructions to deliver these gases at the same rates, for the same durations, or at different rates and/or for different durations depending on the composition of the film being deposited. The process gas control program may also include instructions for atomizing/vaporizing a liquid reactant in the presence of helium or some other carrier gas in a heated injection module.
  • A pressure control program may include instructions for controlling the pressure in the process station by regulating, for example, a throttle valve in the exhaust system of the process station, a gas flow into the process station, etc. The pressure control program may include instructions for maintaining the same or different pressures during deposition of the various film types on the substrates.
  • A heater control program may include instructions for controlling the current to a heating unit that is used to heat the substrates. Alternatively or in addition, the heater control program may control delivery of a heat transfer gas (such as helium) to the substrate. The heater control program may include instructions for maintaining the same or different temperatures in the reaction chamber and/or volumes surrounding the process stations during deposition of the various film types on the substrates.
  • A plasma control program may include instructions for setting RF power levels, frequencies, and exposure times in one or more process stations in accordance with the embodiments herein. In some embodiments, the plasma control program may include instructions for using the same or different RF power levels and/or frequencies and/or exposure times during deposition of the dopant-containing film and capping film types on the substrates.
  • In some embodiments, there may be a user interface associated with system controller 950. The user interface may include a display screen, graphical software displays of the apparatus and/or process conditions, and user input devices such as pointing devices, keyboards, touch screens, microphones, etc.
  • In some embodiments, parameters adjusted by system controller 950 may relate to process conditions. Non-limiting examples include process gas compositions and flow rates, temperatures, pressures, plasma conditions (such as RF bias power levels and exposure times), etc. These parameters may be provided to the user in the form of a recipe, which may be entered utilizing the user interface.
  • Signals for monitoring the processes may be provided by analog and/or digital input connections of system controller 950 from various process tool sensors. The signals for controlling the processes may be output on the analog and/or digital output connections of process tool 900. Non-limiting examples of process tool sensors that may be monitored include mass flow controllers (MFCs), pressure sensors (such as manometers), thermocouples, etc. Appropriately programmed feedback and control algorithms may be used with data from these sensors to maintain process conditions.
  • System controller 950 may provide machine-readable instructions for implementing the above-described deposition processes. The instructions may control a variety of process parameters, such as DC power level, RF bias power level, pressure, temperature, etc. The instructions may control the parameters to operate in-situ deposition of film stacks according to various embodiments described herein.
  • The system controller will typically include one or more memory devices and one or more processors configured to execute machine-readable instructions so that the apparatus will perform operations in accordance with the processes disclosed herein. Machine-readable, non-transitory media containing instructions for controlling operations in accordance with the substrate doping processes disclosed herein may be coupled to the system controller.
  • The various apparatuses and methods described above may be used in conjunction with lithographic patterning tools and/or processes, for example, for the fabrication or manufacture of semiconductor devices, displays, LEDs, photovoltaic panels and the like. Typically, though not necessarily, such tools will be used or processes conducted together and/or contemporaneously in a common fabrication facility.
  • Lithographic patterning of a film typically includes some or all of the following operations, each operation enabled with a number of possible tools: (1) application of photoresist on a substrate, e.g., a substrate having a silicon nitride film formed thereon, using a spin-on or spray-on tool; (2) curing of photoresist using a hot plate or furnace or other suitable curing tool; (3) exposing the photoresist to visible or UV or x-ray light with a tool such as a wafer stepper; (4) developing the resist so as to selectively remove resist and thereby pattern it using a tool such as a wet bench or a spray developer; (5) transferring the resist pattern into an underlying film or substrate by using a dry or plasma-assisted etching tool; and (6) removing the resist using a tool such as an RF or microwave plasma resist stripper. In some embodiments, an ashable hard mask layer (such as an amorphous carbon layer) and another suitable hard mask (such as an antireflective layer) may be deposited prior to applying the photoresist.
  • Other Embodiments
  • Although the foregoing disclosed processes, methods, systems, apparatuses, and compositions have been described in detail within the context of specific embodiments for the purpose of promoting clarity and understanding, it will be apparent to one of ordinary skill in the art that there are many alternative ways of implementing these processes, methods, systems, apparatuses, and compositions which are within the spirit of this disclosure. Accordingly, the embodiments described herein are to be viewed as illustrative of the disclosed inventive concepts rather than restrictively, and are not to be used as an impermissible basis for unduly limiting the scope of any claims eventually directed to the subject matter of this disclosure.

Claims (31)

1. A method of doping a fin-shaped channel region of a partially fabricated 3-D transistor on a semiconductor substrate, the method comprising:
(a) forming a dopant-containing film on the substrate, wherein multiple dopant-containing layers of the film are formed by an atomic layer deposition process comprising:
(i) adsorbing a dopant-containing film precursor onto the substrate such that the precursor forms an adsorption-limited layer on the substrate;
(ii) removing at least some unadsorbed dopant-containing film precursor from the volume surrounding the adsorbed precursor;
(iii) reacting adsorbed dopant-containing film precursor, after removing unadsorbed precursor in (ii), to form a dopant-containing layer on the substrate;
(iv) removing desorbed dopant-containing film precursor and/or reaction by-product from the volume surrounding the dopant-containing layer when present after reacting the adsorbed precursor; and
(v) repeating (i) through (iv) to form multiple dopant-containing layers of the dopant-containing film;
(b) forming a capping film comprising a silicon carbide material, a silicon nitride material, a silicon carbonitride material, or a combination thereof, the capping film located such that the dopant-containing film formed in (a) is located in between the substrate and the capping film; and
(c) driving dopant from the dopant-containing film into the fin-shaped channel region.
2. The method of claim 1, further comprising:
(d) after (c), removing at least a portion of the dopant containing film and/or at least a portion of the capping film from the substrate.
3. The method of claim 1, wherein the dopant-containing film substantially conforms to the shape of the fin-shaped channel region.
4. The method of claim 1, wherein the driving in (c) comprises a thermal anneal which enhances diffusion of dopant from the dopant-containing film to the fin-shaped channel region.
5. The method of claim 1, wherein the dopant is boron.
6. The method of claim 5, wherein at least some of the dopant-containing layers comprise a borosilicate glass.
7. The method of claim 6, wherein the dopant-containing film precursor is an alkyl borate.
8. The method of claim 7, wherein the alkyl borate is trimethyl borate.
9. The method of claim 1, wherein the dopant is phosphorous.
10. The method of claim 1, wherein the dopant is arsenic.
11. The method of claim 1, wherein the reacting in (a)(iii) comprises contacting the adsorbed film precursor with a plasma.
12. The method of claim 1, wherein the reacting in (a)(iii) comprises reacting the adsorbed film precursor with another reactive chemical species which may or may not be first adsorbed onto the substrate.
13. The method of claim 1, wherein forming the capping film comprises a chemical vapor deposition process.
14. The method of claim 13, wherein the chemical vapor deposition process is plasma enhanced.
15. The method of claim 1, wherein forming the capping film comprises an atomic layer deposition process.
16. The method of claim 1, wherein the fin-shaped channel region has a width of less than about 12 nanometers.
17. The method of claim 1, wherein the average thickness of the capping layer is between about 10 and 100 Angstroms.
18. The method of claim 1, wherein the relative standard deviation in the thickness of the capping layer is less than about 10%.
19. The method of claim 1, wherein the average combined concentration of silicon carbide, silicon nitride and silicon carbonitride in the capping film is between about 2 and 3 g/cm3.
20. The method of claim 1, wherein (a) further comprises forming multiple layers of material substantially free of the dopant, at least some of the layers formed by an atomic layer deposition process comprising:
(vi) adsorbing a dopant-free film precursor onto the substrate such that the precursor forms an adsorption-limited layer on the substrate;
(vii) removing unadsorbed dopant-free film precursor from the volume surrounding the adsorbed precursor;
(viii) reacting the adsorbed dopant-free film precursor, after removing unadsorbed precursor in (vii), to form a substantially dopant-free layer on the substrate;
(ix) removing desorbed dopant-free film precursor and/or reaction by-product from the volume surrounding the substantially dopant-free layer when present after reacting the adsorbed precursor; and
(x) repeating (vi) through (ix) to form multiple substantially dopant-free layers of the dopant-containing film.
21. The method of claim 20, wherein at least some of the substantially dopant-free layers comprise a dielectric material.
22. The method of claim 21, wherein the dielectric material is silicon dioxide.
23. The method of claim 20, wherein in (a):
a first dopant-rich portion of the film is formed by depositing multiple dopant-containing layers in (i) through (v) sequentially, without intervening deposition of a substantially dopant-free layer; and
a first substantially dopant-free portion of the film is formed by depositing multiple substantially dopant-free layers in (vi) through (x) sequentially, without intervening deposition of a dopant-containing layer.
24. The method of claim 23, wherein in (a):
a second dopant-rich portion of the film is formed by depositing multiple dopant-containing layers in (i) through (v) sequentially, without intervening deposition of a substantially dopant-free layer; and
a second substantially dopant-free portion of the film is formed by depositing multiple substantially dopant-free layers in (vi) through (x) sequentially, without intervening deposition of a dopant-containing layer; and
wherein the first and second dopant-rich and substantially dopant-free portions of the film are deposited in the following sequence: first dopant-rich portion, then first substantially dopant-free portion, then second dopant-rich portion, then second substantially dopant-free portion.
25. A dopant-containing film for doping the fin-shaped channel region of a partially fabricated 3-D transistor on a semiconductor substrate, the film comprising:
a first dopant-rich portion of the film formed by conformally depositing multiple dopant-containing layers sequentially, without intervening deposition of a substantially dopant-free layer;
a first substantially dopant-free portion of the film formed by conformally depositing multiple substantially dopant-free layers sequentially, without intervening deposition of a dopant-containing layer;
a second dopant-rich portion of the film formed by conformally depositing multiple dopant-containing layers sequentially, without intervening deposition of a substantially dopant-free layer;
a second substantially dopant-free portion of the film formed by conformally depositing multiple substantially dopant-free layers sequentially, without intervening deposition of a dopant-containing layer; and
a capping film comprising a silicon carbide material, a silicon nitride material, a silicon carbonitride material, or a combination thereof;
wherein:
the first substantially dopant-free portion is located between the first and second dopant-rich portions;
the second dopant-rich portion is located between the first and second substantially dopant-free portions; and
the layer of capping film is located such that the first and second dopant-rich portions and the first and second substantially dopant-free portions are in between the substrate and the capping film.
26. The dopant-containing film of claim 25, wherein the dopant is boron.
27. The dopant-containing film of claim 26, wherein at least some of the dopant-containing layers comprise a borosilicate glass.
28. The dopant-containing film of claim 27, wherein the dopant-containing film precursor is an alkyl borate.
29. The dopant-containing film of claim 25, wherein at least some of the substantially dopant-free layers comprise a dielectric material.
30. The dopant-containing film of claim 29, wherein the dielectric material is silicon dioxide.
31. A multi-station substrate processing apparatus for doping the fin-shaped channel regions of partially fabricated 3-D transistors on the surfaces of multiple semiconductor substrates at multiple process stations, the apparatus comprising:
a plurality of process stations contained in one or more processing chambers, each process station having a substrate holder;
one or more valves for controlling flow of dopant-containing film precursor to the process stations;
one or more valve-operated vacuum sources for removing dopant-containing film precursor from the volumes surrounding the process stations contained in the one or more processing chambers; and
one or more controllers comprising machine-readable instructions for operating the one or more valves and one or more vacuum sources to dope the fin-shaped channel regions on the surfaces of the substrates, including instructions for:
(a) forming a dopant-containing film on a substrate at a process station contained in a processing chamber, wherein multiple dopant-containing layers of the film are formed by an atomic layer deposition process comprising:
(i) introducing a dopant-containing film precursor into the processing chamber containing the process station having the substrate holder holding the substrate, and allowing the precursor to adsorb onto the surface of the substrate such that the precursor forms an adsorption-limited layer on the substrate;
(ii) removing unadsorbed dopant-containing film precursor from the volume surrounding the adsorbed precursor;
(iii) reacting adsorbed dopant-containing film precursor, after removing unadsorbed precursor in (ii), to form a dopant-containing layer on the substrate;
(iv) removing desorbed dopant-containing film precursor and/or reaction by-product from the volume surrounding the dopant-containing layer when present after reacting the adsorbed precursor; and
(v) repeating (i) through (iv) to form multiple dopant-containing layers of the dopant-containing film;
(b) forming a capping film comprising a silicon carbide material, a silicon nitride material, a silicon carbonitride material, or a combination thereof, the capping film located such that the dopant-containing film formed in (a) is in between the substrate and the capping film; and
(c) driving dopant from the dopant-containing film into a fin-shaped channel region.
US14/194,549 2010-04-15 2014-02-28 Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors Active 2031-07-11 US9997357B2 (en)

Priority Applications (9)

Application Number Priority Date Filing Date Title
US14/194,549 US9997357B2 (en) 2010-04-15 2014-02-28 Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
TW104106165A TWI682438B (en) 2014-02-28 2015-02-26 Capped ald films for doping fin-shaped channel regions of 3-d ic transistors
KR1020150028413A KR102406983B1 (en) 2014-02-28 2015-02-27 capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
CN202011057147.6A CN112635563A (en) 2014-02-28 2015-02-28 Blanket ALD film for doping fin-shaped channel regions of 3-D IC transistors
CN201510091775.9A CN104882381A (en) 2014-02-28 2015-02-28 Capped ald films for doping fin-shaped channel regions of 3-d ic transistors
US15/976,793 US10559468B2 (en) 2010-04-15 2018-05-10 Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US16/556,122 US11011379B2 (en) 2010-04-15 2019-08-29 Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
KR1020220068022A KR102648013B1 (en) 2014-02-28 2022-06-03 capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
KR1020220068027A KR102658989B1 (en) 2014-02-28 2022-06-03 capped ALD films for doping fin-shaped channel regions of 3-D IC transistors

Applications Claiming Priority (10)

Application Number Priority Date Filing Date Title
US32471010P 2010-04-15 2010-04-15
US37236710P 2010-08-10 2010-08-10
US37908110P 2010-09-01 2010-09-01
US41780710P 2010-11-29 2010-11-29
US13/084,305 US20110256734A1 (en) 2010-04-15 2011-04-11 Silicon nitride films and methods
US13/084,399 US8728956B2 (en) 2010-04-15 2011-04-11 Plasma activated conformal film deposition
US13/242,084 US8637411B2 (en) 2010-04-15 2011-09-23 Plasma activated conformal dielectric film deposition
US201261649114P 2012-05-18 2012-05-18
US13/607,386 US8956983B2 (en) 2010-04-15 2012-09-07 Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US14/194,549 US9997357B2 (en) 2010-04-15 2014-02-28 Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US13/607,386 Continuation-In-Part US8956983B2 (en) 2010-04-15 2012-09-07 Conformal doping via plasma activated atomic layer deposition and conformal film deposition

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US15/976,793 Continuation US10559468B2 (en) 2010-04-15 2018-05-10 Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors

Publications (3)

Publication Number Publication Date
US20150249013A1 US20150249013A1 (en) 2015-09-03
US20160379826A9 true US20160379826A9 (en) 2016-12-29
US9997357B2 US9997357B2 (en) 2018-06-12

Family

ID=53949826

Family Applications (3)

Application Number Title Priority Date Filing Date
US14/194,549 Active 2031-07-11 US9997357B2 (en) 2010-04-15 2014-02-28 Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US15/976,793 Active US10559468B2 (en) 2010-04-15 2018-05-10 Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US16/556,122 Active US11011379B2 (en) 2010-04-15 2019-08-29 Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors

Family Applications After (2)

Application Number Title Priority Date Filing Date
US15/976,793 Active US10559468B2 (en) 2010-04-15 2018-05-10 Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US16/556,122 Active US11011379B2 (en) 2010-04-15 2019-08-29 Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors

Country Status (4)

Country Link
US (3) US9997357B2 (en)
KR (2) KR102406983B1 (en)
CN (2) CN112635563A (en)
TW (1) TWI682438B (en)

Cited By (267)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9673041B2 (en) 2010-04-15 2017-06-06 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for patterning applications
US9786570B2 (en) 2012-11-08 2017-10-10 Novellus Systems, Inc. Methods for depositing films on sensitive substrates
US9793110B2 (en) 2010-04-15 2017-10-17 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9875891B2 (en) 2014-11-24 2018-01-23 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US20180174826A1 (en) * 2016-12-15 2018-06-21 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10043655B2 (en) 2010-04-15 2018-08-07 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10373806B2 (en) 2016-06-30 2019-08-06 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US10559468B2 (en) 2010-04-15 2020-02-11 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US10561975B2 (en) 2014-10-07 2020-02-18 Asm Ip Holdings B.V. Variable conductance gas distribution apparatus and method
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10604847B2 (en) 2014-03-18 2020-03-31 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10622375B2 (en) 2016-11-07 2020-04-14 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10665452B2 (en) 2016-05-02 2020-05-26 Asm Ip Holdings B.V. Source/drain performance through conformal solid state doping
US10672636B2 (en) 2017-08-09 2020-06-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10720331B2 (en) 2016-11-01 2020-07-21 ASM IP Holdings, B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10720322B2 (en) 2016-02-19 2020-07-21 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top surface
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10734223B2 (en) 2017-10-10 2020-08-04 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10741385B2 (en) 2016-07-28 2020-08-11 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755923B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10784102B2 (en) 2016-12-22 2020-09-22 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10844486B2 (en) 2009-04-06 2020-11-24 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
USD913980S1 (en) 2018-02-01 2021-03-23 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
US11453943B2 (en) * 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11646198B2 (en) 2015-03-20 2023-05-09 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
US11972944B2 (en) 2022-10-21 2024-04-30 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition

Families Citing this family (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
KR102207992B1 (en) 2012-10-23 2021-01-26 램 리써치 코포레이션 Sub-saturated atomic layer deposition and conformal film deposition
US11549181B2 (en) 2013-11-22 2023-01-10 Applied Materials, Inc. Methods for atomic layer deposition of SiCO(N) using halogenated silylamides
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US10100407B2 (en) 2014-12-19 2018-10-16 Lam Research Corporation Hardware and process for film uniformity improvement
US9515072B2 (en) * 2014-12-26 2016-12-06 Taiwan Semiconductor Manufacturing Company Ltd. FinFET structure and method for manufacturing thereof
KR102323248B1 (en) * 2015-03-25 2021-11-09 에이에스엠 아이피 홀딩 비.브이. Method of forming a thin film
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US9947658B2 (en) * 2015-10-28 2018-04-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10553465B2 (en) * 2016-07-25 2020-02-04 Lam Research Corporation Control of water bow in multiple stations
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10446681B2 (en) 2017-07-10 2019-10-15 Micron Technology, Inc. NAND memory arrays, and devices comprising semiconductor channel material and nitrogen
US10297611B1 (en) 2017-12-27 2019-05-21 Micron Technology, Inc. Transistors and arrays of elevationally-extending strings of memory cells
US10559466B2 (en) 2017-12-27 2020-02-11 Micron Technology, Inc. Methods of forming a channel region of a transistor and methods used in forming a memory array
US20220136104A1 (en) * 2019-03-12 2022-05-05 Lam Research Corporation Multi-station semiconductor processing with independently adjustable pedestals
CN110106550A (en) * 2019-05-15 2019-08-09 中国电子科技集团公司第十三研究所 A kind of preparation method of epitaxial wafer
US11186909B2 (en) * 2019-08-26 2021-11-30 Applied Materials, Inc. Methods of depositing low-K films
KR20210028093A (en) * 2019-08-29 2021-03-11 에이에스엠 아이피 홀딩 비.브이. Structures including dielectric layers and methods of forming same
CN111900075A (en) * 2020-06-22 2020-11-06 中国科学院微电子研究所 Silicon nitride film, deposition method thereof and semiconductor device
FI130211B (en) * 2020-10-29 2023-04-24 Beneq Oy Semiconductor doping method and an intermediate semiconductor device
US11447865B2 (en) 2020-11-17 2022-09-20 Applied Materials, Inc. Deposition of low-κ films
US11882770B2 (en) 2020-12-10 2024-01-23 International Business Machines Corporation Area-selective deposition of metal nitride to fabricate devices
US20220270870A1 (en) * 2021-02-12 2022-08-25 Applied Materials, Inc. Deposition of silicon-based dielectric films
US11538919B2 (en) 2021-02-23 2022-12-27 Micron Technology, Inc. Transistors and arrays of elevationally-extending strings of memory cells

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5094984A (en) * 1990-10-12 1992-03-10 Hewlett-Packard Company Suppression of water vapor absorption in glass encapsulation
US20040146644A1 (en) * 2003-01-23 2004-07-29 Manchao Xiao Precursors for depositing silicon containing films and processes thereof

Family Cites Families (456)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS4843472A (en) 1971-10-04 1973-06-23
US4500563A (en) 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
CA1327338C (en) 1987-02-02 1994-03-01 Chorng-Ping Chang Process for producing devices containing silicon nitride films
JPH0293071A (en) 1988-09-29 1990-04-03 Toshiba Corp Thin film formation
JPH0311635A (en) 1989-06-08 1991-01-18 Sekiyu Sangyo Katsuseika Center Manufacture of compound semiconductor device
US5344454A (en) 1991-07-24 1994-09-06 Baxter International Inc. Closed porous chambers for implanting tissue in a host
US5230929A (en) 1992-07-20 1993-07-27 Dow Corning Corporation Plasma-activated chemical vapor deposition of fluoridated cyclic siloxanes
TW201848B (en) 1991-11-08 1993-03-11 Advanced Micro Devices Inc
DE4136987A1 (en) 1991-11-11 1993-05-13 Leybold Ag METHOD FOR SURFACE PASSIVATION OF SENSORS
JPH05226279A (en) 1992-02-10 1993-09-03 Toshiba Corp Manufacture of semiconductor device
US5223443A (en) 1992-02-19 1993-06-29 Integrated Device Technology, Inc. Method for determining wafer cleanliness
JPH06177120A (en) 1992-10-27 1994-06-24 Sony Corp Deposition of interlayer dielectric film
US5932286A (en) 1993-03-16 1999-08-03 Applied Materials, Inc. Deposition of silicon nitride thin films
US5496608A (en) 1993-09-22 1996-03-05 Brother Kogyo Kabushiki Kaisha Optical recording medium
JPH09102494A (en) 1995-10-09 1997-04-15 Toshiba Corp Protective film for semiconductor device and forming method therefor
US6191026B1 (en) 1996-01-09 2001-02-20 Applied Materials, Inc. Method for submicron gap filling on a semiconductor substrate
US5593914A (en) 1996-03-19 1997-01-14 Radiant Technologies, Inc. Method for constructing ferroelectric capacitor-like structures on silicon dioxide surfaces
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US6399221B1 (en) * 1996-06-25 2002-06-04 Northwestern University Organic light-emitting diodes and methods for assembly and emission control
US6156149A (en) 1997-05-07 2000-12-05 Applied Materials, Inc. In situ deposition of a dielectric oxide layer and anti-reflective coating
US5670432A (en) 1996-08-01 1997-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Thermal treatment to form a void free aluminum metal layer for a semiconductor device
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
JPH1098032A (en) 1996-09-20 1998-04-14 Hitachi Ltd Formation of thin film and thin film forming device
US5994209A (en) 1996-11-13 1999-11-30 Applied Materials, Inc. Methods and apparatus for forming ultra-shallow doped regions using doped silicon oxide films
US6809421B1 (en) 1996-12-02 2004-10-26 Kabushiki Kaisha Toshiba Multichip semiconductor device, chip therefor and method of formation thereof
US6069058A (en) 1997-05-14 2000-05-30 United Semiconductor Corp. Shallow trench isolation for semiconductor devices
US7393561B2 (en) 1997-08-11 2008-07-01 Applied Materials, Inc. Method and apparatus for layer by layer deposition of thin films
US5874368A (en) 1997-10-02 1999-02-23 Air Products And Chemicals, Inc. Silicon nitride from bis(tertiarybutylamino)silane
US6861356B2 (en) 1997-11-05 2005-03-01 Tokyo Electron Limited Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
US5856003A (en) 1997-11-17 1999-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming pseudo buried layer for sub-micron bipolar or BiCMOS device
US6346741B1 (en) 1997-11-20 2002-02-12 Advanced Technology Materials, Inc. Compositions and structures for chemical mechanical polishing of FeRAM capacitors and method of fabricating FeRAM capacitors using same
US6100202A (en) * 1997-12-08 2000-08-08 Taiwan Semiconductor Manufacturing Company Pre deposition stabilization method for forming a void free isotropically etched anisotropically patterned doped silicate glass layer
US6509601B1 (en) 1998-07-31 2003-01-21 Samsung Electronics Co., Ltd. Semiconductor memory device having capacitor protection layer and method for manufacturing the same
KR100275738B1 (en) 1998-08-07 2000-12-15 윤종용 Method for producing thin film using atomatic layer deposition
US6218293B1 (en) 1998-11-13 2001-04-17 Micron Technology, Inc. Batch processing for semiconductor wafers to form aluminum nitride and titanium aluminum nitride
AU3229600A (en) 1999-02-12 2000-08-29 Gelest, Inc. Chemical vapor deposition of tungsten nitride
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
JP3492634B2 (en) 1999-03-17 2004-02-03 インフィネオン テクノロジース エスシー300 ゲゼルシャフト ミット ベシュレンクテル ハフツング ウント コンパニー コマンディートゲゼルシャフト Method for filling a gap on a semiconductor wafer
KR100273473B1 (en) 1999-04-06 2000-11-15 이경수 Method for forming a thin film
WO2001007967A1 (en) * 1999-07-22 2001-02-01 Corning Incorporated Extreme ultraviolet soft x-ray projection lithographic method and mask devices
US6313042B1 (en) 1999-09-03 2001-11-06 Applied Materials, Inc. Cleaning contact with successive fluorine and hydrogen plasmas
US6576053B1 (en) 1999-10-06 2003-06-10 Samsung Electronics Co., Ltd. Method of forming thin film using atomic layer deposition method
FI118804B (en) 1999-12-03 2008-03-31 Asm Int Process for making oxide films
KR100356473B1 (en) 1999-12-29 2002-10-18 주식회사 하이닉스반도체 Method of forming a aluminum oxide thin film in a semiconductor device
AU2001245388A1 (en) 2000-03-07 2001-09-17 Asm America, Inc. Graded thin films
JP3437832B2 (en) 2000-03-22 2003-08-18 東京エレクトロン株式会社 Film forming method and film forming apparatus
JP2001274404A (en) 2000-03-24 2001-10-05 Toshiba Corp Thin-film transistor and method of manufacturing the same
US6759325B2 (en) 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
US20030008070A1 (en) 2001-06-12 2003-01-09 Applied Materials,Inc Low-resistivity tungsten from high-pressure chemical vapor deposition using metal-organic precursor
JP2002009072A (en) 2000-06-23 2002-01-11 Tokyo Electron Ltd Method and apparatus for forming silicon nitride film
US7141278B2 (en) 2000-06-08 2006-11-28 Asm Genitech Korea Ltd. Thin film forming method
KR100721503B1 (en) 2000-06-08 2007-05-23 에이에스엠지니텍코리아 주식회사 Method for forming a thin film
US20050230047A1 (en) 2000-08-11 2005-10-20 Applied Materials, Inc. Plasma immersion ion implantation apparatus
US6482726B1 (en) 2000-10-17 2002-11-19 Advanced Micro Devices, Inc. Control trimming of hard mask for sub-100 nanometer transistor gate
JP2002134497A (en) 2000-10-23 2002-05-10 Sony Corp Manufacturing method for semiconductor device
US6689220B1 (en) 2000-11-22 2004-02-10 Simplus Systems Corporation Plasma enhanced pulsed layer deposition
JP3437830B2 (en) 2000-11-28 2003-08-18 東京エレクトロン株式会社 Film formation method
US6576345B1 (en) 2000-11-30 2003-06-10 Novellus Systems Inc Dielectric films with low dielectric constants
US6878402B2 (en) 2000-12-06 2005-04-12 Novellus Systems, Inc. Method and apparatus for improved temperature control in atomic layer deposition
KR100385947B1 (en) 2000-12-06 2003-06-02 삼성전자주식회사 Method of forming thin film by atomic layer deposition
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US20020076507A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
KR100408733B1 (en) 2001-02-02 2003-12-11 주성엔지니어링(주) Thin Film Deposition Method
US6951804B2 (en) 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
WO2002080244A2 (en) 2001-02-12 2002-10-10 Asm America, Inc. Improved process for deposition of semiconductor films
US6632478B2 (en) 2001-02-22 2003-10-14 Applied Materials, Inc. Process for forming a low dielectric constant carbon-containing film
JP4406178B2 (en) 2001-03-28 2010-01-27 株式会社渡辺商行 Deposition equipment
US7005392B2 (en) 2001-03-30 2006-02-28 Advanced Technology Materials, Inc. Source reagent compositions for CVD formation of gate dielectric thin films using amide precursors and method of using same
US6610169B2 (en) 2001-04-21 2003-08-26 Simplus Systems Corporation Semiconductor processing system and method
US6528430B2 (en) 2001-05-01 2003-03-04 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing Si2C16 and NH3
US6828218B2 (en) 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US6391803B1 (en) 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
US7098131B2 (en) 2001-07-19 2006-08-29 Samsung Electronics Co., Ltd. Methods for forming atomic layers and thin films including tantalum nitride and devices including the same
JP2003045864A (en) 2001-08-02 2003-02-14 Hitachi Kokusai Electric Inc Substrate processing system
WO2003023835A1 (en) 2001-08-06 2003-03-20 Genitech Co., Ltd. Plasma enhanced atomic layer deposition (peald) equipment and method of forming a conducting thin film using the same thereof
US6756318B2 (en) 2001-09-10 2004-06-29 Tegal Corporation Nanolayer thick film processing system and method
US6551893B1 (en) 2001-11-27 2003-04-22 Micron Technology, Inc. Atomic layer deposition of capacitor dielectric
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
DE10208450B4 (en) 2002-02-27 2004-09-16 Infineon Technologies Ag Process for the deposition of thin layers by means of ALD / CVD processes in connection with fast thermal processes
US6962876B2 (en) 2002-03-05 2005-11-08 Samsung Electronics Co., Ltd. Method for forming a low-k dielectric layer for a semiconductor device
EP1485513A2 (en) 2002-03-08 2004-12-15 Sundew Technologies, LLC Ald method and apparatus
KR20030081144A (en) 2002-04-11 2003-10-17 가부시키가이샤 히다치 고쿠사이 덴키 Vertical semiconductor manufacturing apparatus
US6987240B2 (en) 2002-04-18 2006-01-17 Applied Materials, Inc. Thermal flux processing by scanning
US7374617B2 (en) 2002-04-25 2008-05-20 Micron Technology, Inc. Atomic layer deposition methods and chemical vapor deposition methods
KR100468729B1 (en) 2002-04-25 2005-01-29 삼성전자주식회사 Method for Atomic Layer Deposition of silicon oxide film using HCD source
US6777308B2 (en) 2002-05-17 2004-08-17 Micron Technology, Inc. Method of improving HDP fill process
US20040129212A1 (en) 2002-05-20 2004-07-08 Gadgil Pradad N. Apparatus and method for delivery of reactive chemical precursors to the surface to be treated
US7041335B2 (en) 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
KR100472777B1 (en) 2002-06-26 2005-03-10 동부전자 주식회사 Thin Film Deposition Method
US7294582B2 (en) 2002-07-19 2007-11-13 Asm International, N.V. Low temperature silicon compound deposition
JP5005170B2 (en) 2002-07-19 2012-08-22 エーエスエム アメリカ インコーポレイテッド Method for forming ultra-high quality silicon-containing compound layer
KR100542736B1 (en) 2002-08-17 2006-01-11 삼성전자주식회사 Method of forming oxide layer using atomic layer deposition method and method of forming capacitor of semiconductor device using the same
US20060178019A1 (en) 2002-08-18 2006-08-10 Aviza Technology, Inc. Low temperature deposition of silicon oxides and oxynitrides
US6784049B2 (en) 2002-08-28 2004-08-31 Micron Technology, Inc. Method for forming refractory metal oxide layers with tetramethyldisiloxane
US6730164B2 (en) 2002-08-28 2004-05-04 Micron Technology, Inc. Systems and methods for forming strontium- and/or barium-containing layers
US6967159B2 (en) 2002-08-28 2005-11-22 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using organic amines
US6794284B2 (en) 2002-08-28 2004-09-21 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using disilazanes
US6774040B2 (en) 2002-09-12 2004-08-10 Applied Materials, Inc. Apparatus and method for surface finishing a silicon film
AU2003279751A1 (en) 2002-10-03 2004-04-23 Pan Jit Americas, Inc. Method of fabricating semiconductor by nitrogen doping of silicon film
KR100496265B1 (en) 2002-11-29 2005-06-17 한국전자통신연구원 Method of forming a thin film in a semiconductor device
US7097886B2 (en) 2002-12-13 2006-08-29 Applied Materials, Inc. Deposition process for high aspect ratio trenches
US7172792B2 (en) 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
US6890656B2 (en) 2002-12-20 2005-05-10 General Electric Company High rate deposition of titanium dioxide
CN101572232B (en) 2002-12-20 2011-12-21 应用材料有限公司 A method for forming a high quality low temperature silicon nitride layer
KR100546852B1 (en) 2002-12-28 2006-01-25 동부아남반도체 주식회사 Method For Manufacturing Semiconductor Devices
US7713592B2 (en) 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
US6930059B2 (en) 2003-02-27 2005-08-16 Sharp Laboratories Of America, Inc. Method for depositing a nanolaminate film by atomic layer deposition
US7084076B2 (en) 2003-02-27 2006-08-01 Samsung Electronics, Co., Ltd. Method for forming silicon dioxide film using siloxane
US7288292B2 (en) * 2003-03-18 2007-10-30 International Business Machines Corporation Ultra low k (ULK) SiCOH film and method
US6930058B2 (en) 2003-04-21 2005-08-16 Micron Technology, Inc. Method of depositing a silicon dioxide comprising layer doped with at least one of P, B and Ge
WO2004094695A2 (en) 2003-04-23 2004-11-04 Genus, Inc. Transient enhanced atomic layer deposition
US7115528B2 (en) 2003-04-29 2006-10-03 Micron Technology, Inc. Systems and method for forming silicon oxide layers
US6949442B2 (en) * 2003-05-05 2005-09-27 Infineon Technologies Ag Methods of forming MIM capacitors
US6765303B1 (en) * 2003-05-06 2004-07-20 Advanced Micro Devices, Inc. FinFET-based SRAM cell
JP4329403B2 (en) 2003-05-19 2009-09-09 東京エレクトロン株式会社 Plasma processing equipment
US6930060B2 (en) 2003-06-18 2005-08-16 International Business Machines Corporation Method for forming a uniform distribution of nitrogen in silicon oxynitride gate dielectric
US7125815B2 (en) 2003-07-07 2006-10-24 Micron Technology, Inc. Methods of forming a phosphorous doped silicon dioxide comprising layer
US7264849B2 (en) 2003-07-11 2007-09-04 Optisolar, Inc. Roll-vortex plasma chemical vapor deposition method
US7399388B2 (en) 2003-07-25 2008-07-15 Applied Materials, Inc. Sequential gas flow oxide deposition technique
US6943097B2 (en) 2003-08-19 2005-09-13 International Business Machines Corporation Atomic layer deposition of metallic contacts, gates and diffusion barriers
KR100568859B1 (en) 2003-08-21 2006-04-10 삼성전자주식회사 Method for manufacturing transistor of dynamic random access memory semiconductor
KR100500472B1 (en) * 2003-10-13 2005-07-12 삼성전자주식회사 Recess gate transistor structure and method therefore
US7261919B2 (en) 2003-11-18 2007-08-28 Flx Micro, Inc. Silicon carbide and other films and method of deposition
US20050109276A1 (en) 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US7291271B2 (en) 2003-12-09 2007-11-06 Separation Design Group, Llc Meso-frequency traveling wave electro-kinetic continuous adsorption system
JP2005210076A (en) 2003-12-25 2005-08-04 Semiconductor Leading Edge Technologies Inc Deposition method of silicon nitride film, and manufacturing method of semiconductor device using the deposition method
KR100545697B1 (en) 2003-12-29 2006-01-24 주식회사 하이닉스반도체 Trench device isolation method for semiconductor devices
KR100560654B1 (en) 2004-01-08 2006-03-16 삼성전자주식회사 Nitrogenous compound for forming silicon nitride film and method of forming silicon nitride film using the same
US20050181535A1 (en) 2004-02-17 2005-08-18 Yun Sun J. Method of fabricating passivation layer for organic devices
US7088003B2 (en) 2004-02-19 2006-08-08 International Business Machines Corporation Structures and methods for integration of ultralow-k dielectrics with improved reliability
JP4279176B2 (en) 2004-03-02 2009-06-17 株式会社アルバック Method for forming silicon nitride film
KR100538096B1 (en) 2004-03-16 2005-12-21 삼성전자주식회사 Method for forming a capacitor using atomic layer deposition method
JP2005310927A (en) 2004-04-20 2005-11-04 Toshiba Corp Method of forming high-quality silicon nitride film by ultraviolet-ray irradiation
US7259050B2 (en) 2004-04-29 2007-08-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of making the same
US7001844B2 (en) 2004-04-30 2006-02-21 International Business Machines Corporation Material for contact etch layer to enhance device performance
US7651729B2 (en) 2004-05-14 2010-01-26 Samsung Electronics Co., Ltd. Method of fabricating metal silicate layer using atomic layer deposition technique
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
KR100591157B1 (en) 2004-06-07 2006-06-19 동부일렉트로닉스 주식회사 Method of manufacturing semiconductor device
US7449345B2 (en) 2004-06-15 2008-11-11 Headway Technologies, Inc. Capping structure for enhancing dR/R of the MTJ device
JP4396547B2 (en) 2004-06-28 2010-01-13 東京エレクトロン株式会社 Film forming method, film forming apparatus, and storage medium
US20050287747A1 (en) 2004-06-29 2005-12-29 International Business Machines Corporation Doped nitride film, doped oxide film and other doped films
US7488690B2 (en) 2004-07-06 2009-02-10 Applied Materials, Inc. Silicon nitride film with stress control
JP4595702B2 (en) 2004-07-15 2010-12-08 東京エレクトロン株式会社 Film forming method, film forming apparatus, and storage medium
US7241686B2 (en) 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
JP4179311B2 (en) 2004-07-28 2008-11-12 東京エレクトロン株式会社 Film forming method, film forming apparatus, and storage medium
JP4470023B2 (en) 2004-08-20 2010-06-02 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Method for manufacturing silicon nitride film
US7629270B2 (en) 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
KR101170861B1 (en) 2004-09-01 2012-08-03 액셀리스 테크놀로지스, 인크. Plasma ashing process for increasing photoresist removal rate and plasma apparatus with cooling means
US20060084283A1 (en) 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
US7790633B1 (en) 2004-10-26 2010-09-07 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US20060105106A1 (en) 2004-11-16 2006-05-18 Applied Materials, Inc. Tensile and compressive stressed materials for semiconductors
KR100648252B1 (en) 2004-11-22 2006-11-24 삼성전자주식회사 Method of forming a tungsten layer and method of forming a semicondcutor device using the same
JP4701691B2 (en) 2004-11-29 2011-06-15 東京エレクトロン株式会社 Etching method
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US7482247B1 (en) 2004-12-30 2009-01-27 Novellus Systems, Inc. Conformal nanolaminate dielectric deposition and etch bag gap fill process
US7205187B2 (en) 2005-01-18 2007-04-17 Tokyo Electron Limited Micro-feature fill process and apparatus using hexachlorodisilane or other chlorine-containing silicon precursor
US20060162661A1 (en) 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
US7838072B2 (en) 2005-01-26 2010-11-23 Tokyo Electron Limited Method and apparatus for monolayer deposition (MLD)
US20060183055A1 (en) 2005-02-15 2006-08-17 O'neill Mark L Method for defining a feature on a substrate
KR100622609B1 (en) 2005-02-16 2006-09-19 주식회사 하이닉스반도체 Thin film deposition method
US7629267B2 (en) 2005-03-07 2009-12-08 Asm International N.V. High stress nitride film and method for formation thereof
US7109129B1 (en) 2005-03-09 2006-09-19 Novellus Systems, Inc. Optimal operation of conformal silica deposition reactors
CN100554506C (en) 2005-03-09 2009-10-28 东京毅力科创株式会社 Film that semiconductor processes is used and device
JP4258518B2 (en) 2005-03-09 2009-04-30 東京エレクトロン株式会社 Film forming method, film forming apparatus, and storage medium
KR100640638B1 (en) 2005-03-10 2006-10-31 삼성전자주식회사 Method for forming high dielectric film by atomic layer deposition and method of fabricating semiconductor device having high dielectric film
JP4506677B2 (en) 2005-03-11 2010-07-21 東京エレクトロン株式会社 Film forming method, film forming apparatus, and storage medium
US7608549B2 (en) 2005-03-15 2009-10-27 Asm America, Inc. Method of forming non-conformal layers
JP2006261434A (en) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude Method for forming silicon oxide film
US7435454B2 (en) 2005-03-21 2008-10-14 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7341959B2 (en) 2005-03-21 2008-03-11 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7314835B2 (en) 2005-03-21 2008-01-01 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
JP4228150B2 (en) 2005-03-23 2009-02-25 東京エレクトロン株式会社 Film forming apparatus, film forming method, and storage medium
US7422636B2 (en) 2005-03-25 2008-09-09 Tokyo Electron Limited Plasma enhanced atomic layer deposition system having reduced contamination
JP4607637B2 (en) 2005-03-28 2011-01-05 東京エレクトロン株式会社 Silicon nitride film forming method, silicon nitride film forming apparatus and program
US7687409B2 (en) 2005-03-29 2010-03-30 Micron Technology, Inc. Atomic layer deposited titanium silicon oxide films
US7365027B2 (en) 2005-03-29 2008-04-29 Micron Technology, Inc. ALD of amorphous lanthanide doped TiOx films
US7361538B2 (en) 2005-04-14 2008-04-22 Infineon Technologies Ag Transistors and methods of manufacture thereof
US7390756B2 (en) 2005-04-28 2008-06-24 Micron Technology, Inc. Atomic layer deposited zirconium silicon oxide films
US7875556B2 (en) 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
US7176084B2 (en) 2005-06-09 2007-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned conductive spacer process for sidewall control gate of high-speed random access memory
US7473655B2 (en) 2005-06-17 2009-01-06 Applied Materials, Inc. Method for silicon based dielectric chemical vapor deposition
US20060286774A1 (en) 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
JP4752349B2 (en) 2005-06-23 2011-08-17 大日本印刷株式会社 Pattern forming body and manufacturing method thereof
JP2007019145A (en) 2005-07-06 2007-01-25 Tokyo Electron Ltd Method of forming silicon oxynitride film, device of forming same and program
JP2007043147A (en) 2005-07-29 2007-02-15 Samsung Electronics Co Ltd Method of forming silicon-rich nanocrystal structure using atomic layer deposition process and method of manufacturing nonvolatile semiconductor device using the same
US7132353B1 (en) 2005-08-02 2006-11-07 Applied Materials, Inc. Boron diffusion barrier by nitrogen incorporation in spacer dielectrics
JP4305427B2 (en) 2005-08-02 2009-07-29 東京エレクトロン株式会社 Film forming method, film forming apparatus, and storage medium
KR100652427B1 (en) 2005-08-22 2006-12-01 삼성전자주식회사 Method of forming conductive polysilicon thin film using ald and method of manufacturing semiconductor device using the same
KR100734748B1 (en) 2005-09-08 2007-07-03 주식회사 아이피에스 A method for depositing nitride thin film on wafer by in-situ
US20070087581A1 (en) 2005-09-09 2007-04-19 Varian Semiconductor Equipment Associates, Inc. Technique for atomic layer deposition
US20070065576A1 (en) 2005-09-09 2007-03-22 Vikram Singh Technique for atomic layer deposition
US7524743B2 (en) 2005-10-13 2009-04-28 Varian Semiconductor Equipment Associates, Inc. Conformal doping apparatus and method
CN101288162B (en) 2005-10-14 2010-06-09 日本电气株式会社 Method and apparatus for manufacturing semiconductor device
US20070128862A1 (en) 2005-11-04 2007-06-07 Paul Ma Apparatus and process for plasma-enhanced atomic layer deposition
US7897217B2 (en) 2005-11-18 2011-03-01 Tokyo Electron Limited Method and system for performing plasma enhanced atomic layer deposition
KR100891779B1 (en) 2005-11-28 2009-04-07 허니웰 인터내셔날 인코포레이티드 Organometallic precursors and related intermediates for deposition processes, their production and methods of use
US7592251B2 (en) 2005-12-08 2009-09-22 Micron Technology, Inc. Hafnium tantalum titanium oxide films
US7615438B2 (en) 2005-12-08 2009-11-10 Micron Technology, Inc. Lanthanide yttrium aluminum oxide dielectric films
US7829159B2 (en) 2005-12-16 2010-11-09 Asm Japan K.K. Method of forming organosilicon oxide film and multilayer resist structure
JP2007180362A (en) 2005-12-28 2007-07-12 Toshiba Corp Semiconductor device
JP4434149B2 (en) 2006-01-16 2010-03-17 東京エレクトロン株式会社 Film forming method, film forming apparatus, and storage medium
US20070218701A1 (en) 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
US20070215036A1 (en) 2006-03-15 2007-09-20 Hyung-Sang Park Method and apparatus of time and space co-divided atomic layer deposition
US7959985B2 (en) 2006-03-20 2011-06-14 Tokyo Electron Limited Method of integrating PEALD Ta-containing films into Cu metallization
KR20080106984A (en) 2006-03-31 2008-12-09 어플라이드 머티어리얼스, 인코포레이티드 Method to improve the step coverage and pattern loading for dielectric films
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
US7645484B2 (en) 2006-03-31 2010-01-12 Tokyo Electron Limited Method of forming a metal carbide or metal carbonitride film having improved adhesion
JP4929811B2 (en) 2006-04-05 2012-05-09 東京エレクトロン株式会社 Plasma processing equipment
JP2007287890A (en) 2006-04-14 2007-11-01 Kochi Univ Of Technology Forming method of insulating film, manufacturing method of semiconductor device and plasma cvd apparatus
JP2007287889A (en) 2006-04-14 2007-11-01 Kochi Univ Of Technology Forming method of insulating film and manufacturing method of semiconductor device
US7524750B2 (en) 2006-04-17 2009-04-28 Applied Materials, Inc. Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD
US7727413B2 (en) 2006-04-24 2010-06-01 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source to control plasma ion density
FR2900276B1 (en) 2006-04-25 2008-09-12 St Microelectronics Sa PEALD DEPOSITION OF A SILICON MATERIAL
KR100756809B1 (en) 2006-04-28 2007-09-07 주식회사 하이닉스반도체 Semiconductor device and method for fabricating the same
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US7498273B2 (en) 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US20070281106A1 (en) 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
EP2032738A1 (en) 2006-06-16 2009-03-11 Fuji Film Manufacturing Europe B.V. Method and apparatus for atomic layer deposition using an atmospheric pressure glow discharge plasma
US7625820B1 (en) 2006-06-21 2009-12-01 Novellus Systems, Inc. Method of selective coverage of high aspect ratio structures with a conformal film
US8232176B2 (en) 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US20080014759A1 (en) 2006-07-12 2008-01-17 Applied Materials, Inc. Method for fabricating a gate dielectric layer utilized in a gate structure
KR100791334B1 (en) 2006-07-26 2008-01-07 삼성전자주식회사 Method of forming a metal oxide by atomic layer deposition
US7435684B1 (en) 2006-07-26 2008-10-14 Novellus Systems, Inc. Resolving of fluorine loading effect in the vacuum chamber
US7601648B2 (en) 2006-07-31 2009-10-13 Applied Materials, Inc. Method for fabricating an integrated gate dielectric layer for field effect transistors
US7592231B2 (en) 2006-08-01 2009-09-22 United Microelectronics Corp. MOS transistor and fabrication thereof
US7749879B2 (en) 2006-08-03 2010-07-06 Micron Technology, Inc. ALD of silicon films on germanium
JP4929932B2 (en) 2006-09-01 2012-05-09 東京エレクトロン株式会社 Film forming method, film forming apparatus, and storage medium
KR101057877B1 (en) 2006-09-19 2011-08-19 도쿄엘렉트론가부시키가이샤 Plasma cleaning method and plasma CD method
JP5258229B2 (en) 2006-09-28 2013-08-07 東京エレクトロン株式会社 Film forming method and film forming apparatus
TWI462179B (en) 2006-09-28 2014-11-21 Tokyo Electron Ltd Film formation method and apparatus for forming silicon oxide film
US7939455B2 (en) 2006-09-29 2011-05-10 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
JP2010506408A (en) 2006-10-05 2010-02-25 エーエスエム アメリカ インコーポレイテッド ALD of metal silicate film
US20080087890A1 (en) 2006-10-16 2008-04-17 Micron Technology, Inc. Methods to form dielectric structures in semiconductor devices and resulting devices
US20080139003A1 (en) 2006-10-26 2008-06-12 Shahid Pirzada Barrier coating deposition for thin film devices using plasma enhanced chemical vapor deposition process
KR100816759B1 (en) 2006-11-09 2008-03-25 삼성전자주식회사 Nonvolatile memory device having a storage of variable resistor and method of operating the same
US20080119098A1 (en) 2006-11-21 2008-05-22 Igor Palley Atomic layer deposition on fibrous materials
US20080142483A1 (en) 2006-12-07 2008-06-19 Applied Materials, Inc. Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills
WO2008100963A1 (en) 2007-02-12 2008-08-21 Lotus Applied Technology, Llc Fabrication of composite materials using atomic layer deposition
US20080213479A1 (en) 2007-02-16 2008-09-04 Tokyo Electron Limited SiCN film formation method and apparatus
US20080207007A1 (en) 2007-02-27 2008-08-28 Air Products And Chemicals, Inc. Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films
KR100805018B1 (en) 2007-03-23 2008-02-20 주식회사 하이닉스반도체 Method of manufacturing in semiconductor device
US7651961B2 (en) 2007-03-30 2010-01-26 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
US7776733B2 (en) 2007-05-02 2010-08-17 Tokyo Electron Limited Method for depositing titanium nitride films for semiconductor manufacturing
KR101457656B1 (en) 2007-05-17 2014-11-04 가부시키가이샤 한도오따이 에네루기 켄큐쇼 Manufacturing method of semiconductor device, manufacturing method of display device, semiconductor device, display device, and electronic device
JP2008294260A (en) 2007-05-25 2008-12-04 Sony Corp Semiconductor device and manufacturing method therefor, and laminate insulating film and forming method therefor
JP5151260B2 (en) 2007-06-11 2013-02-27 東京エレクトロン株式会社 Film forming method and film forming apparatus
KR100956210B1 (en) 2007-06-19 2010-05-04 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 Plasma enhanced cyclic deposition method of metal silicon nitride film
US7638170B2 (en) 2007-06-21 2009-12-29 Asm International N.V. Low resistivity metal carbonitride thin film deposition by atomic layer deposition
US8017182B2 (en) 2007-06-21 2011-09-13 Asm International N.V. Method for depositing thin films by mixed pulsed CVD and ALD
US7566627B2 (en) 2007-06-29 2009-07-28 Texas Instruments Incorporated Air gap in integrated circuit inductor fabrication
EP2011898B1 (en) 2007-07-03 2021-04-07 Beneq Oy Method in depositing metal oxide materials
US7572052B2 (en) 2007-07-10 2009-08-11 Applied Materials, Inc. Method for monitoring and calibrating temperature in semiconductor processing chambers
US20090041952A1 (en) 2007-08-10 2009-02-12 Asm Genitech Korea Ltd. Method of depositing silicon oxide films
US7633125B2 (en) 2007-08-31 2009-12-15 Intel Corporation Integration of silicon boron nitride in high voltage and small pitch semiconductors
JP5098882B2 (en) 2007-08-31 2012-12-12 東京エレクトロン株式会社 Plasma processing equipment
US20090065896A1 (en) 2007-09-07 2009-03-12 Seoul National University Industry Foundation CAPACITOR HAVING Ru ELECTRODE AND TiO2 DIELECTRIC LAYER FOR SEMICONDUCTOR DEVICE AND METHOD OF FABRICATING THE SAME
KR101542267B1 (en) 2007-09-18 2015-08-06 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 Method of forming silicon-containing films
US8119424B2 (en) 2007-09-28 2012-02-21 Everspin Technologies, Inc. Electronic device including a magneto-resistive memory device and a process for forming the electronic device
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
KR20090057665A (en) 2007-12-03 2009-06-08 주식회사 아이피에스 Method for depositing thin film containing metal
US20090155606A1 (en) 2007-12-13 2009-06-18 Asm Genitech Korea Ltd. Methods of depositing a silicon nitride film
KR101221598B1 (en) 2007-12-18 2013-01-14 삼성전자주식회사 Method for forming a dielectric layer pattern and method for manufacturing non-volatile memory device using for the same
US7964515B2 (en) 2007-12-21 2011-06-21 Tokyo Electron Limited Method of forming high-dielectric constant films for semiconductor devices
KR20090067576A (en) 2007-12-21 2009-06-25 삼성전자주식회사 Method of filling a trench and method of forming an isolation layer structure using the same
JP4935684B2 (en) 2008-01-12 2012-05-23 東京エレクトロン株式会社 Film forming method and film forming apparatus
JP4935687B2 (en) 2008-01-19 2012-05-23 東京エレクトロン株式会社 Film forming method and film forming apparatus
JP5297048B2 (en) 2008-01-28 2013-09-25 三菱重工業株式会社 Plasma processing method and plasma processing apparatus
TWI420722B (en) 2008-01-30 2013-12-21 Osram Opto Semiconductors Gmbh Device with encapsulation unit
JP4959733B2 (en) 2008-02-01 2012-06-27 東京エレクトロン株式会社 Thin film forming method, thin film forming apparatus, and program
US20090203197A1 (en) 2008-02-08 2009-08-13 Hiroji Hanawa Novel method for conformal plasma immersed ion implantation assisted by atomic layer deposition
US8153348B2 (en) 2008-02-20 2012-04-10 Applied Materials, Inc. Process sequence for formation of patterned hard mask film (RFP) without need for photoresist or dry etch
JP5405031B2 (en) 2008-03-06 2014-02-05 AzエレクトロニックマテリアルズIp株式会社 Solution for immersion used in the production of siliceous film and method for producing siliceous film using the same
JP2009260151A (en) 2008-04-18 2009-11-05 Tokyo Electron Ltd Method of forming metal doped layer, film forming apparatus, and storage medium
US8383525B2 (en) 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
KR101436564B1 (en) 2008-05-07 2014-09-02 한국에이에스엠지니텍 주식회사 Forming method of amorphous silicone thin film
US20090286402A1 (en) 2008-05-13 2009-11-19 Applied Materials, Inc Method for critical dimension shrink using conformal pecvd films
US8133797B2 (en) 2008-05-16 2012-03-13 Novellus Systems, Inc. Protective layer to enable damage free gap fill
US7622369B1 (en) 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
US8298628B2 (en) 2008-06-02 2012-10-30 Air Products And Chemicals, Inc. Low temperature deposition of silicon-containing films
JP5102393B2 (en) * 2008-06-03 2012-12-19 エア プロダクツ アンド ケミカルズ インコーポレイテッド Low temperature deposition of silicon-containing films
JP5190307B2 (en) 2008-06-29 2013-04-24 東京エレクトロン株式会社 Film forming method, film forming apparatus, and storage medium
JP2011529126A (en) 2008-07-24 2011-12-01 コヴィオ インコーポレイテッド Aluminum ink and method for producing the same, method for depositing aluminum ink, and film formed by printing and / or depositing aluminum ink
US8373254B2 (en) 2008-07-29 2013-02-12 Taiwan Semiconductor Manufacturing Company, Ltd. Structure for reducing integrated circuit corner peeling
ES2335638B1 (en) 2008-08-01 2011-02-09 Cosentino, S.A. ARTICLE IN THE FORM OF A TABLE OR Slab MANUFACTURED OF PETREO AGLOMERATE COATED WITH TRANSPARENT THIN SHEETS OF TIO2 OR ZNO THROUGH DRY DEPOSITION TECHNIQUES WITH HIGH RESISTANCE AGAINST SOLAR DEGRADATION.
US8129555B2 (en) 2008-08-12 2012-03-06 Air Products And Chemicals, Inc. Precursors for depositing silicon-containing films and methods for making and using same
US8357617B2 (en) 2008-08-22 2013-01-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method of patterning a metal gate of semiconductor device
US20100051578A1 (en) * 2008-09-04 2010-03-04 Shuo-Che Chang Method for fabricating an integrated circuit
JP2010103484A (en) 2008-09-29 2010-05-06 Adeka Corp Semiconductor device, apparatus and method for manufacturing the same
US8303780B2 (en) 2008-09-30 2012-11-06 Tdk Corporation Method of forming mask for dry etching and manufacturing method of magnetic head using the same method
JP5233562B2 (en) 2008-10-04 2013-07-10 東京エレクトロン株式会社 Film forming method and film forming apparatus
US8591661B2 (en) 2009-12-11 2013-11-26 Novellus Systems, Inc. Low damage photoresist strip method for low-K dielectrics
US7910491B2 (en) 2008-10-16 2011-03-22 Applied Materials, Inc. Gapfill improvement with low etch rate dielectric liners
US7745346B2 (en) 2008-10-17 2010-06-29 Novellus Systems, Inc. Method for improving process control and film conformality of PECVD film
US8252653B2 (en) 2008-10-21 2012-08-28 Applied Materials, Inc. Method of forming a non-volatile memory having a silicon nitride charge trap layer
WO2010062582A2 (en) 2008-10-27 2010-06-03 Applied Materials, Inc. Vapor deposition method for ternary compounds
US8580993B2 (en) 2008-11-12 2013-11-12 Air Products And Chemicals, Inc. Amino vinylsilane precursors for stressed SiN films
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8647722B2 (en) 2008-11-14 2014-02-11 Asm Japan K.K. Method of forming insulation film using plasma treatment cycles
CN101736326B (en) 2008-11-26 2011-08-10 中微半导体设备(上海)有限公司 Capacitively coupled plasma processing reactor
US20100136313A1 (en) 2008-12-01 2010-06-03 Asm Japan K.K. Process for forming high resistivity thin metallic film
GB0823565D0 (en) 2008-12-24 2009-01-28 Oxford Instr Plasma Technology Signal generating system
JP5293168B2 (en) 2008-12-25 2013-09-18 富士通株式会社 Resist composition and method for manufacturing semiconductor device using the same
JP2010183069A (en) 2009-01-07 2010-08-19 Hitachi Kokusai Electric Inc Manufacturing method of semiconductor device and substrate processing apparatus
US7972980B2 (en) 2009-01-21 2011-07-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US8142862B2 (en) 2009-01-21 2012-03-27 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US7919416B2 (en) 2009-01-21 2011-04-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
JP2010177652A (en) 2009-02-02 2010-08-12 Toshiba Corp Method for manufacturing semiconductor device
JP5298938B2 (en) 2009-02-24 2013-09-25 住友電気工業株式会社 Manufacturing method of semiconductor device
JP4792097B2 (en) 2009-03-25 2011-10-12 株式会社東芝 Nonvolatile memory device and manufacturing method thereof
US8197915B2 (en) 2009-04-01 2012-06-12 Asm Japan K.K. Method of depositing silicon oxide film by plasma enhanced atomic layer deposition at low temperature
JP2010251654A (en) 2009-04-20 2010-11-04 Elpida Memory Inc Deposition method and manufacturing method of semiconductor device
JP5408483B2 (en) 2009-07-03 2014-02-05 ルネサスエレクトロニクス株式会社 Manufacturing method of semiconductor device
US20110008972A1 (en) 2009-07-13 2011-01-13 Daniel Damjanovic Methods for forming an ald sio2 film
JP2011023718A (en) 2009-07-15 2011-02-03 Asm Japan Kk METHOD FOR FORMING STRESS-TUNED DIELECTRIC FILM HAVING Si-N BOND BY PEALD
JP2011023576A (en) 2009-07-16 2011-02-03 Hitachi Kokusai Electric Inc Method of manufacturing semiconductor device, and device for treating substrate
JP2011023655A (en) 2009-07-17 2011-02-03 Shimadzu Corp Silicon nitride thin film depositing method, and silicon nitride thin film depositing device
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US8169024B2 (en) * 2009-08-18 2012-05-01 International Business Machines Corporation Method of forming extremely thin semiconductor on insulator (ETSOI) device without ion implantation
KR101680899B1 (en) 2009-09-02 2016-11-29 소니 주식회사 Solid-state image pickup device and fabrication process thereof
JP5569153B2 (en) 2009-09-02 2014-08-13 ソニー株式会社 Solid-state imaging device and manufacturing method thereof
KR101732187B1 (en) 2009-09-03 2017-05-02 에이에스엠 저펜 가부시기가이샤 METHOD OF FORMING CONFORMAL DIELECTRIC FILM HAVING Si-N BONDS BY PECVD
US8072800B2 (en) 2009-09-15 2011-12-06 Grandis Inc. Magnetic element having perpendicular anisotropy with enhanced efficiency
US8278224B1 (en) 2009-09-24 2012-10-02 Novellus Systems, Inc. Flowable oxide deposition using rapid delivery of process gases
US8076241B2 (en) 2009-09-30 2011-12-13 Tokyo Electron Limited Methods for multi-step copper plating on a continuous ruthenium film in recessed features
US8173554B2 (en) 2009-10-14 2012-05-08 Asm Japan K.K. Method of depositing dielectric film having Si-N bonds by modified peald method
US8946672B2 (en) 2009-11-11 2015-02-03 Nec Corporation Resistance changing element capable of operating at low voltage, semiconductor device, and method for forming resistance change element
US8691675B2 (en) * 2009-11-25 2014-04-08 International Business Machines Corporation Vapor phase deposition processes for doping silicon
TWI579916B (en) 2009-12-09 2017-04-21 諾菲勒斯系統公司 Novel gap fill integration with flowable oxide and cap oxide
US20110143548A1 (en) 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
US8662053B2 (en) 2009-12-22 2014-03-04 Cummins Inc. Pre-combustion device for an internal combustion engine
JP2013515376A (en) 2009-12-22 2013-05-02 アプライド マテリアルズ インコーポレイテッド PECVD (plasma chemical vapor deposition) multi-step process using continuous plasma
US8501629B2 (en) 2009-12-23 2013-08-06 Applied Materials, Inc. Smooth SiConi etch for silicon-containing films
US20110159202A1 (en) 2009-12-29 2011-06-30 Asm Japan K.K. Method for Sealing Pores at Surface of Dielectric Layer by UV Light-Assisted CVD
JP2011166106A (en) * 2010-01-13 2011-08-25 Renesas Electronics Corp Semiconductor device manufacturing method, and semiconductor device
US8703625B2 (en) 2010-02-04 2014-04-22 Air Products And Chemicals, Inc. Methods to prepare silicon-containing films
JP5514129B2 (en) 2010-02-15 2014-06-04 東京エレクトロン株式会社 Film forming method, film forming apparatus, and method of using film forming apparatus
JP5742185B2 (en) 2010-03-19 2015-07-01 東京エレクトロン株式会社 Film forming apparatus, film forming method, rotation speed optimization method, and storage medium
US8012859B1 (en) * 2010-03-31 2011-09-06 Tokyo Electron Limited Atomic layer deposition of silicon and silicon-containing films
TWI498447B (en) 2010-04-01 2015-09-01 Air Liquide Metal nitride containing film deposition using combination of amino-metal and halogenated metal precursors
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9287113B2 (en) 2012-11-08 2016-03-15 Novellus Systems, Inc. Methods for depositing films on sensitive substrates
US8993460B2 (en) 2013-01-10 2015-03-31 Novellus Systems, Inc. Apparatuses and methods for depositing SiC/SiCN films via cross-metathesis reactions with organometallic co-reactants
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
EP4084093B1 (en) 2010-05-21 2024-02-21 ASM International N.V. Solar cell, and method of manufacturing the same
US8343881B2 (en) 2010-06-04 2013-01-01 Applied Materials, Inc. Silicon dioxide layer deposited with BDEAS
KR101710658B1 (en) 2010-06-18 2017-02-27 삼성전자 주식회사 Three dimensional stacked structure semiconductor device having through silicon via and method for signaling thereof
KR20160068986A (en) 2010-07-22 2016-06-15 비코 에이엘디 인코포레이티드 Treating surface of substrate using inert gas plasma in atomic layer deposition
US8669185B2 (en) 2010-07-30 2014-03-11 Asm Japan K.K. Method of tailoring conformality of Si-containing film
KR101147728B1 (en) 2010-08-02 2012-05-25 주식회사 유진테크 Method of cyclic deposition thin film
US8394466B2 (en) 2010-09-03 2013-03-12 Asm Japan K.K. Method of forming conformal film having si-N bonds on high-aspect ratio pattern
US20120064682A1 (en) 2010-09-14 2012-03-15 Jang Kyung-Tae Methods of Manufacturing Three-Dimensional Semiconductor Memory Devices
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US8101531B1 (en) 2010-09-23 2012-01-24 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US20120213940A1 (en) 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
TW201224190A (en) 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
KR101815527B1 (en) 2010-10-07 2018-01-05 삼성전자주식회사 Semiconductor device and method for manufacturing the same
WO2012057889A1 (en) 2010-10-29 2012-05-03 Applied Materials, Inc. Atomic layer deposition film with tunable refractive index and absorption coefficient and methods of making
CN104932051A (en) 2010-11-10 2015-09-23 纳米***公司 Quantum dot films, lighting devices, and lighting methods
US9719169B2 (en) 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
US20120164834A1 (en) 2010-12-22 2012-06-28 Kevin Jennings Variable-Density Plasma Processing of Semiconductor Substrates
US8901016B2 (en) 2010-12-28 2014-12-02 Asm Japan K.K. Method of forming metal oxide hardmask
JP2012160671A (en) 2011-02-02 2012-08-23 Toshiba Corp Magnetic random access memory and method of manufacturing the same
JP5661523B2 (en) 2011-03-18 2015-01-28 東京エレクトロン株式会社 Film forming method and film forming apparatus
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
US20120258261A1 (en) 2011-04-11 2012-10-11 Novellus Systems, Inc. Increasing etch selectivity of carbon films with lower absorption co-efficient and stress
WO2012148439A1 (en) 2011-04-25 2012-11-01 William Marsh Rice University Direct growth of graphene films on non-catalyst surfaces
US9006802B2 (en) 2011-08-18 2015-04-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device manufacturing methods and methods of forming insulating material layers
JP2013058521A (en) 2011-09-07 2013-03-28 Toshiba Corp Storage device and method for manufacturing the same
JP5551129B2 (en) 2011-09-07 2014-07-16 株式会社東芝 Storage device
TW201319299A (en) 2011-09-13 2013-05-16 Applied Materials Inc Activated silicon precursors for low temperature plasma enhanced deposition
WO2013039881A2 (en) 2011-09-13 2013-03-21 Applied Materials, Inc. Carbosilane precursors for low temperature film deposition
KR101975071B1 (en) 2011-09-23 2019-05-03 노벨러스 시스템즈, 인코포레이티드 Plasma activated conformal dielectric film deposition
US8809169B2 (en) 2011-09-30 2014-08-19 Tokyo Electron Limited Multi-layer pattern for alternate ALD processes
JP6043546B2 (en) 2011-10-21 2016-12-14 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing method, substrate processing apparatus, and program
KR20140093973A (en) 2011-11-02 2014-07-29 우베 고산 가부시키가이샤 Tris(dialkylamide)aluminum compound, and method for producing aluminum-containing thin film using same
US9318431B2 (en) 2011-11-04 2016-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit having a MOM capacitor and method of making same
KR20130056608A (en) 2011-11-22 2013-05-30 에스케이하이닉스 주식회사 Phase-change random access memory device and method of manufacturing the same
KR20200043526A (en) 2011-12-20 2020-04-27 인텔 코포레이션 Conformal low temperature hermetic dielectric diffusion barriers
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US8728955B2 (en) 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
JP5843318B2 (en) 2012-02-14 2016-01-13 株式会社Adeka Raw material for forming aluminum nitride thin film for ALD method and method for producing the thin film
US8846484B2 (en) 2012-02-15 2014-09-30 Intermolecular, Inc. ReRAM stacks preparation by using single ALD or PVD chamber
US9390893B2 (en) 2012-02-22 2016-07-12 Lam Research Corporation Sub-pulsing during a state
JP5547763B2 (en) 2012-03-16 2014-07-16 三井造船株式会社 Plasma generating method, thin film forming method using the method, and plasma generating apparatus
JP6125247B2 (en) 2012-03-21 2017-05-10 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing method, substrate processing apparatus, and program
US8952765B2 (en) 2012-03-23 2015-02-10 Mks Instruments, Inc. System and methods of bimodal automatic power and frequency tuning of RF generators
SG195494A1 (en) 2012-05-18 2013-12-30 Novellus Systems Inc Carbon deposition-etch-ash gap fill process
US8956704B2 (en) 2012-05-21 2015-02-17 Novellus Systems, Inc. Methods for modulating step coverage during conformal film deposition
US8716149B2 (en) 2012-05-29 2014-05-06 GlobalFoundries, Inc. Methods for fabricating integrated circuits having improved spacers
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US8962078B2 (en) 2012-06-22 2015-02-24 Tokyo Electron Limited Method for depositing dielectric films
US20140030444A1 (en) 2012-07-30 2014-01-30 Novellus Systems, Inc. High pressure, high power plasma activated conformal film deposition
US20140049162A1 (en) 2012-08-15 2014-02-20 George Thomas Defect reduction in plasma processing
WO2014035933A1 (en) 2012-08-28 2014-03-06 Applied Materials, Inc. Methods and apparatus for forming tantalum silicate layers on germanium or iii-v semiconductor devices
US8795774B2 (en) 2012-09-23 2014-08-05 Rohm And Haas Electronic Materials Llc Hardmask
KR102207992B1 (en) 2012-10-23 2021-01-26 램 리써치 코포레이션 Sub-saturated atomic layer deposition and conformal film deposition
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
US9304396B2 (en) 2013-02-25 2016-04-05 Lam Research Corporation PECVD films for EUV lithography
US8846550B1 (en) 2013-03-14 2014-09-30 Asm Ip Holding B.V. Silane or borane treatment of metal thin films
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9012336B2 (en) 2013-04-08 2015-04-21 Applied Materials, Inc. Method for conformal treatment of dielectric films using inductively coupled plasma
CN104347421A (en) 2013-08-07 2015-02-11 中芯国际集成电路制造(北京)有限公司 Method for forming finned field-effect transistor (FET)
KR102081195B1 (en) 2013-08-28 2020-02-25 삼성전자주식회사 Semiconductor Memory Device And Method Of Fabricating The Same
US9564361B2 (en) 2013-09-13 2017-02-07 Qualcomm Incorporated Reverse self aligned double patterning process for back end of line fabrication of a semiconductor device
US9368348B2 (en) 2013-10-01 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned patterning process
CN105765428A (en) 2013-10-17 2016-07-13 纳米***公司 Light emitting diode (LED) devices
US10106887B2 (en) 2013-11-13 2018-10-23 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Group 5 transition metal-containing compounds for vapor deposition of group 5 transition metal-containing films
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
TWI480415B (en) 2013-11-27 2015-04-11 Ind Tech Res Inst A muti-mode membrane deposition apparatus and a membrane deposition method
US20150159271A1 (en) 2013-12-09 2015-06-11 Veeco Ald Inc. Deposition of non-isostructural layers for flexible substrate
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
CN103928396A (en) 2014-04-08 2014-07-16 上海华力微电子有限公司 Method for expanding opening of groove
US9305837B2 (en) 2014-04-10 2016-04-05 Taiwan Semiconductor Manufacturing Company Limited Semiconductor arrangement and formation thereof
US9543375B2 (en) 2014-06-27 2017-01-10 Taiwan Semiconductor Manufacturing Co., Ltd. MIM/RRAM structure with improved capacitance and reduced leakage current
TWI735912B (en) 2014-08-22 2021-08-11 美商蘭姆研究公司 Plasma system, plasma tool, radio frequency generator, controller, and methods for sub-pulsing during a state
US9589790B2 (en) 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9620377B2 (en) 2014-12-04 2017-04-11 Lab Research Corporation Technique to deposit metal-containing sidewall passivation for high aspect ratio cylinder etch
CN105719954B (en) 2014-12-04 2018-09-07 中芯国际集成电路制造(上海)有限公司 The forming method of semiconductor structure
US9496169B2 (en) 2015-02-12 2016-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming an interconnect structure having an air gap and structure thereof
US9595424B2 (en) 2015-03-02 2017-03-14 Lam Research Corporation Impedance matching circuit for operation with a kilohertz RF generator and a megahertz RF generator to control plasma processes
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US10043690B2 (en) 2015-03-31 2018-08-07 Lam Research Corporation Fault detection using showerhead voltage variation
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US9406693B1 (en) 2015-04-20 2016-08-02 Sandisk Technologies Llc Selective removal of charge-trapping layer for select gate transistors and dummy memory cells in 3D stacked memory
US9502428B1 (en) 2015-04-29 2016-11-22 Sandisk Technologies Llc Sidewall assisted process for wide and narrow line formation
US9859088B2 (en) 2015-04-30 2018-01-02 Lam Research Corporation Inter-electrode gap variation methods for compensating deposition non-uniformity
US9299830B1 (en) 2015-05-07 2016-03-29 Texas Instruments Incorporated Multiple shielding trench gate fet
US20160329206A1 (en) 2015-05-08 2016-11-10 Lam Research Corporation Methods of modulating residual stress in thin films
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US9653571B2 (en) 2015-06-15 2017-05-16 International Business Machines Corporation Freestanding spacer having sub-lithographic lateral dimension and method of forming same
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
CN106373880B (en) 2015-07-22 2021-05-25 联华电子股份有限公司 Semiconductor device and method for forming the same
US9523148B1 (en) 2015-08-25 2016-12-20 Asm Ip Holdings B.V. Process for deposition of titanium oxynitride for use in integrated circuit fabrication
US9768272B2 (en) 2015-09-30 2017-09-19 International Business Machines Corporation Replacement gate FinFET process using a sit process to define source/drain regions, gate spacers and a gate cavity
KR102250656B1 (en) 2015-10-08 2021-05-11 삼성전자주식회사 Method of forming patterns for semiconductor device
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10703915B2 (en) 2016-09-19 2020-07-07 Versum Materials Us, Llc Compositions and methods for the deposition of silicon oxide films
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5094984A (en) * 1990-10-12 1992-03-10 Hewlett-Packard Company Suppression of water vapor absorption in glass encapsulation
US20040146644A1 (en) * 2003-01-23 2004-07-29 Manchao Xiao Precursors for depositing silicon containing films and processes thereof

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
Merry Pritchett, "ADHERENCE/DIFFUSION BARRIER LAYERS FOR COPPER METALLIZATION: AMORPHOUS CARBON:SILICON POLYMERIZED FILMS," May 2004, Dissertation Prepared for the Degree of DOCTOR OF PHILOSOPHY, UNIVERSITY OF TEXAS, total pages 113. *

Cited By (336)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10844486B2 (en) 2009-04-06 2020-11-24 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10559468B2 (en) 2010-04-15 2020-02-11 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US10361076B2 (en) 2010-04-15 2019-07-23 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9673041B2 (en) 2010-04-15 2017-06-06 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for patterning applications
US11011379B2 (en) 2010-04-15 2021-05-18 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9793110B2 (en) 2010-04-15 2017-10-17 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US10043657B2 (en) 2010-04-15 2018-08-07 Lam Research Corporation Plasma assisted atomic layer deposition metal oxide for patterning applications
US10043655B2 (en) 2010-04-15 2018-08-07 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US11133180B2 (en) 2010-04-15 2021-09-28 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US10741458B2 (en) 2012-11-08 2020-08-11 Novellus Systems, Inc. Methods for depositing films on sensitive substrates
US9786570B2 (en) 2012-11-08 2017-10-10 Novellus Systems, Inc. Methods for depositing films on sensitive substrates
US10008428B2 (en) 2012-11-08 2018-06-26 Novellus Systems, Inc. Methods for depositing films on sensitive substrates
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10604847B2 (en) 2014-03-18 2020-03-31 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10561975B2 (en) 2014-10-07 2020-02-18 Asm Ip Holdings B.V. Variable conductance gas distribution apparatus and method
US9875891B2 (en) 2014-11-24 2018-01-23 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US10804099B2 (en) 2014-11-24 2020-10-13 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11646198B2 (en) 2015-03-20 2023-05-09 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11956977B2 (en) 2015-12-29 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US10720322B2 (en) 2016-02-19 2020-07-21 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top surface
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US10665452B2 (en) 2016-05-02 2020-05-26 Asm Ip Holdings B.V. Source/drain performance through conformal solid state doping
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) * 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10957514B2 (en) 2016-06-30 2021-03-23 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10373806B2 (en) 2016-06-30 2019-08-06 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10679848B2 (en) 2016-07-01 2020-06-09 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10741385B2 (en) 2016-07-28 2020-08-11 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10943771B2 (en) 2016-10-26 2021-03-09 Asm Ip Holding B.V. Methods for thermally calibrating reaction chambers
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10720331B2 (en) 2016-11-01 2020-07-21 ASM IP Holdings, B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10644025B2 (en) 2016-11-07 2020-05-05 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10622375B2 (en) 2016-11-07 2020-04-14 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US20230183856A1 (en) * 2016-12-15 2023-06-15 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US20180174826A1 (en) * 2016-12-15 2018-06-21 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) * 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US10784102B2 (en) 2016-12-22 2020-09-22 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
US10950432B2 (en) 2017-04-25 2021-03-16 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10672636B2 (en) 2017-08-09 2020-06-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11581220B2 (en) 2017-08-30 2023-02-14 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10734223B2 (en) 2017-10-10 2020-08-04 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD913980S1 (en) 2018-02-01 2021-03-23 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11908733B2 (en) 2018-05-28 2024-02-20 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11837483B2 (en) 2018-06-04 2023-12-05 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11952658B2 (en) 2018-06-27 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11814715B2 (en) 2018-06-27 2023-11-14 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10755923B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11866823B2 (en) 2018-11-02 2024-01-09 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11244825B2 (en) 2018-11-16 2022-02-08 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11959171B2 (en) 2019-01-17 2024-04-16 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11798834B2 (en) 2019-02-20 2023-10-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11901175B2 (en) 2019-03-08 2024-02-13 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11453946B2 (en) 2019-06-06 2022-09-27 Asm Ip Holding B.V. Gas-phase reactor system including a gas detector
US11908684B2 (en) 2019-06-11 2024-02-20 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11746414B2 (en) 2019-07-03 2023-09-05 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11898242B2 (en) 2019-08-23 2024-02-13 Asm Ip Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US11827978B2 (en) 2019-08-23 2023-11-28 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11837494B2 (en) 2020-03-11 2023-12-05 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11976359B2 (en) 2020-12-29 2024-05-07 Asm Ip Holding B.V. Gas supply assembly, components thereof, and reactor system including same
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11976361B2 (en) 2022-04-06 2024-05-07 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11972944B2 (en) 2022-10-21 2024-04-30 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11970766B2 (en) * 2023-01-17 2024-04-30 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus

Also Published As

Publication number Publication date
TWI682438B (en) 2020-01-11
CN104882381A (en) 2015-09-02
TW201603120A (en) 2016-01-16
US20190385850A1 (en) 2019-12-19
CN112635563A (en) 2021-04-09
US10559468B2 (en) 2020-02-11
KR20220081962A (en) 2022-06-16
KR102406983B1 (en) 2022-06-08
KR102648013B1 (en) 2024-03-14
US20180269061A1 (en) 2018-09-20
US9997357B2 (en) 2018-06-12
KR20220079806A (en) 2022-06-14
US20150249013A1 (en) 2015-09-03
KR20150102738A (en) 2015-09-07
US11011379B2 (en) 2021-05-18

Similar Documents

Publication Publication Date Title
US11011379B2 (en) Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US10008428B2 (en) Methods for depositing films on sensitive substrates
KR102538780B1 (en) Methods and apparatuses for uniform reduction of in-feature wet etch rate of a silicon nitride film formed by ald
US9355839B2 (en) Sub-saturated atomic layer deposition and conformal film deposition
US9670579B2 (en) Method for depositing a chlorine-free conformal SiN film
US9564312B2 (en) Selective inhibition in atomic layer deposition of silicon-containing films
US8647993B2 (en) Methods for UV-assisted conformal film deposition
US20140030444A1 (en) High pressure, high power plasma activated conformal film deposition
US20160329206A1 (en) Methods of modulating residual stress in thin films
US20110256734A1 (en) Silicon nitride films and methods
KR102658989B1 (en) capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
WO2024091844A1 (en) Fluorine reduction is silicon-containing films
WO2023114898A1 (en) Method to smooth sidewall roughness and maintain reentrant structures during dielectric gap fill
WO2023164717A1 (en) Surface inhibition atomic layer deposition
WO2023178273A1 (en) Reducing capacitance in semiconductor devices
WO2023230296A1 (en) Single wafer reactor, low temperature, thermal silicon nitride deposition
WO2023159012A1 (en) High pressure inert oxidation and in-situ annealing process to improve film seam quality and wer
TW202413687A (en) Single wafer reactor, low temperature, thermal silicon nitride deposition

Legal Events

Date Code Title Description
AS Assignment

Owner name: LAM RESEARCH CORPORATION, CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:ARGHAVANI, REZA;TAN, SAMANTHA;VARADARAJAN, BHADRI N;AND OTHERS;SIGNING DATES FROM 20140227 TO 20140411;REEL/FRAME:032711/0790

STCF Information on status: patent grant

Free format text: PATENTED CASE

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 4TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1551); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 4