TWD186431S - Exhaust flow control ring for semiconductor substrate deposition apparatus - Google Patents

Exhaust flow control ring for semiconductor substrate deposition apparatus

Info

Publication number
TWD186431S
TWD186431S TW106302778F TW106302778F TWD186431S TW D186431 S TWD186431 S TW D186431S TW 106302778 F TW106302778 F TW 106302778F TW 106302778 F TW106302778 F TW 106302778F TW D186431 S TWD186431 S TW D186431S
Authority
TW
Taiwan
Prior art keywords
flow control
exhaust flow
control ring
semiconductor substrate
deposition apparatus
Prior art date
Application number
TW106302778F
Other languages
Chinese (zh)
Inventor
Ju Il Lee
Sung Hoon Jun
Dong Rak Jung
Seung Wook Kim
Original Assignee
ASM知識產權私人控股有&#x9
Asm Ip Holding Bv
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM知識產權私人控股有&#x9, Asm Ip Holding Bv filed Critical ASM知識產權私人控股有&#x9
Publication of TWD186431S publication Critical patent/TWD186431S/en

Links

Abstract

【物品用途】;本設計物品是一種用於半導體沉積設備的排氣流控制環,用以安裝在半導體沉積設備內。;【設計說明】;無。[Use of article]; This design article is an exhaust flow control ring for semiconductor deposition equipment, which is intended to be installed in semiconductor deposition equipment. ;[Design description];None.

TW106302778F 2017-04-03 2017-05-24 Exhaust flow control ring for semiconductor substrate deposition apparatus TWD186431S (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR20170015304 2017-04-03

Publications (1)

Publication Number Publication Date
TWD186431S true TWD186431S (en) 2017-11-01

Family

ID=69571443

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106302778F TWD186431S (en) 2017-04-03 2017-05-24 Exhaust flow control ring for semiconductor substrate deposition apparatus

Country Status (2)

Country Link
US (1) USD876504S1 (en)
TW (1) TWD186431S (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
USD933725S1 (en) 2019-02-08 2021-10-19 Applied Materials, Inc. Deposition ring for a substrate processing chamber

Families Citing this family (246)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR102401446B1 (en) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
KR102633318B1 (en) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. Devices with clean compact zones
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (en) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 Deposition method
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
TWI811348B (en) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
TWI816783B (en) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (en) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. Periodic deposition method for forming metal-containing material and film and structure comprising metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
JP1646505S (en) * 2018-12-07 2019-11-25
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (en) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 Method of forming device structure, structure formed by the method and system for performing the method
TW202405220A (en) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
USD891382S1 (en) * 2019-02-08 2020-07-28 Applied Materials, Inc. Process shield for a substrate processing chamber
TW202044325A (en) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 Method of filling a recess formed within a surface of a substrate, semiconductor structure formed according to the method, and semiconductor processing apparatus
CN111593319B (en) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling recesses formed in a substrate surface
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
KR20200102357A (en) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for plug fill deposition in 3-d nand applications
JP2020133004A (en) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Base material processing apparatus and method for processing base material
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
KR20200108248A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. STRUCTURE INCLUDING SiOCN LAYER AND METHOD OF FORMING SAME
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
KR20200116033A (en) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
JP2020188254A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Method of using a gas-phase reactor system including analyzing exhausted gas
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP7499079B2 (en) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー Plasma device using coaxial waveguide and substrate processing method
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (en) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 Method of forming topologically controlled amorphous carbon polymer films
TW202113936A (en) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (en) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 Liquid level sensor for chemical source container
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
CN112635282A (en) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 Substrate processing apparatus having connection plate and substrate processing method
KR20210042810A (en) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. Reactor system including a gas distribution assembly for use with activated species and method of using same
KR20210043460A (en) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. Method of forming a photoresist underlayer and structure including same
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (en) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
KR20210050453A (en) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
KR20210065848A (en) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. Methods for selectivley forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
TW202125596A (en) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (en) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 Gas supply assembly and valve plate assembly
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
TW202146882A (en) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (en) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method for growing phosphorous-doped silicon layer and system of the same
TW202203344A (en) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 System dedicated for parts cleaning
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (en) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 Method for producing a layer structure having a target topological profile
USD943539S1 (en) 2020-03-19 2022-02-15 Applied Materials, Inc. Confinement plate for a substrate processing chamber
USD979524S1 (en) * 2020-03-19 2023-02-28 Applied Materials, Inc. Confinement liner for a substrate processing chamber
USD934315S1 (en) * 2020-03-20 2021-10-26 Applied Materials, Inc. Deposition ring for a substrate processing chamber
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
USD1013841S1 (en) * 2020-04-20 2024-02-06 Reliance Worldwide Corporation Retaining ring
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Vertical batch furnace assembly comprising a cooling gas supply
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
KR20210134226A (en) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. Solid source precursor vessel
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
TW202147383A (en) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202200837A (en) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Reaction system for forming thin film on substrate
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
TW202202649A (en) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
TW202219628A (en) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
US11581166B2 (en) 2020-07-31 2023-02-14 Applied Materials, Inc. Low profile deposition ring for enhanced life
USD933726S1 (en) * 2020-07-31 2021-10-19 Applied Materials, Inc. Deposition ring for a semiconductor processing chamber
TW202212623A (en) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 Method of forming metal silicon oxide layer and metal silicon oxynitride layer, semiconductor structure, and system
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
KR20220053482A (en) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
KR20220076343A (en) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. an injector configured for arrangement within a reaction chamber of a substrate processing apparatus
CN114639631A (en) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 Fixing device for measuring jumping and swinging
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981459S1 (en) * 2021-06-16 2023-03-21 Ebara Corporation Retaining ring for substrate
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (596)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2266416A (en) 1939-01-14 1941-12-16 Western Electric Co Control apparatus
US3263502A (en) 1964-01-21 1966-08-02 Redwood L Springfield Multiple thermocouple support
JPS5132766B2 (en) 1972-07-25 1976-09-14
SU494614A1 (en) 1974-05-05 1975-12-05 Специальное Проектно-Конструкторское Бюро "Главнефтеснабсбыта" Усср Remote level measurement device
US4749416A (en) 1986-08-01 1988-06-07 System Planning Corporation Immersion pyrometer with protective structure for sidewall use
US4721533A (en) 1986-08-01 1988-01-26 System Planning Corporation Protective structure for an immersion pyrometer
SU1408319A1 (en) 1987-01-06 1988-07-07 Всесоюзный научно-исследовательский институт аналитического приборостроения Chemoluminescent gas analyzer for nitrogen oxides
KR0129406B1 (en) 1988-03-24 1998-04-07 카자마 젠쥬 Semiconductor wafer-processing apparatus
US5158128A (en) 1988-09-01 1992-10-27 Sumitec, Inc. Thermocouple for a continuous casting machine
DE3836696C1 (en) 1988-10-28 1989-12-07 Fraunhofer-Gesellschaft Zur Foerderung Der Angewandten Forschung Ev, 8000 Muenchen, De Lock for transporting material between clean rooms
US5084126A (en) 1988-12-29 1992-01-28 Texas Instruments Incorporated Method and apparatus for uniform flow distribution in plasma reactors
US5053247A (en) 1989-02-28 1991-10-01 Moore Epitaxial, Inc. Method for increasing the batch size of a barrel epitaxial reactor and reactor produced thereby
US5002632A (en) 1989-11-22 1991-03-26 Texas Instruments Incorporated Method and apparatus for etching semiconductor materials
JPH0429313A (en) 1990-05-24 1992-01-31 Fujitsu Ltd Device for producing semiconductor crystal
US5225366A (en) 1990-06-22 1993-07-06 The United States Of America As Represented By The Secretary Of The Navy Apparatus for and a method of growing thin films of elemental semiconductors
US5082517A (en) 1990-08-23 1992-01-21 Texas Instruments Incorporated Plasma density controller for semiconductor device processing equipment
US5273609A (en) 1990-09-12 1993-12-28 Texas Instruments Incorporated Method and apparatus for time-division plasma chopping in a multi-channel plasma processing equipment
JP2714247B2 (en) 1990-10-29 1998-02-16 キヤノン株式会社 Method and apparatus for continuously forming large-area functional deposited film by microwave plasma CVD
US6095083A (en) 1991-06-27 2000-08-01 Applied Materiels, Inc. Vacuum processing chamber having multi-mode access
US6379466B1 (en) 1992-01-17 2002-04-30 Applied Materials, Inc. Temperature controlled gas distribution plate
US5480818A (en) 1992-02-10 1996-01-02 Fujitsu Limited Method for forming a film and method for manufacturing a thin film transistor
JPH05267186A (en) 1992-03-18 1993-10-15 Fujitsu Ltd Vapor growth device and vapor growth method using its device
JP3191392B2 (en) 1992-04-07 2001-07-23 神鋼電機株式会社 Sealed container for clean room
DE69205573T2 (en) 1992-08-04 1996-06-13 Ibm Production line architecture with fully automated and computer-controlled conveyors suitable for sealable portable pressurized containers.
USD363464S (en) 1992-08-27 1995-10-24 Tokyo Electron Yamanashi Limited Electrode for a semiconductor processing apparatus
US5338362A (en) 1992-08-29 1994-08-16 Tokyo Electron Limited Apparatus for processing semiconductor wafer comprising continuously rotating wafer table and plural chamber compartments
KR100251873B1 (en) 1993-01-21 2000-04-15 마쓰바 구니유키 Vertical type heat treating apparatus
JPH06319177A (en) 1993-02-24 1994-11-15 Hewlett Packard Co <Hp> Adaptive remote control system
JP3348936B2 (en) 1993-10-21 2002-11-20 東京エレクトロン株式会社 Vertical heat treatment equipment
US5305417A (en) 1993-03-26 1994-04-19 Texas Instruments Incorporated Apparatus and method for determining wafer temperature using pyrometry
ATE204491T1 (en) 1993-04-17 2001-09-15 Messer Griesheim Austria Ges M DEVICE FOR THE CONTROLLED ADDITION OF NO TO THE BREATHING AIR OF PATIENTS
US5484484A (en) 1993-07-03 1996-01-16 Tokyo Electron Kabushiki Thermal processing method and apparatus therefor
US5348774A (en) 1993-08-11 1994-09-20 Alliedsignal Inc. Method of rapidly densifying a porous structure
US5463176A (en) 1994-01-03 1995-10-31 Eckert; C. Edward Liquid waste oxygenation
JPH07225214A (en) 1994-02-14 1995-08-22 Shimadzu Corp Nox measuring apparatus
US5431734A (en) 1994-04-28 1995-07-11 International Business Machines Corporation Aluminum oxide low pressure chemical vapor deposition (LPCVD) system-fourier transform infrared (FTIR) source chemical control
JP3181171B2 (en) 1994-05-20 2001-07-03 シャープ株式会社 Vapor phase growth apparatus and vapor phase growth method
US5423942A (en) 1994-06-20 1995-06-13 Texas Instruments Incorporated Method and apparatus for reducing etching erosion in a plasma containment tube
US5576629A (en) 1994-10-24 1996-11-19 Fourth State Technology, Inc. Plasma monitoring and control method and system
US5586585A (en) 1995-02-27 1996-12-24 Asyst Technologies, Inc. Direct loadlock interface
US5685912A (en) 1995-06-20 1997-11-11 Sony Corporation Pressure control system for semiconductor manufacturing equipment
JPH0964149A (en) 1995-08-29 1997-03-07 Hitachi Electron Eng Co Ltd Semiconductor production device
TW371796B (en) 1995-09-08 1999-10-11 Semiconductor Energy Lab Co Ltd Method and apparatus for manufacturing a semiconductor device
DE19535178C2 (en) 1995-09-22 2001-07-19 Jenoptik Jena Gmbh Device for locking and unlocking a door of a container
DE29517100U1 (en) 1995-10-17 1997-02-13 Zimmer, Johannes, Klagenfurt Flow dividing and reshaping bodies
USD411516S (en) 1996-03-15 1999-06-29 Tokyo Electron Limited Gas diffusion plate for electrode of semiconductor wafer processing apparatus
EP1220305B1 (en) 1996-03-22 2005-03-09 Nippon Sanso Corporation CVD process
US5667592A (en) 1996-04-16 1997-09-16 Gasonics International Process chamber sleeve with ring seals for isolating individual process modules in a common cluster
KR100212132B1 (en) 1996-04-24 1999-08-02 윤종용 Profile thermocouple of horizontal furnace
USD386076S (en) 1996-05-14 1997-11-11 Camco Manufacturing, Inc. Awning clamp
US5801945A (en) 1996-06-28 1998-09-01 Lam Research Corporation Scheduling method for robotic manufacturing processes
US5915562A (en) 1996-07-12 1999-06-29 Fluoroware, Inc. Transport module with latching door
TW344847B (en) 1996-08-29 1998-11-11 Tokyo Electron Co Ltd Substrate treatment system, substrate transfer system, and substrate transfer method
US6444037B1 (en) 1996-11-13 2002-09-03 Applied Materials, Inc. Chamber liner for high temperature processing chamber
US5893741A (en) 1997-02-07 1999-04-13 National Science Council Method for simultaneously forming local interconnect with silicided elevated source/drain MOSFET's
JP2002517979A (en) 1997-02-28 2002-06-18 エクストラクション・システムズ・インコーポレーテッド System for detecting amine and other basic molecule contamination in gases
US5879459A (en) 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US5866795A (en) 1997-03-17 1999-02-02 Applied Materials, Inc. Liquid flow rate estimation and verification by direct liquid measurement
US6287988B1 (en) 1997-03-18 2001-09-11 Kabushiki Kaisha Toshiba Semiconductor device manufacturing method, semiconductor device manufacturing apparatus and semiconductor device
US6053983A (en) 1997-05-08 2000-04-25 Tokyo Electron, Ltd. Wafer for carrying semiconductor wafers and method detecting wafers on carrier
US5904170A (en) 1997-05-14 1999-05-18 Applied Materials, Inc. Pressure flow and concentration control of oxygen/ozone gas mixtures
US6390754B2 (en) 1997-05-21 2002-05-21 Tokyo Electron Limited Wafer processing apparatus, method of operating the same and wafer detecting system
US5827420A (en) 1997-07-29 1998-10-27 World Precision Instruments, Inc. Method and apparatus for the generation of nitric oxide
US20030049372A1 (en) 1997-08-11 2003-03-13 Cook Robert C. High rate deposition at low pressures in a small batch reactor
USD404370S (en) * 1997-08-20 1999-01-19 Tokyo Electron Limited Cap for use in a semiconductor wafer heat processing apparatus
USD404372S (en) * 1997-08-20 1999-01-19 Tokyo Electron Limited Ring for use in a semiconductor wafer heat processing apparatus
KR100253664B1 (en) 1997-08-22 2000-04-15 이해광 Operating system of polyimide dryer
KR100660416B1 (en) * 1997-11-03 2006-12-22 에이에스엠 아메리카, 인코포레이티드 Improved low mass wafer support system
JPH11140648A (en) 1997-11-07 1999-05-25 Tokyo Electron Ltd Process chamber device and treating device
KR100252049B1 (en) 1997-11-18 2000-04-15 윤종용 The atomic layer deposition method for fabricating aluminum layer
US6106625A (en) 1997-12-02 2000-08-22 Applied Materials, Inc. Reactor useful for chemical vapor deposition of titanium nitride
US6079356A (en) 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
US6072163A (en) 1998-03-05 2000-06-06 Fsi International Inc. Combination bake/chill apparatus incorporating low thermal mass, thermally conductive bakeplate
JP3656701B2 (en) 1998-03-23 2005-06-08 東京エレクトロン株式会社 Processing equipment
KR100265287B1 (en) 1998-04-21 2000-10-02 윤종용 Multi-chamber system for etching equipment for manufacturing semiconductor device
US6079927A (en) 1998-04-22 2000-06-27 Varian Semiconductor Equipment Associates, Inc. Automated wafer buffer for use with wafer processing equipment
US6120008A (en) 1998-04-28 2000-09-19 Life International Products, Inc. Oxygenating apparatus, method for oxygenating a liquid therewith, and applications thereof
US6287435B1 (en) 1998-05-06 2001-09-11 Tokyo Electron Limited Method and apparatus for ionized physical vapor deposition
NL1009327C2 (en) 1998-06-05 1999-12-10 Asm Int Method and device for transferring wafers.
US6427622B2 (en) 1998-08-28 2002-08-06 Mv Systems, Inc. Hot wire chemical vapor deposition method and apparatus using graphite hot rods
US6323081B1 (en) 1998-09-03 2001-11-27 Micron Technology, Inc. Diffusion barrier layers and methods of forming same
US6203969B1 (en) 1998-09-14 2001-03-20 Tokyo Electron Limited Resist processing apparatus which measures temperature of heat-sensing substrate and measuring method therein
KR100646906B1 (en) 1998-09-22 2006-11-17 동경 엘렉트론 주식회사 Substrate processing apparatus and substrate processing method
US6800571B2 (en) 1998-09-29 2004-10-05 Applied Materials Inc. CVD plasma assisted low dielectric constant films
US6143082A (en) 1998-10-08 2000-11-07 Novellus Systems, Inc. Isolation of incompatible processes in a multi-station processing chamber
US20030101938A1 (en) 1998-10-27 2003-06-05 Applied Materials, Inc. Apparatus for the deposition of high dielectric constant films
JP2987148B1 (en) 1999-01-26 1999-12-06 国際電気株式会社 Substrate processing equipment
US6190037B1 (en) 1999-02-19 2001-02-20 Applied Materials, Inc. Non-intrusive, on-the-fly (OTF) temperature measurement and monitoring system
US7588720B2 (en) 1999-04-30 2009-09-15 Tso3, Inc. Method and apparatus for ozone sterilization
US6119710A (en) 1999-05-26 2000-09-19 Cyber Instrument Technologies Llc Method for wide range gas flow system with real time flow measurement and correction
US6812157B1 (en) 1999-06-24 2004-11-02 Prasad Narhar Gadgil Apparatus for atomic layer chemical vapor deposition
JP3252835B2 (en) 1999-07-02 2002-02-04 松下電器産業株式会社 Semiconductor device and manufacturing method thereof
US6238734B1 (en) 1999-07-08 2001-05-29 Air Products And Chemicals, Inc. Liquid precursor mixtures for deposition of multicomponent metal containing materials
US6867859B1 (en) 1999-08-03 2005-03-15 Lightwind Corporation Inductively coupled plasma spectrometer for process diagnostics and control
EP1077274A1 (en) 1999-08-17 2001-02-21 Applied Materials, Inc. Lid cooling mechanism and method for optimized deposition of low-k dielectric using tri methylsilane-ozone based processes
ATE418158T1 (en) 1999-08-17 2009-01-15 Applied Materials Inc SURFACE TREATMENT OF CARBON-DOPED SIO2 FILM TO INCREASE STABILITY DURING O2 ASHING
EP1077479A1 (en) 1999-08-17 2001-02-21 Applied Materials, Inc. Post-deposition treatment to enchance properties of Si-O-C low K film
US6238636B1 (en) 1999-09-03 2001-05-29 Air Liquide America Corporation Process and systems for purification of boron trichloride
US7894474B1 (en) 1999-09-10 2011-02-22 Koninklijke Philips Electronics N.V. Remote control of an electronic device through downloading of a control interface of the electronic device in a mobile station
US6296710B1 (en) 1999-10-06 2001-10-02 Advanced Micro Devices, Inc. Multi-port gas injector for a vertical furnace used in semiconductor processing
US6503758B1 (en) 1999-10-12 2003-01-07 President & Fellows Of Harvard College Systems and methods for measuring nitrate levels
US6475276B1 (en) 1999-10-15 2002-11-05 Asm Microchemistry Oy Production of elemental thin films using a boron-containing reducing agent
JP3551867B2 (en) * 1999-11-09 2004-08-11 信越化学工業株式会社 Silicon focus ring and manufacturing method thereof
US6589352B1 (en) * 1999-12-10 2003-07-08 Applied Materials, Inc. Self aligning non contact shadow ring process kit
US6335049B1 (en) 2000-01-03 2002-01-01 Micron Technology, Inc. Chemical vapor deposition methods of forming a high K dielectric layer and methods of forming a capacitor
JP2001203211A (en) 2000-01-20 2001-07-27 Hitachi Kokusai Electric Inc Hydrogen annealing method and its device
WO2001066832A2 (en) 2000-03-07 2001-09-13 Asm America, Inc. Graded thin films
TW492054B (en) 2000-03-09 2002-06-21 Semix Inc Wafer processing apparatus and method
US6506009B1 (en) 2000-03-16 2003-01-14 Applied Materials, Inc. Apparatus for storing and moving a cassette
AT412302B (en) 2000-03-28 2004-12-27 Hoerbiger Ventilwerke Gmbh AUTOMATIC VALVE
JP4281208B2 (en) 2000-04-04 2009-06-17 ソニー株式会社 Robot remote control system
US6641350B2 (en) 2000-04-17 2003-11-04 Hitachi Kokusai Electric Inc. Dual loading port semiconductor processing equipment
US6984591B1 (en) 2000-04-20 2006-01-10 International Business Machines Corporation Precursor source mixtures
US6502530B1 (en) 2000-04-26 2003-01-07 Unaxis Balzers Aktiengesellschaft Design of gas injection for the electrode in a capacitively coupled RF plasma reactor
JP2001319921A (en) 2000-05-09 2001-11-16 Canon Inc Processing chamber
US20020195056A1 (en) 2000-05-12 2002-12-26 Gurtej Sandhu Versatile atomic layer deposition apparatus
JP4449226B2 (en) 2000-05-22 2010-04-14 東京エレクトロン株式会社 Metal oxide film modification method, metal oxide film formation method, and heat treatment apparatus
US6558517B2 (en) 2000-05-26 2003-05-06 Micron Technology, Inc. Physical vapor deposition methods
US6346419B1 (en) 2000-06-26 2002-02-12 The United States Of America As Represented By The Department Of Commerce Photolysis system for fast-response NO2 measurements and method therefor
US6450117B1 (en) 2000-08-07 2002-09-17 Applied Materials, Inc. Directing a flow of gas in a substrate processing chamber
US6712929B1 (en) 2000-08-08 2004-03-30 Lam Research Corporation Deformation reduction at the main chamber
JP4150493B2 (en) 2000-08-22 2008-09-17 株式会社東芝 Temperature measuring method in pattern drawing apparatus
JP4365017B2 (en) 2000-08-23 2009-11-18 東京エレクトロン株式会社 Method for controlling temperature drop rate of heat treatment apparatus and heat treatment apparatus
JP3929261B2 (en) 2000-09-25 2007-06-13 株式会社日立国際電気 Substrate processing apparatus and substrate processing method
US6632068B2 (en) 2000-09-27 2003-10-14 Asm International N.V. Wafer handling system
US6498091B1 (en) 2000-11-01 2002-12-24 Applied Materials, Inc. Method of using a barrier sputter reactor to remove an underlying barrier layer
JP2002237375A (en) 2000-12-05 2002-08-23 Ibiden Co Ltd Ceramic plate for semiconductor manufacturing/testing device, and manufacturing method of the same
JP3916565B2 (en) 2001-01-22 2007-05-16 東京エレクトロン株式会社 Manufacturing method of electronic device material
JP4334225B2 (en) 2001-01-25 2009-09-30 東京エレクトロン株式会社 Manufacturing method of electronic device material
TW544775B (en) 2001-02-28 2003-08-01 Japan Pionics Chemical vapor deposition apparatus and chemical vapor deposition method
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US20020123237A1 (en) 2001-03-05 2002-09-05 Tue Nguyen Plasma pulse semiconductor processing system and method
US7563715B2 (en) 2005-12-05 2009-07-21 Asm International N.V. Method of producing thin films
JP3912993B2 (en) 2001-03-26 2007-05-09 株式会社荏原製作所 Neutral particle beam processing equipment
TW540093B (en) 2001-04-05 2003-07-01 Angstron Systems Inc Atomic layer deposition system and method
JP2003053688A (en) 2001-05-15 2003-02-26 Fanuc Robotics North America Inc Robot system having teach pendant
US7262125B2 (en) 2001-05-22 2007-08-28 Novellus Systems, Inc. Method of forming low-resistivity tungsten interconnects
US6810886B2 (en) 2001-05-24 2004-11-02 Applied Materials, Inc. Chamber cleaning via rapid thermal process during a cleaning period
US20030000647A1 (en) 2001-06-29 2003-01-02 Applied Materials, Inc. Substrate processing chamber
US6838122B2 (en) 2001-07-13 2005-01-04 Micron Technology, Inc. Chemical vapor deposition methods of forming barium strontium titanate comprising dielectric layers
US20030017266A1 (en) 2001-07-13 2003-01-23 Cem Basceri Chemical vapor deposition methods of forming barium strontium titanate comprising dielectric layers, including such layers having a varied concentration of barium and strontium within the layer
JP3958539B2 (en) 2001-08-02 2007-08-15 東京エレクトロン株式会社 Substrate processing apparatus and substrate processing method
JP4921652B2 (en) 2001-08-03 2012-04-25 エイエスエム インターナショナル エヌ.ヴェー. Method for depositing yttrium oxide and lanthanum oxide thin films
USD699816S1 (en) 2001-08-17 2014-02-18 Neoperl Gmbh Stream straightener for faucet
KR20030018134A (en) 2001-08-27 2003-03-06 한국전자통신연구원 Method of forming an insulation layer of a semiconductor device for controlling the composition and the doping concentration
JP3886424B2 (en) 2001-08-28 2007-02-28 鹿児島日本電気株式会社 Substrate processing apparatus and method
JP2003077782A (en) 2001-08-31 2003-03-14 Toshiba Corp Manufacturing method for semiconductor device
JP2003158127A (en) 2001-09-07 2003-05-30 Arieesu Gijutsu Kenkyu Kk Method and device for forming film and semiconductor device
US7049226B2 (en) 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
US20080102208A1 (en) 2001-10-26 2008-05-01 Dien-Yeh Wu Vortex chamber lids for atomic layer deposition
US7780789B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Vortex chamber lids for atomic layer deposition
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7204886B2 (en) 2002-11-14 2007-04-17 Applied Materials, Inc. Apparatus and method for hybrid chemical processing
JP4116283B2 (en) 2001-11-30 2008-07-09 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Hexakis (monohydrocarbylamino) disilane and process for producing the same
EP1463121B1 (en) 2001-11-30 2011-04-20 Panasonic Corporation Semiconductor device and production method therefor
AU2002354103A1 (en) 2001-12-07 2003-06-17 Tokyo Electron Limited Nitriding method for insulation film, semiconductor device and production method for semiconductor device, substrate treating device and substrate treating method
US20030111013A1 (en) 2001-12-19 2003-06-19 Oosterlaken Theodorus Gerardus Maria Method for the deposition of silicon germanium layers
US6841201B2 (en) 2001-12-21 2005-01-11 The Procter & Gamble Company Apparatus and method for treating a workpiece using plasma generated from microwave radiation
KR100442104B1 (en) 2001-12-27 2004-07-27 삼성전자주식회사 Method of fabricating semiconductor device having a capacitor
US6793733B2 (en) 2002-01-25 2004-09-21 Applied Materials Inc. Gas distribution showerhead
US7479304B2 (en) 2002-02-14 2009-01-20 Applied Materials, Inc. Gas distribution plate fabricated from a solid yttrium oxide-comprising substrate
NL1020054C2 (en) 2002-02-25 2003-09-05 Asm Int Device for treating wafers, provided with a measuring device box.
US6962644B2 (en) 2002-03-18 2005-11-08 Applied Materials, Inc. Tandem etch chamber plasma processing system
US20030173346A1 (en) * 2002-03-18 2003-09-18 Renken Wayne Glenn System and method for heating and cooling wafer at accelerated rates
US6883733B1 (en) 2002-03-28 2005-04-26 Novellus Systems, Inc. Tapered post, showerhead design to improve mixing on dual plenum showerheads
JP4001498B2 (en) 2002-03-29 2007-10-31 東京エレクトロン株式会社 Insulating film forming method and insulating film forming system
US6875271B2 (en) 2002-04-09 2005-04-05 Applied Materials, Inc. Simultaneous cyclical deposition in different processing regions
KR20030081144A (en) 2002-04-11 2003-10-17 가부시키가이샤 히다치 고쿠사이 덴키 Vertical semiconductor manufacturing apparatus
US20040025787A1 (en) 2002-04-19 2004-02-12 Selbrede Steven C. System for depositing a film onto a substrate using a low pressure gas precursor
US6825126B2 (en) 2002-04-25 2004-11-30 Hitachi Kokusai Electric Inc. Manufacturing method of semiconductor device and substrate processing apparatus
US20030213560A1 (en) 2002-05-16 2003-11-20 Yaxin Wang Tandem wafer processing system and process
JP4311914B2 (en) 2002-06-05 2009-08-12 住友電気工業株式会社 Heater module for semiconductor manufacturing equipment
US7067439B2 (en) 2002-06-14 2006-06-27 Applied Materials, Inc. ALD metal oxide deposition process using direct oxidation
US7255775B2 (en) 2002-06-28 2007-08-14 Toshiba Ceramics Co., Ltd. Semiconductor wafer treatment member
AU2003256487A1 (en) 2002-07-15 2004-02-02 Aviza Technology, Inc. Variable heater element for low to high temperature ranges
US6955211B2 (en) 2002-07-17 2005-10-18 Applied Materials, Inc. Method and apparatus for gas temperature control in a semiconductor processing system
US6772072B2 (en) 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
US6884296B2 (en) 2002-08-23 2005-04-26 Micron Technology, Inc. Reactors having gas distributors and methods for depositing materials onto micro-device workpieces
JP3594947B2 (en) 2002-09-19 2004-12-02 東京エレクトロン株式会社 Method for forming insulating film, method for manufacturing semiconductor device, substrate processing apparatus
US7252738B2 (en) 2002-09-20 2007-08-07 Lam Research Corporation Apparatus for reducing polymer deposition on a substrate and substrate support
JP3887291B2 (en) 2002-09-24 2007-02-28 東京エレクトロン株式会社 Substrate processing equipment
JP3877157B2 (en) 2002-09-24 2007-02-07 東京エレクトロン株式会社 Substrate processing equipment
US6696367B1 (en) 2002-09-27 2004-02-24 Asm America, Inc. System for the improved handling of wafers within a process tool
US20040065255A1 (en) 2002-10-02 2004-04-08 Applied Materials, Inc. Cyclical layer deposition system
JP4093462B2 (en) 2002-10-09 2008-06-04 東京エレクトロン株式会社 Substrate processing method and substrate processing apparatus
US6982230B2 (en) 2002-11-08 2006-01-03 International Business Machines Corporation Deposition of hafnium oxide and/or zirconium oxide and fabrication of passivated electronic structures
JP4009523B2 (en) 2002-11-14 2007-11-14 岩谷産業株式会社 Ozone gas concentration measuring method and ozone gas concentration measuring device
KR101437250B1 (en) 2002-11-15 2014-10-13 프레지던트 앤드 펠로우즈 오브 하바드 칼리지 Atomic Layer Deposition Using Metal Amidinates
KR100486690B1 (en) 2002-11-29 2005-05-03 삼성전자주식회사 Substrate processing apparatus and method for controlling contamination in substrate transfer module
USD494552S1 (en) * 2002-12-12 2004-08-17 Tokyo Electron Limited Exhaust ring for manufacturing semiconductors
USD496008S1 (en) * 2002-12-12 2004-09-14 Tokyo Electron Limited Exhaust ring for manufacturing semiconductors
USD558021S1 (en) 2003-01-30 2007-12-25 Roger Lawrence Metal fabrication clamp
US7713592B2 (en) 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
US6972055B2 (en) 2003-03-28 2005-12-06 Finens Corporation Continuous flow deposition system
US20060151117A1 (en) 2003-04-18 2006-07-13 Hitachi Kokusai Electronic Inc. Semiconductor producing device and semiconductor producing method
JP3642572B2 (en) 2003-05-09 2005-04-27 東芝三菱電機産業システム株式会社 Ozone generator and ozone generation method
WO2004113585A2 (en) 2003-06-18 2004-12-29 Applied Materials, Inc. Atomic layer deposition of barrier materials
EP1649076B1 (en) 2003-06-27 2010-05-19 Sundew Technologies, LLC Apparatus and method for chemical source vapor pressure control
US20100129548A1 (en) 2003-06-27 2010-05-27 Sundew Technologies, Llc Ald apparatus and method
DE602004009341D1 (en) 2003-07-25 2007-11-15 Lightwind Corp METHOD AND DEVICE FOR MONITORING CHEMICAL PROCESSES
CA2539484A1 (en) 2003-09-17 2005-03-31 Sionex Corporation Solid-state flow generator and related systems, applications, and methods
US7056806B2 (en) 2003-09-17 2006-06-06 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for controlling deposition of materials on microfeature workpieces
US7408225B2 (en) 2003-10-09 2008-08-05 Asm Japan K.K. Apparatus and method for forming thin film using upstream and downstream exhaust mechanisms
US20050095859A1 (en) 2003-11-03 2005-05-05 Applied Materials, Inc. Precursor delivery system with rate control
CN1868042A (en) 2003-11-20 2006-11-22 株式会社日立国际电气 Method for manufacturing semiconductor device and substrate processing apparatus
KR20050053417A (en) 2003-12-02 2005-06-08 한국전자통신연구원 Radical assisted oxidation apparatus
US20050148162A1 (en) 2004-01-02 2005-07-07 Huajie Chen Method of preventing surface roughening during hydrogen pre-bake of SiGe substrates using chlorine containing gases
KR100620673B1 (en) 2004-01-05 2006-09-13 주식회사 하이닉스반도체 Cleaning Solution for Photoresist and Method for Pattern Formation Using the Same
US20050229849A1 (en) 2004-02-13 2005-10-20 Applied Materials, Inc. High productivity plasma processing chamber
TWI263709B (en) 2004-02-17 2006-10-11 Ind Tech Res Inst Structure of strain relaxed thin Si/Ge epitaxial layer and fabricating method thereof
JP4698251B2 (en) 2004-02-24 2011-06-08 アプライド マテリアルズ インコーポレイテッド Movable or flexible shower head mounting
WO2005083766A1 (en) 2004-02-27 2005-09-09 Hitachi Kokusai Electric Inc. Substrate processing device
JP4246654B2 (en) 2004-03-08 2009-04-02 株式会社日立ハイテクノロジーズ Vacuum processing equipment
EP1756561A1 (en) 2004-04-28 2007-02-28 Sionex Corporation System and method for ion species analysis with enhanced condition control and data interpretation using differential mobility spectrometers
US7708859B2 (en) 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
US20070066038A1 (en) 2004-04-30 2007-03-22 Lam Research Corporation Fast gas switching plasma processing apparatus
WO2005108654A1 (en) 2004-04-30 2005-11-17 Epispeed S.A. Method for producing virtual ge substrates for iii/v-integration on si(001)
US20050252447A1 (en) 2004-05-11 2005-11-17 Applied Materials, Inc. Gas blocker plate for improved deposition
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US7748138B2 (en) 2004-05-13 2010-07-06 Tokyo Electron Limited Particle removal method for a substrate transfer mechanism and apparatus
KR100469132B1 (en) 2004-05-18 2005-01-29 주식회사 아이피에스 Cyclically pulsed two level plasma atomic layer deposition apparatus and method thereof
ATE482359T1 (en) 2004-06-10 2010-10-15 Humanscale Corp MECHANISM FOR ADJUSTING THE POSITION OF A MOUNTED DEVICE
JP4417197B2 (en) 2004-07-30 2010-02-17 住友大阪セメント株式会社 Susceptor device
US7504344B2 (en) 2004-08-09 2009-03-17 Asm Japan K.K. Method of forming a carbon polymer film using plasma CVD
WO2006034025A1 (en) 2004-09-16 2006-03-30 Arizona Board Of Regents MATERIALS AND OPTICAL DEVICES BASED ON GROUP IV QUANTUM WELLS GROWN ON Si-Ge-Sn BUFFERED SILICON
JP4572100B2 (en) 2004-09-28 2010-10-27 日本エー・エス・エム株式会社 Plasma processing equipment
JP2006097044A (en) 2004-09-28 2006-04-13 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude Precursor for film deposition, method for depositing ruthenium-containing film, method for depositing ruthenium film, method for depositing ruthenium oxide film and method for depositing ruthenate film
US7361958B2 (en) 2004-09-30 2008-04-22 Intel Corporation Nonplanar transistors with metal gate electrodes
US20060257563A1 (en) 2004-10-13 2006-11-16 Seok-Joo Doh Method of fabricating silicon-doped metal oxide layer using atomic layer deposition technique
US20060108221A1 (en) 2004-11-24 2006-05-25 William Goodwin Method and apparatus for improving measuring accuracy in gas monitoring systems
US7722737B2 (en) 2004-11-29 2010-05-25 Applied Materials, Inc. Gas distribution system for improved transient phase deposition
KR100558922B1 (en) 2004-12-16 2006-03-10 (주)퓨전에이드 Apparatus and method for thin film deposition
US20060137608A1 (en) 2004-12-28 2006-06-29 Choi Seung W Atomic layer deposition apparatus
CA2593374A1 (en) 2004-12-29 2006-07-06 Biogen Idec Ma Inc. Bioreactor process control system and method
US20060156980A1 (en) 2005-01-19 2006-07-20 Samsung Electronics Co., Ltd. Apparatus including 4-way valve for fabricating semiconductor device, method of controlling valve, and method of fabricating semiconductor device using the apparatus
JP2006203120A (en) 2005-01-24 2006-08-03 Toshiba Corp Method for manufacturing semiconductor apparatus
US20060240187A1 (en) 2005-01-27 2006-10-26 Applied Materials, Inc. Deposition of an intermediate catalytic layer on a barrier layer for copper metallization
US7438949B2 (en) 2005-01-27 2008-10-21 Applied Materials, Inc. Ruthenium containing layer deposition method
WO2006080535A1 (en) 2005-01-31 2006-08-03 Ube Industries, Ltd. Nitride red phosphor and process for producing the same
WO2006087893A1 (en) 2005-02-17 2006-08-24 Hitachi Kokusai Electric Inc. Substrate processing method and substrate processing apparatus
WO2006088062A1 (en) 2005-02-17 2006-08-24 Hitachi Kokusai Electric Inc. Production method for semiconductor device and substrate processing device
KR100669828B1 (en) 2005-03-22 2007-01-16 성균관대학교산학협력단 Neutral beam deposition apparatus and Atomic layer deposition method using the same
US7422636B2 (en) 2005-03-25 2008-09-09 Tokyo Electron Limited Plasma enhanced atomic layer deposition system having reduced contamination
US20060228898A1 (en) 2005-03-30 2006-10-12 Cory Wajda Method and system for forming a high-k dielectric layer
USD559994S1 (en) * 2005-03-30 2008-01-15 Tokyo Electron Limited Cover ring
USD559993S1 (en) * 2005-03-30 2008-01-15 Tokyo Electron Limited Cover ring
EP1877592A2 (en) 2005-04-21 2008-01-16 Honeywell International Inc. Novel ruthenium-based materials and ruthenium alloys, their use in vapor deposition or atomic layer deposition and films produced therefrom
US7544398B1 (en) 2005-04-26 2009-06-09 The Regents Of The Univesity Of California Controlled nano-doping of ultra thin films
US7109098B1 (en) 2005-05-17 2006-09-19 Applied Materials, Inc. Semiconductor junction formation process including low temperature plasma deposition of an optical absorption layer and high speed optical annealing
US7608490B2 (en) 2005-06-02 2009-10-27 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
TWI342473B (en) 2005-07-07 2011-05-21 Mks Instr Inc Ozone system and method for multi-chamber tools
USD593585S1 (en) * 2005-07-29 2009-06-02 Tokyo Electron Limited Top panel for microwave introduction window of a plasma processing apparatus
USD571383S1 (en) * 2005-07-29 2008-06-17 Tokyo Electron Limited Top panel for microwave introduction window of a plasma processing apparatus
TWI261313B (en) 2005-07-29 2006-09-01 Ind Tech Res Inst A method for a large dimension plasma enhanced atomic layer deposition cavity and an apparatus thereof
USD571831S1 (en) * 2005-07-29 2008-06-24 Tokyo Electron Limited Top panel for microwave introduction window of a plasma processing apparatus
USD557226S1 (en) * 2005-08-25 2007-12-11 Hitachi High-Technologies Corporation Electrode cover for a plasma processing apparatus
USD556704S1 (en) * 2005-08-25 2007-12-04 Hitachi High-Technologies Corporation Grounded electrode for a plasma processing apparatus
TW200714741A (en) 2005-09-08 2007-04-16 Applied Materials Inc Patterned electroless metallization processes for large area electronics
JP5017950B2 (en) 2005-09-21 2012-09-05 株式会社Sumco Temperature control method for epitaxial growth equipment
US20090137055A1 (en) 2005-09-30 2009-05-28 Bognar John A Measuring nitrogen oxides and other gases by ozone formation
KR100964775B1 (en) 2005-10-12 2010-06-21 파나소닉 주식회사 Plasma processing apparatus and plasma processing method
KR100725108B1 (en) 2005-10-18 2007-06-04 삼성전자주식회사 Apparatus for supplying gas and apparatus for manufacturing a substrate having the same
US7727828B2 (en) 2005-10-20 2010-06-01 Applied Materials, Inc. Method for fabricating a gate dielectric of a field effect transistor
US20070095283A1 (en) 2005-10-31 2007-05-03 Galewski Carl J Pumping System for Atomic Layer Deposition
US7399712B1 (en) 2005-10-31 2008-07-15 Novellus Systems, Inc. Method for etching organic hardmasks
JP5044931B2 (en) 2005-10-31 2012-10-10 東京エレクトロン株式会社 Gas supply apparatus and substrate processing apparatus
CN101448977B (en) 2005-11-04 2010-12-15 应用材料股份有限公司 Apparatus and process for plasma-enhanced atomic layer deposition
CN101321893B (en) 2005-12-06 2011-09-28 株式会社爱发科 Gas head and thin-film manufacturing device
JP4803578B2 (en) 2005-12-08 2011-10-26 東京エレクトロン株式会社 Deposition method
US7713584B2 (en) 2005-12-22 2010-05-11 Asm International N.V. Process for producing oxide films
US20070184179A1 (en) 2006-02-09 2007-08-09 Akshay Waghray Methods and apparatus to monitor a process of depositing a constituent of a multi-constituent gas during production of a composite brake disc
KR101379015B1 (en) 2006-02-15 2014-03-28 한국에이에스엠지니텍 주식회사 METHOD OF DEPOSITING Ru FILM USING PEALD AND DENSE Ru FILM
US7456429B2 (en) 2006-03-29 2008-11-25 Eastman Kodak Company Apparatus for atomic layer deposition
US20070287301A1 (en) 2006-03-31 2007-12-13 Huiwen Xu Method to minimize wet etch undercuts and provide pore sealing of extreme low k (k<2.5) dielectrics
JP4943047B2 (en) 2006-04-07 2012-05-30 東京エレクトロン株式会社 Processing apparatus and processing method
US20070252233A1 (en) 2006-04-28 2007-11-01 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the semiconductor device
US20070261868A1 (en) 2006-05-12 2007-11-15 Gross James R Magnetic torque-limiting device and method
WO2008016836A2 (en) 2006-07-29 2008-02-07 Lotus Applied Technology, Llc Radical-enhanced atomic layer deposition system and method
US7935942B2 (en) 2006-08-15 2011-05-03 Varian Semiconductor Equipment Associates, Inc. Technique for low-temperature ion implantation
US20080045030A1 (en) 2006-08-15 2008-02-21 Shigeru Tahara Substrate processing method, substrate processing system and storage medium
US20080063798A1 (en) 2006-08-30 2008-03-13 Kher Shreyas S Precursors and hardware for cvd and ald
JP2008072030A (en) 2006-09-15 2008-03-27 Matsushita Electric Ind Co Ltd Plasma processing apparatus, method for detecting abnormality of plasma processing apparatus, and method for plasma processing
JP2008085129A (en) 2006-09-28 2008-04-10 Taiheiyo Cement Corp Substrate mounting apparatus
JP2008089320A (en) 2006-09-29 2008-04-17 Nicom Co Ltd Flow rate measuring apparatus
JP5073751B2 (en) 2006-10-10 2012-11-14 エーエスエム アメリカ インコーポレイテッド Precursor delivery system
US8795771B2 (en) 2006-10-27 2014-08-05 Sean T. Barry ALD of metal-containing films using cyclopentadienyl compounds
US20090223441A1 (en) 2006-11-22 2009-09-10 Chantal Arena High volume delivery system for gallium trichloride
US7758698B2 (en) 2006-11-28 2010-07-20 Applied Materials, Inc. Dual top gas feed through distributor for high density plasma chamber
USD583395S1 (en) * 2006-12-15 2008-12-23 Tokyo Electron Limited Cover for a heater stage of a plasma processing apparatus
US8362561B2 (en) 2006-12-15 2013-01-29 Nxp B.V. Transistor device and method of manufacturing such a transistor device
GB2445188B (en) 2006-12-29 2009-07-01 Thermo Fisher Scientific Inc Apparatus and method for generating nitrogen oxides
JP2008172083A (en) 2007-01-12 2008-07-24 Sharp Corp Vapor growth device and vapor growth method
US7860379B2 (en) 2007-01-15 2010-12-28 Applied Materials, Inc. Temperature measurement and control of wafer support in thermal processing chamber
JP4299863B2 (en) 2007-01-22 2009-07-22 エルピーダメモリ株式会社 Manufacturing method of semiconductor device
US7598170B2 (en) 2007-01-26 2009-10-06 Asm America, Inc. Plasma-enhanced ALD of tantalum nitride films
JP4896899B2 (en) 2007-01-31 2012-03-14 東京エレクトロン株式会社 Substrate processing apparatus and particle adhesion preventing method
US8043432B2 (en) 2007-02-12 2011-10-25 Tokyo Electron Limited Atomic layer deposition systems and methods
US7851360B2 (en) 2007-02-14 2010-12-14 Intel Corporation Organometallic precursors for seed/barrier processes and methods thereof
US20080223130A1 (en) 2007-03-13 2008-09-18 Provina Incorporated Method and device for measuring density of a liquid
US7588749B2 (en) 2007-03-29 2009-09-15 Minimus Spine, Inc. Apparatus, method and system for delivering oxygen-ozone
KR100894098B1 (en) 2007-05-03 2009-04-20 주식회사 하이닉스반도체 Nonvolatile memory device having fast erase speed and improoved retention charactericstics, and method of fabricating the same
TWI405295B (en) 2007-08-13 2013-08-11 Advanced Display Proc Eng Co Substrate processing apparatus and method
JP2009060035A (en) 2007-09-03 2009-03-19 Shinko Electric Ind Co Ltd Electrostatic chuck member, its manufacturing method, and electrostatic chuck apparatus
JP5347294B2 (en) 2007-09-12 2013-11-20 東京エレクトロン株式会社 Film forming apparatus, film forming method, and storage medium
US7824743B2 (en) 2007-09-28 2010-11-02 Applied Materials, Inc. Deposition processes for titanium nitride barrier and aluminum
US8070880B2 (en) 2007-10-22 2011-12-06 Hitachi Kokusai Electric, Inc. Substrate processing apparatus
US7772097B2 (en) 2007-11-05 2010-08-10 Asm America, Inc. Methods of selectively depositing silicon-containing films
US20090124131A1 (en) 2007-11-09 2009-05-14 Electronic Controls Design Thermocouple adapter
US20090159002A1 (en) 2007-12-19 2009-06-25 Kallol Bera Gas distribution plate with annular plenum having a sloped ceiling for uniform distribution
JP5291928B2 (en) 2007-12-26 2013-09-18 株式会社日立製作所 Oxide semiconductor device and manufacturing method thereof
KR101444873B1 (en) 2007-12-26 2014-09-26 주성엔지니어링(주) System for treatmenting substrate
US20090165721A1 (en) * 2007-12-27 2009-07-02 Memc Electronic Materials, Inc. Susceptor with Support Bosses
US8129288B2 (en) 2008-05-02 2012-03-06 Intermolecular, Inc. Combinatorial plasma enhanced deposition techniques
US20090186571A1 (en) 2008-01-22 2009-07-23 Asm America, Inc. Air ventilation system
JP2011511160A (en) 2008-02-01 2011-04-07 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード New metal precursor containing β-diketiminate ligand
KR100988390B1 (en) 2008-02-11 2010-10-18 성균관대학교산학협력단 Substrate processing apparatus and substrate processing method
GB0805328D0 (en) 2008-03-25 2008-04-30 Aviza Technologies Ltd Deposition of an amorphous layer
US8741062B2 (en) 2008-04-22 2014-06-03 Picosun Oy Apparatus and methods for deposition reactors
KR101596698B1 (en) 2008-04-25 2016-02-24 가부시키가이샤 한도오따이 에네루기 켄큐쇼 Semiconductor device and method for manufacturing the same
US20090280248A1 (en) 2008-05-06 2009-11-12 Asm America, Inc. Porous substrate holder with thinned portions
EP2128299B1 (en) 2008-05-29 2016-12-28 General Electric Technology GmbH Multilayer thermal barrier coating
US7622369B1 (en) 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
US8206506B2 (en) 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
USD614593S1 (en) * 2008-07-21 2010-04-27 Asm Genitech Korea Ltd Substrate support for a semiconductor deposition apparatus
USD609652S1 (en) * 2008-07-22 2010-02-09 Tokyo Electron Limited Wafer attracting plate
US20100034719A1 (en) 2008-08-06 2010-02-11 Christian Dussarrat Novel lanthanide beta-diketonate precursors for lanthanide thin film deposition
JP5107185B2 (en) 2008-09-04 2012-12-26 東京エレクトロン株式会社 Film forming apparatus, substrate processing apparatus, film forming method, and recording medium recording program for executing this film forming method
JP2010087467A (en) 2008-09-04 2010-04-15 Tokyo Electron Ltd Film deposition apparatus, substrate processing apparatus, film deposition method, and recording medium with recorded program for implementing the film deposition method
TW201011861A (en) 2008-09-04 2010-03-16 Nanya Technology Corp Method for fabricating integrated circuit
JP5001432B2 (en) 2008-09-08 2012-08-15 芝浦メカトロニクス株式会社 Substrate processing apparatus and substrate processing method
US20100075037A1 (en) 2008-09-22 2010-03-25 Marsh Eugene P Deposition Systems, ALD Systems, CVD Systems, Deposition Methods, ALD Methods and CVD Methods
CN102171795A (en) 2008-10-03 2011-08-31 维易科加工设备股份有限公司 Vapor phase epitaxy system
JP5410074B2 (en) 2008-11-07 2014-02-05 東京エレクトロン株式会社 Ozone gas concentration measurement method, ozone gas concentration measurement system, and substrate processing apparatus
JP5225041B2 (en) 2008-11-21 2013-07-03 京セラ株式会社 Electrostatic chuck
JP5356005B2 (en) 2008-12-10 2013-12-04 株式会社東芝 Nonvolatile semiconductor memory device and manufacturing method thereof
US8557712B1 (en) 2008-12-15 2013-10-15 Novellus Systems, Inc. PECVD flowable dielectric gap fill
WO2010071101A1 (en) 2008-12-15 2010-06-24 東京エレクトロン株式会社 System for processing of substrate, method of processing of substrate, and storage medium that stores program
WO2010075467A1 (en) 2008-12-23 2010-07-01 Mks Instruments, Inc. Reactive chemical containment system
KR101111063B1 (en) 2008-12-31 2012-02-16 엘아이지에이디피 주식회사 Apparatus for joining of substrate
US8663735B2 (en) 2009-02-13 2014-03-04 Advanced Technology Materials, Inc. In situ generation of RuO4 for ALD of Ru and Ru related materials
DE112009004581T5 (en) 2009-03-04 2012-09-06 Fuji Electric Co., Ltd Layer production method and layer production device
USD616394S1 (en) * 2009-03-06 2010-05-25 Tokyo Electron Limited Support of wafer boat for manufacturing semiconductor wafers
US9004744B1 (en) 2009-03-30 2015-04-14 Techni-Blend, Inc. Fluid mixer using countercurrent injection
US8402918B2 (en) 2009-04-07 2013-03-26 Lam Research Corporation Showerhead electrode with centering feature
JP5223804B2 (en) 2009-07-22 2013-06-26 東京エレクトロン株式会社 Film forming method and film forming apparatus
KR101245769B1 (en) 2009-07-28 2013-03-20 엘아이지에이디피 주식회사 Chemical vapor deposition device, guide member for the chemical vapor deposition device and method for manufacturing thin film using the chemical vapor deposition device
US8258588B2 (en) 2009-08-07 2012-09-04 Taiwan Semiconductor Manufacturing Company, Ltd. Sealing layer of a field effect transistor
JP2011082493A (en) 2009-09-14 2011-04-21 Hitachi Kokusai Electric Inc Method of manufacturing semiconductor device and substrate processing apparatus
TWM412457U (en) 2009-09-18 2011-09-21 Lam Res Corp Showerhead electrode for use in a plasma reaction chamber and showerhead electrode assembly
WO2011043337A1 (en) 2009-10-05 2011-04-14 国立大学法人東北大学 Low dielectric constant insulating film and formation method therefor
JP4948587B2 (en) 2009-11-13 2012-06-06 東京エレクトロン株式会社 Photoresist coating and developing device, substrate transport method, interface device
US8328494B2 (en) 2009-12-15 2012-12-11 Varian Semiconductor Equipment Associates, Inc. In vacuum optical wafer heater for cryogenic processing
JP2011144412A (en) 2010-01-13 2011-07-28 Honda Motor Co Ltd Plasma film-forming apparatus
US8859047B2 (en) 2010-02-23 2014-10-14 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Use of ruthenium tetroxide as a precursor and reactant for thin film depositions
USD625977S1 (en) 2010-02-25 2010-10-26 Vertex Stone and Chinaware Ltd. Spacer tool
JP2011181681A (en) 2010-03-01 2011-09-15 Mitsui Eng & Shipbuild Co Ltd Atomic layer deposition method and atomic layer deposition device
US8241991B2 (en) 2010-03-05 2012-08-14 Asm Japan K.K. Method for forming interconnect structure having airgap
SG10201501824XA (en) 2010-03-12 2015-05-28 Applied Materials Inc Atomic layer deposition chamber with multi inject
KR101226876B1 (en) 2010-04-01 2013-01-28 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 Metal nitride containing film deposition using combination of amino-metal and halogenated metal precursors
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
JP2013537705A (en) 2010-07-02 2013-10-03 マシスン トライ−ガス インコーポレイテッド Thin film using cyclohexasilane and method for producing the same
US9373677B2 (en) 2010-07-07 2016-06-21 Entegris, Inc. Doping of ZrO2 for DRAM applications
US8669185B2 (en) 2010-07-30 2014-03-11 Asm Japan K.K. Method of tailoring conformality of Si-containing film
KR101249999B1 (en) 2010-08-12 2013-04-03 주식회사 디엠에스 Apparatus for chemical vapor deposition
USD649986S1 (en) * 2010-08-17 2011-12-06 Ebara Corporation Sealing ring
US8573152B2 (en) 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
US7994070B1 (en) 2010-09-30 2011-08-09 Tokyo Electron Limited Low-temperature dielectric film formation by chemical vapor deposition
TW201224190A (en) 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
FR2965888B1 (en) 2010-10-08 2012-12-28 Alcatel Lucent GAS DRAIN PIPING AND ASSOCIATED DRAINAGE METHOD
JP5638405B2 (en) 2010-10-08 2014-12-10 パナソニック株式会社 Substrate plasma processing method
USD655261S1 (en) 2010-10-21 2012-03-06 Tokyo Electron Limited Gas-separating plate for reactor for manufacturing semiconductor
USD654882S1 (en) 2010-10-21 2012-02-28 Tokyo Electron Limited Gas-separating plate for reactor for manufacturing semiconductor
USD655260S1 (en) 2010-10-21 2012-03-06 Tokyo Electron Limited Gas-separating plate for reactor for manufacturing semiconductor
KR20120047325A (en) 2010-11-01 2012-05-11 삼성전자주식회사 Three dimensional semiconductor device and method for manufacturing the same
KR20120055363A (en) 2010-11-23 2012-05-31 삼성전자주식회사 Capacitor and semiconductor device including the same
JPWO2012073938A1 (en) 2010-11-29 2014-05-19 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing method, and substrate processing apparatus
US8901016B2 (en) 2010-12-28 2014-12-02 Asm Japan K.K. Method of forming metal oxide hardmask
KR101306315B1 (en) 2011-01-11 2013-09-09 주식회사 디엠에스 Apparatus for chemical vapor deposition
JP5236755B2 (en) 2011-01-14 2013-07-17 東京エレクトロン株式会社 Film forming apparatus and film forming method
JP2012164736A (en) 2011-02-04 2012-08-30 Hitachi Kokusai Electric Inc Substrate processing apparatus and semiconductor device manufacturing method
US8563443B2 (en) 2011-02-18 2013-10-22 Asm Japan K.K. Method of depositing dielectric film by ALD using precursor containing silicon, hydrocarbon, and halogen
US9684234B2 (en) 2011-03-24 2017-06-20 Uchicago Argonne, Llc Sequential infiltration synthesis for enhancing multiple-patterning lithography
KR102111702B1 (en) 2011-04-07 2020-05-15 피코순 오와이 Atomic layer deposition with plasma source
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
JP2012244180A (en) 2011-05-24 2012-12-10 Macronix Internatl Co Ltd Multi-layer structure and manufacturing method for the same
JP5730670B2 (en) 2011-05-27 2015-06-10 株式会社Adeka Method for producing thin film containing molybdenum oxide, and raw material for forming thin film containing molybdenum oxide
US9136180B2 (en) 2011-06-01 2015-09-15 Asm Ip Holding B.V. Process for depositing electrode with high effective work function
TW201308021A (en) 2011-06-15 2013-02-16 Applied Materials Inc Methods and apparatus for controlling photoresist line width roughness with enhanced electron spin control
US8450212B2 (en) 2011-06-28 2013-05-28 International Business Machines Corporation Method of reducing critical dimension process bias differences between narrow and wide damascene wires
US8778448B2 (en) 2011-07-21 2014-07-15 International Business Machines Corporation Method of stabilizing hydrogenated amorphous silicon and amorphous hydrogenated silicon alloys
JP6289908B2 (en) 2011-08-19 2018-03-07 東京エレクトロン株式会社 Ge-Sb-Te film forming method, Sb-Te film forming method and program
JP1438745S (en) 2011-09-20 2015-04-06
JP1438319S (en) 2011-09-20 2015-04-06
KR101913891B1 (en) 2011-09-27 2018-10-31 도쿄엘렉트론가부시키가이샤 Plasma etching method and method for manufacturing semiconductor device
USD709537S1 (en) * 2011-09-30 2014-07-22 Tokyo Electron Limited Focusing ring
USD709536S1 (en) * 2011-09-30 2014-07-22 Tokyo Electron Limited Focusing ring
US8759234B2 (en) 2011-10-17 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. Deposited material and method of formation
TWI627303B (en) 2011-11-04 2018-06-21 Asm國際股份有限公司 Method for depositing doped silicon oxide on substrate in reaction chamber
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US10838123B2 (en) 2012-01-19 2020-11-17 Supriya Jaiswal Materials, components, and methods for use with extreme ultraviolet radiation in lithography and other applications
US9238865B2 (en) 2012-02-06 2016-01-19 Asm Ip Holding B.V. Multiple vapor sources for vapor deposition
US9162209B2 (en) 2012-03-01 2015-10-20 Novellus Systems, Inc. Sequential cascading of reaction volumes as a chemical reuse strategy
US8785285B2 (en) 2012-03-08 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US20150031218A1 (en) 2012-03-15 2015-01-29 Tokyo Electron Limited Film forming process and film forming apparatus
GB201206096D0 (en) 2012-04-05 2012-05-16 Dyson Technology Ltd Atomic layer deposition
US20130280891A1 (en) 2012-04-20 2013-10-24 Yihwan Kim Method and apparatus for germanium tin alloy formation by thermal cvd
US20130302520A1 (en) 2012-05-11 2013-11-14 Kai-An Wang Co-evaporation system comprising vapor pre-mixer
US8853826B2 (en) 2012-05-14 2014-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and apparatus for bipolar junction transistors and resistors
US20130312663A1 (en) 2012-05-22 2013-11-28 Applied Microstructures, Inc. Vapor Delivery Apparatus
US8900886B2 (en) 2012-06-01 2014-12-02 Taiwan Semiconductor Manufacturing Co., Ltd. System and method of monitoring and controlling atomic layer deposition of tungsten
RU2600462C2 (en) 2012-06-15 2016-10-20 Пикосан Ой Coating fabric substrate by deposition of atomic layers
US9023737B2 (en) 2012-07-11 2015-05-05 Asm Ip Holding B.V. Method for forming conformal, homogeneous dielectric film by cyclic deposition and heat treatment
EP2872668B1 (en) 2012-07-13 2018-09-19 Gallium Enterprises Pty Ltd Apparatus and method for film formation
US8784950B2 (en) 2012-07-16 2014-07-22 Asm Ip Holding B.V. Method for forming aluminum oxide film using Al compound containing alkyl group and alkoxy or alkylamine group
US20140034632A1 (en) 2012-08-01 2014-02-06 Heng Pan Apparatus and method for selective oxidation at lower temperature using remote plasma source
US8859368B2 (en) 2012-09-04 2014-10-14 Globalfoundries Inc. Semiconductor device incorporating a multi-function layer into gate stacks
WO2014070600A1 (en) 2012-10-29 2014-05-08 Matheson Tri-Gas, Inc. Methods for selective and conformal epitaxy of highly doped si-containing materials for three dimensional structures
EP2750167A1 (en) 2012-12-31 2014-07-02 Imec Method for tuning the effective work function of a gate structure in a semiconductor device
US10358718B2 (en) 2013-01-16 2019-07-23 Universiteit Gent Methods for obtaining hydrophilic fluoropolymers
KR20140095738A (en) 2013-01-25 2014-08-04 삼성전자주식회사 Transistors and methods of manufacturing the same
US9399228B2 (en) 2013-02-06 2016-07-26 Novellus Systems, Inc. Method and apparatus for purging and plasma suppression in a process chamber
US9758866B2 (en) 2013-02-13 2017-09-12 Wayne State University Synthesis and characterization of first row transition metal complexes containing α-imino alkoxides as precursors for deposition of metal films
US8932923B2 (en) 2013-02-19 2015-01-13 Globalfoundries Inc. Semiconductor gate structure for threshold voltage modulation and method of making same
FR3002241B1 (en) * 2013-02-21 2015-11-20 Altatech Semiconductor CHEMICAL VAPOR DEPOSITION DEVICE
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
KR101317942B1 (en) * 2013-03-13 2013-10-16 (주)테키스트 Edge ring cooling module for semi-conductor manufacture chuck
US9309978B2 (en) 2013-03-14 2016-04-12 Dresser-Rand Company Low head to stem ratio poppet valve
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
TWI627305B (en) 2013-03-15 2018-06-21 應用材料股份有限公司 Atmospheric lid with rigid plate for carousel processing chambers
WO2014140672A1 (en) 2013-03-15 2014-09-18 L'air Liquide, Societe Anonyme Pour I'etude Et I'exploitation Des Procedes Georges Claude Bis(alkylimido)-bis(alkylamido)molybdenum molecules for deposition of molybdenum-containing films
JP2014216647A (en) 2013-04-29 2014-11-17 エーエスエムアイピー ホールディング ビー.ブイ. Method for manufacturing resistive random access memory having metal-doped resistive switching layer
USD766849S1 (en) * 2013-05-15 2016-09-20 Ebara Corporation Substrate retaining ring
US8900999B1 (en) 2013-08-16 2014-12-02 Applied Materials, Inc. Low temperature high pressure high H2/WF6 ratio W process for 3D NAND application
US9190263B2 (en) 2013-08-22 2015-11-17 Asm Ip Holding B.V. Method for forming SiOCH film using organoaminosilane annealing
USD716742S1 (en) * 2013-09-13 2014-11-04 Asm Ip Holding B.V. Substrate supporter for semiconductor deposition apparatus
USD724553S1 (en) * 2013-09-13 2015-03-17 Asm Ip Holding B.V. Substrate supporter for semiconductor deposition apparatus
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9576790B2 (en) 2013-10-16 2017-02-21 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
EP3063157B8 (en) 2013-10-28 2019-07-10 Safc Hitech, Inc. Metal complexes containing amidoimine ligands
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
TWI588286B (en) 2013-11-26 2017-06-21 烏翠泰克股份有限公司 Method, cycle and device of improved plasma enhanced ald
KR102102787B1 (en) 2013-12-17 2020-04-22 삼성전자주식회사 Substrate treating apparatus and blocker plate assembly
JP6919131B2 (en) 2013-12-17 2021-08-18 テキサス インスツルメンツ インコーポレイテッド Extension contacts using the litho-freeze-litho-etch process
US20150179640A1 (en) 2013-12-19 2015-06-25 Globalfoundries Inc. Common fabrication of different semiconductor devices with different threshold voltages
US9159561B2 (en) 2013-12-26 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method for overcoming broken line and photoresist scum issues in tri-layer photoresist patterning
US9677172B2 (en) 2014-01-21 2017-06-13 Applied Materials, Inc. Methods for forming a cobalt-ruthenium liner layer for interconnect structures
SG11201605901QA (en) 2014-01-23 2016-08-30 Ultratech Inc Vapor delivery system
USD733257S1 (en) 2014-02-14 2015-06-30 Hansgrohe Se Overhead shower
JP6303592B2 (en) 2014-02-25 2018-04-04 東京エレクトロン株式会社 Substrate processing equipment
US9576952B2 (en) 2014-02-25 2017-02-21 Globalfoundries Inc. Integrated circuits with varying gate structures and fabrication methods
US9362180B2 (en) 2014-02-25 2016-06-07 Globalfoundries Inc. Integrated circuit having multiple threshold voltages
US10109534B2 (en) 2014-03-14 2018-10-23 Applied Materials, Inc. Multi-threshold voltage (Vt) workfunction metal by selective atomic layer deposition (ALD)
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9184054B1 (en) 2014-04-25 2015-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9343294B2 (en) 2014-04-28 2016-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure having air gap and method of forming the same
USD733262S1 (en) 2014-05-22 2015-06-30 Young Boung Kang Disposer of connection member for kitchen sink bowl
KR102154082B1 (en) 2014-05-30 2020-09-09 가부시키가이샤 에바라 세이사꾸쇼 Vacuum evacuation system
EP2953162A1 (en) 2014-06-06 2015-12-09 IMEC vzw Method for manufacturing a semiconductor device comprising transistors each having a different effective work function
KR102195003B1 (en) 2014-06-18 2020-12-24 삼성전자주식회사 Semiconductor diodes, variable resistance memory devices and methods of manufacturing variable resistance memory devices
USD736348S1 (en) 2014-07-07 2015-08-11 Jiangmen Triumph Rain Showers Co., LTD Spray head for a shower
KR102262887B1 (en) 2014-07-21 2021-06-08 삼성전자주식회사 Semiconductor device and method for fabricating the same
US10176996B2 (en) 2014-08-06 2019-01-08 Globalfoundries Inc. Replacement metal gate and fabrication process with reduced lithography steps
USD751176S1 (en) 2014-08-07 2016-03-08 Hansgrohe Se Overhead shower
KR20160021958A (en) 2014-08-18 2016-02-29 삼성전자주식회사 Plasma treating apparatus and substrate treating apparatus
US9252238B1 (en) 2014-08-18 2016-02-02 Lam Research Corporation Semiconductor structures with coplanar recessed gate layers and fabrication methods
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10224222B2 (en) 2014-09-09 2019-03-05 Asm Ip Holding B.V. Assembly of liner and flange for vertical furnace as well as a vertical process furnace
JP2016098406A (en) 2014-11-21 2016-05-30 東京エレクトロン株式会社 Film deposition method of molybdenum film
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9589790B2 (en) 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
US9997373B2 (en) 2014-12-04 2018-06-12 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9620377B2 (en) 2014-12-04 2017-04-11 Lab Research Corporation Technique to deposit metal-containing sidewall passivation for high aspect ratio cylinder etch
US9951421B2 (en) 2014-12-10 2018-04-24 Lam Research Corporation Inlet for effective mixing and purging
US10062564B2 (en) 2014-12-15 2018-08-28 Tokyo Electron Limited Method of selective gas phase film deposition on a substrate by modifying the surface using hydrogen plasma
US9820289B1 (en) 2014-12-18 2017-11-14 Sprint Spectrum L.P. Method and system for managing quantity of carriers in air interface connection based on type of content
KR102185458B1 (en) 2015-02-03 2020-12-03 에이에스엠 아이피 홀딩 비.브이. Selective deposition
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP2016178223A (en) 2015-03-20 2016-10-06 ルネサスエレクトロニクス株式会社 Method of manufacturing semiconductor device
US9647071B2 (en) 2015-06-15 2017-05-09 Taiwan Semiconductor Manufacturing Company, Ltd. FINFET structures and methods of forming the same
US10438795B2 (en) * 2015-06-22 2019-10-08 Veeco Instruments, Inc. Self-centering wafer carrier system for chemical vapor deposition
US10174437B2 (en) * 2015-07-09 2019-01-08 Applied Materials, Inc. Wafer electroplating chuck assembly
JP6502779B2 (en) 2015-07-29 2019-04-17 東京エレクトロン株式会社 Method of inspecting leak of valve of gas supply system
US20170051402A1 (en) 2015-08-17 2017-02-23 Asm Ip Holding B.V. Susceptor and substrate processing apparatus
JP1549882S (en) * 2015-08-18 2016-05-23
US20170051405A1 (en) 2015-08-18 2017-02-23 Asm Ip Holding B.V. Method for forming sin or sicn film in trenches by peald
US9523148B1 (en) 2015-08-25 2016-12-20 Asm Ip Holdings B.V. Process for deposition of titanium oxynitride for use in integrated circuit fabrication
US10121671B2 (en) 2015-08-28 2018-11-06 Applied Materials, Inc. Methods of depositing metal films using metal oxyhalide precursors
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9853101B2 (en) 2015-10-07 2017-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Strained nanowire CMOS device and method of forming
USD819580S1 (en) * 2016-04-01 2018-06-05 Veeco Instruments, Inc. Self-centering wafer carrier for chemical vapor deposition
USD810705S1 (en) * 2016-04-01 2018-02-20 Veeco Instruments Inc. Self-centering wafer carrier for chemical vapor deposition
US9941425B2 (en) 2015-10-16 2018-04-10 Asm Ip Holdings B.V. Photoactive devices and materials
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
WO2017091345A1 (en) 2015-11-25 2017-06-01 Applied Materials, Inc. New materials for tensile stress and low contact resistance and method of forming
US10865477B2 (en) 2016-02-08 2020-12-15 Illinois Tool Works Inc. Method and system for the localized deposit of metal on a surface
US9570302B1 (en) 2016-02-10 2017-02-14 Taiwan Semiconductor Manufacturing Co., Ltd. Method of patterning a material layer
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
JP2019510877A (en) 2016-02-19 2019-04-18 メルク パテント ゲゼルシャフト ミット ベシュレンクテル ハフツングMerck Patent Gesellschaft mit beschraenkter Haftung Deposition of molybdenum thin films using molybdenum carbonyl precursors
US9748145B1 (en) 2016-02-29 2017-08-29 Globalfoundries Inc. Semiconductor devices with varying threshold voltage and fabrication methods thereof
US10018920B2 (en) 2016-03-04 2018-07-10 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography patterning with a gas phase resist
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
JP6576277B2 (en) 2016-03-23 2019-09-18 東京エレクトロン株式会社 Formation method of nitride film
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
KR101990332B1 (en) 2016-03-28 2019-06-18 가부시키가이샤 히다치 하이테크놀로지즈 Plasma processing method and plasma processing apparatus
JP6608753B2 (en) 2016-03-31 2019-11-20 株式会社ノリタケカンパニーリミテド PdRu alloy electrode material and manufacturing method thereof
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
KR102592471B1 (en) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. Method of forming metal interconnection and method of fabricating semiconductor device using the same
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10014212B2 (en) 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
US10217863B2 (en) 2016-06-28 2019-02-26 International Business Machines Corporation Fabrication of a vertical fin field effect transistor with an asymmetric gate structure
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
WO2018013778A1 (en) 2016-07-14 2018-01-18 Entegris, Inc. Cvd mo deposition by using mooc14
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10573522B2 (en) 2016-08-16 2020-02-25 Lam Research Corporation Method for preventing line bending during metal fill process
US9865455B1 (en) 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
KR102600998B1 (en) 2016-09-28 2023-11-13 삼성전자주식회사 Semiconductor devices
US11926894B2 (en) 2016-09-30 2024-03-12 Asm Ip Holding B.V. Reactant vaporizer and related systems and methods
US10573549B2 (en) 2016-12-01 2020-02-25 Lam Research Corporation Pad raising mechanism in wafer positioning pedestal for semiconductor processing
US20170044664A1 (en) 2016-10-28 2017-02-16 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Hafnium-containing film forming compositions for vapor deposition of hafnium-containing films
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US9991277B1 (en) 2016-11-28 2018-06-05 Sandisk Technologies Llc Three-dimensional memory device with discrete self-aligned charge storage elements and method of making thereof
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10186420B2 (en) 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US20180174801A1 (en) 2016-12-21 2018-06-21 Ulvac Technologies, Inc. Apparatuses and methods for surface treatment
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10049426B2 (en) 2017-01-03 2018-08-14 Qualcomm Incorporated Draw call visibility stream
JP1584906S (en) * 2017-01-31 2017-08-28
JP1584241S (en) * 2017-01-31 2017-08-21
JP2018148143A (en) 2017-03-08 2018-09-20 株式会社東芝 Shower plate, processor, and discharge method
US11081337B2 (en) 2017-03-15 2021-08-03 Versum Materials U.S., LLC Formulation for deposition of silicon doped hafnium oxide as ferroelectric materials
US10629415B2 (en) 2017-03-28 2020-04-21 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrate
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10460932B2 (en) 2017-03-31 2019-10-29 Asm Ip Holding B.V. Semiconductor device with amorphous silicon filled gaps and methods for forming
US10510590B2 (en) 2017-04-10 2019-12-17 Lam Research Corporation Low resistivity films containing molybdenum
US10242879B2 (en) 2017-04-20 2019-03-26 Lam Research Corporation Methods and apparatus for forming smooth and conformal cobalt film by atomic layer deposition
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US20180325414A1 (en) 2017-05-12 2018-11-15 Tech4Imaging Llc Electro-magneto volume tomography system and methodology for non-invasive volume tomography
KR102417931B1 (en) 2017-05-30 2022-07-06 에이에스엠 아이피 홀딩 비.브이. Substrate supporting device and substrate processing apparatus including the same
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10246777B2 (en) 2017-06-12 2019-04-02 Asm Ip Holding B.V. Heater block having continuous concavity
KR102474876B1 (en) 2017-06-15 2022-12-07 삼성전자주식회사 Tungsten precursor and Method of forming a tungsten-containing layer using the same
US20180363139A1 (en) 2017-06-20 2018-12-20 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
TWI794238B (en) 2017-07-13 2023-03-01 荷蘭商Asm智慧財產控股公司 Apparatus and method for removal of oxide and carbon from semiconductor films in a single processing chamber
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
KR102481410B1 (en) 2017-07-31 2022-12-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
TWI815813B (en) 2017-08-04 2023-09-21 荷蘭商Asm智慧財產控股公司 Showerhead assembly for distributing a gas within a reaction chamber
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10763108B2 (en) 2017-08-18 2020-09-01 Lam Research Corporation Geometrically selective deposition of a dielectric film
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US20190067014A1 (en) 2017-08-30 2019-02-28 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor device structures
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US20190067003A1 (en) 2017-08-30 2019-02-28 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film on a dielectric surface of a substrate and related semiconductor device structures
US20190067095A1 (en) 2017-08-30 2019-02-28 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10106892B1 (en) 2017-08-31 2018-10-23 Globalfoundries Inc. Thermal oxide equivalent low temperature ALD oxide for dual purpose gate oxide and method for producing the same
KR102401446B1 (en) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
USD933725S1 (en) 2019-02-08 2021-10-19 Applied Materials, Inc. Deposition ring for a substrate processing chamber

Also Published As

Publication number Publication date
USD876504S1 (en) 2020-02-25

Similar Documents

Publication Publication Date Title
TWD186431S (en) Exhaust flow control ring for semiconductor substrate deposition apparatus
TWD189313S (en) Susceptor for semiconductor substrate processing apparatus
TWD166595S (en) air conditioner
TWD183207S (en) Wafer carrier for deposition
TWD186211S (en) Wafer carrier for deposition
TWD178203S (en) Pipe fitting
TWD183422S (en) Seals
TWD181453S (en) Seals
TWD181454S (en) Seals
TWD186999S (en) Part of the air flow regulator for the heating machine of the substrate processing equipment
TWD170678S (en) Air conditioner
TWD184739S (en) Exhaust piping
TWD170679S (en) Air conditioner
TWD174919S (en) Gas supply nozzle for substrate processing equipment
TWD170681S (en) Air conditioner
TWD199675S (en) Substrate holding parts
TWD199678S (en) Substrate holding parts
TWD175310S (en) Adhesive sheet for substrate
TWD191627S (en) Crystal boat side wall
TWD185594S (en) Exhaust protection
TWD196133S (en) Air purifier
TWD183102S (en) Shampoo chair base
TWD169084S (en) Faucet (1)
TWD189678S (en) Wafer carrier for deposition
TWD169087S (en) Faucet (4)