TWI794563B - 搬送方法及搬送系統 - Google Patents

搬送方法及搬送系統 Download PDF

Info

Publication number
TWI794563B
TWI794563B TW108144673A TW108144673A TWI794563B TW I794563 B TWI794563 B TW I794563B TW 108144673 A TW108144673 A TW 108144673A TW 108144673 A TW108144673 A TW 108144673A TW I794563 B TWI794563 B TW I794563B
Authority
TW
Taiwan
Prior art keywords
focus ring
offset
electrostatic chuck
measuring device
center position
Prior art date
Application number
TW108144673A
Other languages
English (en)
Other versions
TW202038367A (zh
Inventor
杉田吉平
河野太輔
柳義弘
Original Assignee
日商東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商東京威力科創股份有限公司 filed Critical 日商東京威力科創股份有限公司
Publication of TW202038367A publication Critical patent/TW202038367A/zh
Application granted granted Critical
Publication of TWI794563B publication Critical patent/TWI794563B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • H01J37/32743Means for moving the material to be treated for introducing the material into processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • H01L21/67265Position monitoring, e.g. misposition detection or presence detection of substrates stored in a container, a magazine, a carrier, a boat or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67706Mechanical details, e.g. roller, belt
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • H01L21/681Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment using optical controlling means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本發明之例示性實施形態之搬送方法包括如下步驟:藉由搬送裝置將聚焦環搬送至載台上;將測定器搬送至所搬送之聚焦環之內側區域且靜電吸盤上;藉由所搬送之測定器獲取測定值群;及基於測定值群,以靜電吸盤之中心位置與聚焦環之中心位置一致之方式,藉由搬送裝置調整聚焦環之搬送位置。

Description

搬送方法及搬送系統
本發明之例示性實施形態係關於一種搬送方法及搬送系統。
於日本專利特開2006-196691號公報中記載有一種半導體製造裝置。該裝置具備基板處理室、聚焦環待機室及搬送機構。於基板處理室之內側配置有電極。於電極上載置基板。聚焦環待機室收容複數個聚焦環。搬送機構不使基板處理室內面向大氣開放地將聚焦環待機室中所收容之聚焦環搬送至基板處理室。聚焦環係以包圍載置於電極之基板之周圍之方式配置。
於一個例示性實施形態中,提供一種搬送方法。搬送方法係於搬送系統中搬送聚焦環之方法,搬送系統包含處理系統與測定器。處理系統具備處理裝置與搬送裝置。處理裝置具有腔室本體、及設置於該腔室本體所提供之腔室內之包含靜電吸盤之載台。搬送裝置基於搬送位置資料將被加工物搬送至由載台上所配置之聚焦環所包圍之內側區域且靜電吸盤上。測定器具備感測器,該感測器係於該測定器位於內側區域且靜電吸盤上之狀態下,獲取用以求出第1偏移量及第2偏移量之測定值群者,該第1偏移量為測定器之中心位置相對於聚焦環之中心位置之偏移量,該第2偏移量為測定器之中心位置相對於靜電吸盤之中心位置之偏移量。該方法包括如下步驟:藉由搬送裝置將聚焦環搬送至載台上;藉由搬送裝置將測定器搬送至所搬送之聚焦環之內側區域且靜電吸盤上;藉由所搬送之測定器獲取測定值群;基於測定值群求出第1偏移量及第2偏移量;基於第1偏移量及第2偏移量求出第3偏移量,該第3偏移量為聚焦環之中心位置相對於靜電吸盤之中心位置之偏移量;及基於第3偏移量,以靜電吸盤之中心位置與聚焦環之中心位置一致之方式,藉由搬送裝置調整聚焦環之搬送位置。
以下,對各種例示性實施形態進行說明。
於一個例示性實施形態中,提供一種搬送方法。搬送方法係於搬送系統中搬送聚焦環之方法,搬送系統包含處理系統與測定器。處理系統包含處理裝置與搬送裝置。處理裝置具有腔室本體、及設置於該腔室本體所提供之腔室內之包含靜電吸盤之載台。搬送裝置基於搬送位置資料將被加工物搬送至由載台上所配置之聚焦環所包圍之內側區域且靜電吸盤上。測定器具備感測器,該感測器係於該測定器位於內側區域且靜電吸盤上之狀態下,獲取用以求出第1偏移量及第2偏移量之測定值群者。第1偏移量為測定器之中心位置相對於聚焦環之中心位置之偏移量。第2偏移量為測定器之中心位置相對於靜電吸盤之中心位置之偏移量。該方法包括藉由搬送裝置將聚焦環搬送至載台上之步驟。該方法包括藉由搬送裝置將測定器搬送至所搬送之聚焦環之內側區域且靜電吸盤上之步驟。該方法包括藉由所搬送之測定器獲取測定值群之步驟。該方法包括基於測定值群求出第1偏移量及第2偏移量之步驟。該方法包括基於第1偏移量及第2偏移量求出第3偏移量之步驟,該第3偏移量為聚焦環之中心位置相對於靜電吸盤之中心位置之偏移量。該方法包括基於第3偏移量,以靜電吸盤之中心位置與聚焦環之中心位置一致之方式,藉由搬送裝置調整聚焦環之搬送位置之步驟。
於上述實施形態之搬送方法中,在將聚焦環搬送至載台上後,將測定器搬送至聚焦環之內側區域。測定器獲取用以求出第1偏移量及第2偏移量之測定值群。於該方法中,自基於測定值群而求出之第1偏移量及第2偏移量求出第3偏移量,該第3偏移量為聚焦環之中心位置相對於靜電吸盤之中心位置之偏移量。基於第3偏移量,以靜電吸盤之中心位置與聚焦環之中心位置一致之方式,調整聚焦環之搬送位置。如此,於將聚焦環搬送至載台上後,基於第3偏移量進行聚焦環之搬送位置之調整,藉此可精度良好地搬送聚焦環。
於一個例示性實施形態中,搬送裝置亦可配置於與腔室本體氣密地連接之空間。於該構成中,可在與腔室本體氣密地連接之空間內進行聚焦環FR之搬送。於該情形時,可不使腔室本體面向大氣開放地進行聚焦環之搬送及位置調整。
於一個例示性實施形態中,亦可包括判定第3偏移量是否超出閾值之步驟。於該情形時,在調整聚焦環之搬送位置之步驟中,亦可為於第3偏移量超出閾值時調整聚焦環之位置。
於一個例示性實施形態中,亦可在調整聚焦環之搬送位置之步驟之後,進而包括確認搬送位置經過調整之聚焦環之第3偏移量是否超出閾值之步驟。
於另一例示性實施形態中,提供一種搬送聚焦環之系統。系統包含處理系統與測定器。處理系統包含處理裝置與搬送裝置。處理裝置具有腔室本體、及設置於該腔室本體所提供之腔室內之包含靜電吸盤之載台。搬送裝置將聚焦環搬送至載台上,並且將測定器搬送至由聚焦環所包圍之內側區域且靜電吸盤上。測定器基於該測定器位於內側區域且靜電吸盤上之狀態下之第1偏移量及第2偏移量求出第3偏移量。第1偏移量為測定器之中心位置相對於聚焦環之中心位置之偏移量。第2偏移量為測定器之中心位置相對於靜電吸盤之中心位置之偏移量。第3偏移量為聚焦環之中心位置相對於靜電吸盤之中心位置之偏移量。搬送裝置基於第3偏移量,以靜電吸盤之中心位置與聚焦環之中心位置一致之方式,調整聚焦環之搬送位置。
以下,參照圖式對各種實施形態詳細地進行說明。再者,對於各圖式中相同或相當之部分標註相同之符號。
一個例示性實施形態中之聚焦環FR之搬送系統S1例如為了將因使用而消耗之聚焦環FR更換成新的聚焦環FR,而執行聚焦環FR之搬送。搬送系統S1包含處理系統1與測定器100。首先,對具有用以對被加工物進行處理之處理裝置、及用以將被處理體搬送至該處理裝置之搬送裝置之處理系統進行說明。圖1係例示處理系統之圖。處理系統1具備台2a~2d、容器4a~4d、裝載模組LM、對準器AN、裝載閉鎖模組LL1、LL2、製程模組PM1~PM6、傳送模組TF及控制部MC。再者,台2a~2d之個數、容器4a~4d之個數、裝載閉鎖模組LL1、LL2之個數及製程模組PM1~PM6之個數並無限定,可為一個以上之任意個數。
台2a~2d沿著裝載模組LM之一邊緣排列。容器4a~4d分別搭載於台2a~2d上。容器4a~4d例如分別為被稱為FOUP(Front Opening Unified Pod,前開式晶圓傳送盒)之容器。容器4a~4d分別構成為其中收容被加工物W。被加工物W如晶圓般具有大致圓盤形狀。
裝載模組LM具有於其內部劃分形成大氣壓狀態之搬送空間之腔室壁。於該搬送空間內設置有搬送裝置TU1。搬送裝置TU1例如為多關節機械臂,由控制部MC控制。搬送裝置TU1構成為於容器4a~4d與對準器AN之間、對準器AN與裝載閉鎖模組LL1~LL2之間、裝載閉鎖模組LL1~LL2與容器4a~4d之間搬送被加工物W。
對準器AN與裝載模組LM連接。對準器AN構成為進行被加工物W之位置之調整(位置之校準)。圖2係例示對準器之立體圖。對準器AN具有支持台6T、驅動裝置6D及感測器6S。支持台6T為能以沿鉛直方向延伸之軸線為中心而旋轉之台,構成為其上支持被加工物W。支持台6T係藉由驅動裝置6D而旋轉。驅動裝置6D由控制部MC控制。當支持台6T藉由來自驅動裝置6D之動力而旋轉時,載置於該支持台6T上之被加工物W亦旋轉。
感測器6S為光學感測器,於被加工物W旋轉之期間檢測被加工物W之邊緣。感測器6S根據邊緣之檢測結果,檢測被加工物W之凹口WN(或其他標記)之角度位置相對於基準角度位置之偏移量、及被加工物W之中心位置相對於基準位置之偏移量。感測器6S將凹口WN之角度位置之偏移量、及被加工物W之中心位置之偏移量輸出至控制部MC。控制部MC基於凹口WN之角度位置之偏移量,算出用以將凹口WN之角度位置修正為基準角度位置之支持台6T之旋轉量。控制部MC以使支持台6T按照該旋轉量旋轉之方式控制驅動裝置6D。藉此,可將凹口WN之角度位置修正為基準角度位置。控制部MC基於被加工物W之中心位置之偏移量,控制自對準器AN接收被加工物W時搬送裝置TU1之末端效應器(end effector)之位置。藉此,被加工物W之中心位置與搬送裝置TU1之末端效應器上之規定位置一致。
返回圖1,裝載閉鎖模組LL1及裝載閉鎖模組LL2分別設置於裝載模組LM與傳送模組TF之間。裝載閉鎖模組LL1及裝載閉鎖模組LL2分別提供預備減壓室。
傳送模組TF經由閘閥氣密地連接於裝載閉鎖模組LL1及裝載閉鎖模組LL2。傳送模組TF提供可進行減壓之減壓室。於該減壓室中設置有搬送裝置TU2。搬送裝置TU2例如為具有搬送臂TUa之多關節機械臂,由控制部MC控制。搬送裝置TU2構成為於裝載閉鎖模組LL1~LL2與製程模組PM1~PM6之間、及製程模組PM1~PM6中之任意兩個製程模組之間搬送被加工物W。
製程模組PM1~PM6經由閘閥氣密地連接於傳送模組TF。製程模組PM1~PM6分別為構成為對被加工物W進行電漿處理等專用處理之處理裝置。
關於在該處理系統1中進行被加工物W之處理時之一系列動作,例示如下。裝載模組LM之搬送裝置TU1自容器4a~4d中之任一者中取出被加工物W,將該被加工物W搬送至對準器AN。其次,搬送裝置TU1將位置經過調整之被加工物W自對準器AN中取出,將該被加工物W搬送至裝載閉鎖模組LL1及裝載閉鎖模組LL2中之一裝載閉鎖模組。其次,一裝載閉鎖模組將預備減壓室之壓力減壓為規定之壓力。其次,傳送模組TF之搬送裝置TU2自一裝載閉鎖模組中取出被加工物W,將該被加工物W搬送至製程模組PM1~PM6中之任一者。然後,製程模組PM1~PM6中之一個以上製程模組對被加工物W進行處理。然後,搬送裝置TU2將處理後之被加工物W自製程模組搬送至裝載閉鎖模組LL1及裝載閉鎖模組LL2中之一裝載閉鎖模組。其次,搬送裝置TU1將被加工物W自一裝載閉鎖模組搬送至容器4a~4d中之任一者。
如上所述,該處理系統1具備控制部MC。控制部MC可為具備處理器、記憶體等記憶裝置、顯示裝置、輸入輸出裝置、通信裝置等之電腦。上述處理系統1之一系列動作係藉由控制部MC按照記憶裝置中所記憶之程式控制處理系統1之各部而實現。
圖3係表示可作為製程模組PM1~PM6中之任一者而採用之電漿處理裝置之一例之圖。圖3所示之電漿處理裝置10為電容耦合型電漿蝕刻裝置。電漿處理裝置10具備大致圓筒形狀之腔室本體12。腔室本體12例如由鋁所形成,其內壁面可被施以陽極氧化處理。該腔室本體12安全接地。
於腔室本體12之底部上設置有大致圓筒形狀之支持部14。支持部14例如由絕緣材料構成。支持部14設置於腔室本體12內,自腔室本體12之底部向上方延伸。又,於腔室本體12所提供之腔室S內設置有載台ST。載台ST由支持部14支持。
載台ST具有下部電極LE及靜電吸盤ESC。下部電極LE包含第1平板18a及第2平板18b。第1平板18a及第2平板18b例如由鋁等金屬構成,形成為大致圓盤形狀。第2平板18b設置於第1平板18a上,且與第1平板18a電性連接。
於第2平板18b上設置有靜電吸盤ESC。靜電吸盤ESC具有將作為導電膜之電極配置於一對絕緣層或絕緣片之間而成之構造,且具有大致圓盤形狀。於靜電吸盤ESC之電極經由開關23電性連接有直流電源22。該靜電吸盤ESC藉由利用來自直流電源22之直流電壓所產生之庫倫力等靜電力吸附被加工物W。藉此,靜電吸盤ESC可保持被加工物W。
於第2平板18b之周緣部上設置有聚焦環FR。該聚焦環FR以包圍被加工物W之邊緣及靜電吸盤ESC之方式設置。聚焦環FR具有第1部分P1及第2部分P2(參照圖7)。第1部分P1及第2部分P2具有環狀板形狀。第2部分P2為較第1部分P1靠外側之部分。第2部分P2於高度方向上具有較第1部分P1大之厚度。第2部分P2之內緣P2i具有較第1部分P1之內緣P1i之直徑大之直徑。被加工物W以其邊緣區域位於聚焦環FR之第1部分P1上之方式載置於靜電吸盤ESC上。該聚焦環FR可由矽、碳化矽、氧化矽等各種材料中之任一者所形成。
於第2平板18b之內部設置有冷媒流路24。冷媒流路24構成調溫機構。自設置於腔室本體12之外部之冷卻器單元經由配管26a向冷媒流路24供給冷媒。供給至冷媒流路24之冷媒經由配管26b退回至冷卻器單元。如此,冷媒於冷媒流路24與冷卻器單元之間循環。藉由控制該冷媒之溫度,而控制由靜電吸盤ESC所支持之被加工物W之溫度。
於載台ST形成有貫通該載台ST之複數個(例如三個)貫通孔25。複數個貫通孔25俯視下形成於靜電吸盤ESC之內側。於該等各貫通孔25中***有頂起銷25a。再者,於圖3中,繪出了***有一根頂起銷25a之一個貫通孔25。頂起銷25a可上下移動地設置於貫通孔25內。支持於靜電吸盤ESC上之被加工物W藉由頂起銷25a之上升而上升。
於載台ST之俯視下較靜電吸盤ESC靠外側之位置,形成有貫通該載台ST(下部電極LE)之複數個(例如三個)貫通孔27。於該等各貫通孔27中***有頂起銷27a。再者,於圖3中,繪出了***有一根頂起銷27a之一個貫通孔27。頂起銷27a可上下移動地設置於貫通孔27內。支持於第2平板18b上之聚焦環FR藉由頂起銷27a之上升而上升。
又,於電漿處理裝置10設置有氣體供給管線28。氣體供給管線28將來自傳熱氣體供給機構之傳熱氣體例如氦氣(He)供給至靜電吸盤ESC之上表面與被加工物W之背面之間。
又,電漿處理裝置10具備上部電極30。上部電極30以與該載台ST對向之方式配置於載台ST之上方。上部電極30介隔絕緣性遮斷構件32支持於腔室本體12之上部。上部電極30可包含頂板34及支持體36。頂板34面對腔室S。於該頂板34設置有複數個氣體噴出孔34a。該頂板34可由矽或石英所形成。或者,頂板34可藉由在鋁製之母材之表面形成氧化釔等耐電漿性膜而構成。
支持體36將頂板34裝卸自如地支持。支持體36可由例如鋁等導電性材料構成。該支持體36可具有水冷構造。於支持體36之內部設置有氣體擴散室36a。與氣體噴出孔34a連通之複數個氣體流經孔36b自該氣體擴散室36a向下方延伸。又,於支持體36形成有向氣體擴散室36a導入處理氣體之氣體導入口36c。於該氣體導入口36c連接有氣體供給管38。
於氣體供給管38經由閥群42及流量控制器群44連接有氣體源群40。氣體源群40包含複數種氣體用之複數個氣體源。閥群42包含複數個閥。流量控制器群44包含質量流量控制器等複數個流量控制器。氣體源群40之複數個氣體源分別經由閥群42之對應閥及流量控制器群44之對應流量控制器連接於氣體供給管38。
又,於電漿處理裝置10中,沿著腔室本體12之內壁裝卸自如地設置有積存物遮罩46。積存物遮罩46亦設置於支持部14之外周。積存物遮罩46防止蝕刻副產物(積存物)附著於腔室本體12。積存物遮罩46可藉由對鋁材被覆氧化釔等陶瓷而構成。
於腔室本體12之底部側且支持部14與腔室本體12之側壁之間設置有排氣平板48。排氣平板48例如可藉由對鋁材被覆氧化釔等陶瓷而構成。於排氣平板48形成有在其板厚方向上貫通之複數個孔。於該排氣平板48之下方且腔室本體12設置有排氣口12e。於排氣口12e經由排氣管52連接有排氣裝置50。排氣裝置50具有壓力調節閥及渦輪分子泵等真空泵,可將腔室本體12內之空間減壓至所希望之真空度。又,於腔室本體12之側壁設置有用以搬入或搬出被加工物W之開口12g。開口12g可藉由閘閥54而開閉。
又,電漿處理裝置10進而具備第1高頻電源62及第2高頻電源64。第1高頻電源62為產生電漿產生用之第1高頻之電源,例如產生具有27~100 MHz之頻率之高頻。第1高頻電源62經由匹配器66連接於上部電極30。匹配器66具有用以使第1高頻電源62之輸出阻抗與負載側(上部電極30側)之輸入阻抗匹配之電路。再者,第1高頻電源62亦可經由匹配器66連接於下部電極LE。
第2高頻電源64為產生用以將離子引入至被加工物W之第2高頻之電源,例如產生400 kHz~13.56 MHz範圍內之高頻。第2高頻電源64經由匹配器68連接於下部電極LE。匹配器68具有用以使第2高頻電源64之輸出阻抗與負載側(下部電極LE側)之輸入阻抗匹配之電路。
於該電漿處理裝置10中,來自選自複數個氣體源中之一個以上氣體源之氣體供給至腔室S。又,腔室S之壓力藉由排氣裝置50設定為規定之壓力。進而,藉由來自第1高頻電源62之第1高頻激發腔室S內之氣體。藉此,產生電漿。然後,藉由所產生之活性種處理被加工物W。再者,亦可視需要藉由基於第2高頻電源64之第2高頻之偏壓將離子引入至被加工物W。
以下,對測定器進行說明。圖4係自上表面側觀察測定器而示出之俯視圖。圖5係自底面側觀察測定器而示出之俯視圖。圖4及圖5所示之測定器100具備基底基板102。基底基板102例如由矽所形成,具有與被加工物W之形狀相同之形狀即大致圓盤形狀。基底基板102之直徑為與被加工物W之直徑相同之直徑,例如為300 mm。測定器100之形狀及尺寸由該基底基板102之形狀及尺寸所規定。因此,測定器100具有與被加工物W之形狀相同之形狀,且具有與被加工物W之尺寸相同之尺寸。又,於基底基板102之邊緣形成有凹口102N(或其他標記)。
於基底基板102設置有靜電電容測定用之複數個第1感測器104A~104C。複數個第1感測器104A~104C沿著基底基板102之邊緣,例如於該邊緣之全周上等間隔地排列。具體而言,複數個第1感測器104A~104C分別以沿著基底基板102之上表面側之邊緣之方式設置。複數個第1感測器104A~104C各自之前側端面沿著基底基板102之側面。
又,於基底基板102設置有靜電電容測定用之複數個第2感測器105A~105C。複數個第2感測器105A~105C沿著基底基板102之邊緣,例如於該邊緣之全周等間隔地排列。具體而言,複數個第2感測器105A~105C分別以沿著基底基板之底面側之邊緣之方式設置。複數個第2感測器105A~105C各自之感測電極161沿著基底基板102之底面。又,第2感測器105A~105C與第1感測器104A~104C於圓周方向上以60°之間隔交替地排列。
於基底基板102之上表面之中央設置有電路基板106。於電路基板106與複數個第1感測器104A~104C之間設置有用以將彼此電性連接之配線群108A~108C。又,於電路基板106與複數個第2感測器105A~105C之間設置有用以將彼此電性連接之配線群208A~208C。電路基板106、配線群108A~108C及配線群208A~208C被外罩103覆蓋。
以下,對第1感測器詳細地進行說明。圖6係表示感測器之一例之立體圖。圖7係沿著圖6之VII-VII線所獲得之剖視圖。圖6、圖7所示之第1感測器104係用作測定器100之複數個第1感測器104A~104C之感測器,於一例中,構成為晶片狀之零件。再者,於以下說明中,適當參照XYZ正交座標系統。X方向表示第1感測器104之前方向,Y方向表示與X方向正交之一方向且為第1感測器104之寬度方向,Z方向表示與X方向及Y方向正交之方向且為第1感測器104之上方向。於圖7中,與第1感測器104一併示出了聚焦環FR。
第1感測器104具有電極141、屏蔽電極142、感測電極143、基板部144及絕緣區域147。
基板部144例如由硼矽酸玻璃或石英所形成。基板部144具有上表面144a、下表面144b及前側端面144c。屏蔽電極142設置於基板部144之下表面144b之下方,且沿X方向及Y方向延伸。又,電極141介隔絕緣區域147設置於屏蔽電極142之下方,且沿X方向及Y方向延伸。絕緣區域147例如由SiO2 、SiN、Al2 O3 或聚醯亞胺所形成。
基板部144之前側端面144c形成為階梯狀。前側端面144c之下側部分144d較該前側端面144c之上側部分144u朝聚焦環FR之側突出。感測電極143沿著前側端面144c之上側部分144u延伸。於一個例示性實施形態中,前側端面144c之上側部分144u及下側部分144d分別為具有規定之曲率之曲面。即,前側端面144c之上側部分144u於該上側部分144u之任意位置具有固定之曲率,該上側部分144u之曲率為測定器100之中心軸線AX100與前側端面144c之上側部分144u之間之距離的倒數。又,前側端面144c之下側部分144d於該下側部分144d之任意位置具有固定之曲率,該下側部分144d之曲率為測定器100之中心軸線AX100與前側端面144c之下側部分144d之間之距離的倒數。
感測電極143沿著前側端面144c之上側部分144u設置。於一個例示性實施形態中,該感測電極143之前表面143f亦為曲面。即,感測電極143之前表面143f於該前表面143f之任意位置具有固定之曲率,該曲率為測定器100之中心軸線AX100與前表面143f之間之距離的倒數。
於使用該第1感測器104作為測定器100之感測器之情形時,如下所述,電極141連接於配線181,屏蔽電極142連接於配線182,感測電極143連接於配線183。
於第1感測器104中,感測電極143被電極141及屏蔽電極142阻斷而不到達第1感測器104之下方。因此,藉由該第1感測器104,可於特定方向即感測電極143之前表面143f所朝向之方向(X方向)上具有較高之指向性地測定靜電電容。
以下,對第2感測器詳細地進行說明。圖8係圖5之局部放大圖,表示一個第2感測器。感測電極161之邊緣局部地形成為圓弧形狀。即,感測電極161具有由內緣(第2邊緣)161a及外緣(第1邊緣)161b所規定之平面形狀,該內緣(第2邊緣)161a及外緣(第1邊緣)161b為以中心軸線AX100為中心、具有不同半徑之兩個圓弧。複數個第2感測器105A~105C各自之感測電極161之徑向外側之外緣161b於共通之圓上延伸。又,複數個第2感測器105A~105C各自之感測電極161之徑向內側之內緣161a於另一共通之圓上延伸。感測電極161之邊緣之一部分之曲率與靜電吸盤ESC之邊緣之曲率一致。於一個例示性實施形態中,形成感測電極161之徑向外側之邊緣的外緣161b之曲率與靜電吸盤ESC之邊緣之曲率一致。再者,外緣161b之曲率中心,即供外緣161b於其上延伸之圓之中心,共有中心軸線AX100。
於一個例示性實施形態中,第2感測器105A~105C分別進而包含包圍感測電極161之屏蔽電極162。屏蔽電極162形成為框狀,將感測電極161遍及全周地包圍。屏蔽電極162與感測電極161以其等之間介存絕緣區域164之方式相互隔開。又,於一個例示性實施形態中,第2感測器105A~105C分別於屏蔽電極162之外側進而包含包圍該屏蔽電極162之電極163。電極163形成為框狀,將屏蔽電極162遍及全周地包圍。屏蔽電極162與電極163以其等之間介存絕緣區域165之方式相互隔開。
以下,對電路基板106之構成進行說明。圖9係例示測定器之電路基板之構成之圖。電路基板106具有高頻振盪器171、複數個C/V(current/voltage,電流/電壓)轉換電路172A~172C、複數個C/V轉換電路272A~272C、A/D(analogue/digital,類比/數位)轉換器173、處理器(運算部)174、記憶裝置175、通信裝置176及電源177。
複數個第1感測器104A~104C分別經由複數個配線群108A~108C中對應之配線群連接於電路基板106。又,複數個第1感測器104A~104C分別經由對應之配線群中所含之若干配線連接於複數個C/V轉換電路172A~172C中對應之C/V轉換電路。複數個第2感測器105A~105C分別經由複數個配線群208A~208C中對應之配線群連接於電路基板106。又,複數個第2感測器105A~105C分別經由對應之配線群中所含之若干配線連接於複數個C/V轉換電路272A~272C中對應之C/V轉換電路。以下,對構成與複數個第1感測器104A~104C各者相同之一個第1感測器104進行說明。同樣地,對構成與複數個配線群108A~108C各者相同之一個配線群108進行說明。對構成與複數個C/V轉換電路172A~172C各者相同之一個C/V轉換電路172進行說明。對構成與複數個第2感測器105A~105C各者相同之一個第2感測器105進行說明。對構成與複數個配線群208A~208C各者相同之一個配線群208進行說明。對構成與複數個C/V轉換電路272A~272C各者相同之C/V轉換電路272進行說明。
配線群108包含配線181~183。配線181之一端連接於與電極141連接之焊墊151。該配線181連接於與電路基板106之接地極G連接之接地電位線GL。再者,配線181亦可經由開關SWG連接於接地電位線GL。又,配線182之一端連接於與屏蔽電極142連接之焊墊152,配線182之另一端連接於C/V轉換電路172。又,配線183之一端連接於與感測電極143連接之焊墊153,配線183之另一端連接於C/V轉換電路172。
配線群208包含配線281~283。配線281之一端連接於電極163。該配線281連接於與電路基板106之接地極G連接之接地電位線GL。再者,配線281亦可經由開關SWG連接於接地電位線GL。又,配線282之一端連接於屏蔽電極162,配線282之另一端連接於C/V轉換電路272。又,配線283之一端連接於感測電極161,配線283之另一端連接於C/V轉換電路272。
高頻振盪器171連接於電池等電源177,構成為接收來自該電源177之電力而產生高頻信號。再者,電源177亦連接於處理器174、記憶裝置175及通信裝置176。高頻振盪器171具有複數條輸出線。高頻振盪器171將所產生之高頻信號經由複數條輸出線供給至配線182及配線183、以及配線282及配線283。因此,高頻振盪器171電性連接於第1感測器104之屏蔽電極142及感測電極143,從而來自該高頻振盪器171之高頻信號供給至屏蔽電極142及感測電極143。又,高頻振盪器171電性連接於第2感測器105之感測電極161及屏蔽電極162,從而來自該高頻振盪器171之高頻信號供給至感測電極161及屏蔽電極162。
於C/V轉換電路172之輸入端連接有配線182及配線183。即,於C/V轉換電路172之輸入端連接有第1感測器104之屏蔽電極142及感測電極143。又,於C/V轉換電路272之輸入端分別連接有感測電極161及屏蔽電極162。C/V轉換電路172及C/V轉換電路272構成為產生具有與其輸入端之電位差對應之振幅之電壓信號,並輸出該電壓信號。再者,連接於C/V轉換電路172之感測電極之靜電電容越大,該C/V轉換電路172所輸出之電壓信號之電壓之大小越大。同樣地,連接於C/V轉換電路272之感測電極之靜電電容越大,該C/V轉換電路272所輸出之電壓信號之電壓之大小越大。高頻振盪器171、配線282及配線283、C/V轉換電路272三者係與高頻振盪器171、配線182及配線183、C/V轉換電路172三者同樣地連接。
於A/D轉換器173之輸入端連接有C/V轉換電路172及C/V轉換電路272之輸出端。又,A/D轉換器173連接於處理器174。A/D轉換器173係藉由來自處理器174之控制信號而控制,將C/V轉換電路172之輸出信號(電壓信號)及C/V轉換電路272之輸出信號(電壓信號)轉換成數位值,並將其作為檢測值輸出至處理器174。
於處理器174連接有記憶裝置175。記憶裝置175為揮發性記憶體等記憶裝置,構成為對下述測定資料進行記憶。又,於處理器174連接有另一記憶裝置178。記憶裝置178為非揮發性記憶體等記憶裝置,記憶有將由處理器174讀出並執行之程式。
通信裝置176為符合任意無線通信規格之通信裝置。例如,通信裝置176符合Bluetooth(註冊商標)規格。通信裝置176構成為對記憶裝置175中所記憶之測定資料進行無線發送。
處理器174構成為藉由執行上述程式而控制測定器100之各部。例如,處理器174控制來自高頻振盪器171之高頻信號對屏蔽電極142、感測電極143、感測電極161及屏蔽電極162之供給。又,處理器174控制來自電源177之電力對記憶裝置175之供給、來自電源177之電力對通信裝置176之供給等。進而,處理器174藉由執行上述程式,而基於自A/D轉換器173輸入之檢測值獲取第1感測器104之測定值及第2感測器105之測定值。
於以上所說明之測定器100中,在測定器100配置於由聚焦環FR所包圍之區域之狀態下,複數個感測電極143及屏蔽電極142與聚焦環FR之內緣對向。基於該等感測電極143之信號與屏蔽電極142之信號之電位差而產生之測定值表示反映複數個感測電極143各者與聚焦環之間之距離之靜電電容。再者,靜電電容C由C=εS/d所表示。ε為感測電極143之前表面143f與聚焦環FR之內緣之間之介質之介電常數,S為感測電極143之前表面143f之面積,d可視為感測電極143之前表面143f與聚焦環FR之內緣之間之距離。因此,藉由測定器100,可獲得反映仿照被加工物W之該測定器100與聚焦環FR之相對位置關係之測定資料。例如,感測電極143之前表面143f與聚焦環FR之內緣之間之距離越大,藉由測定器100所獲得之複數個測定值越小。
又,在測定器100載置於靜電吸盤ESC之狀態下,複數個感測電極161與靜電吸盤ESC對向。就一個感測電極161而言,在感測電極161相對於靜電吸盤ESC朝徑向外側偏移之情形時,藉由感測電極161所測定之靜電電容與測定器100搬送至規定之搬送位置之情形時之靜電電容相比變小。又,在感測電極161相對於靜電吸盤ESC朝徑向內側偏移之情形時,藉由感測電極161所測定之靜電電容與測定器100搬送至規定之搬送位置之情形時之靜電電容相比變大。
以下,對求出第1偏移量之方法進行說明,該第1偏移量為配置於由聚焦環FR所包圍之區域內的測定器100之中心位置(中心軸線AX100)相對於該區域之中心位置(中心軸線AXF)之偏移量。
圖10模式性地表示聚焦環FR與配置於聚焦環FR之內側之測定器100之位置關係。於圖10中,示出了聚焦環FR之內周與測定器100之邊緣。又,於圖10中,示出了:以聚焦環FR之中心軸線AXF為原點、由X軸及Y軸所形成之正交座標系統,及以測定器100之中心軸線AX100為原點、由X'軸及Y'軸所形成之正交座標系統。於圖示例中,Y'軸以通過第1感測器104A之方式設定。
如圖所示,聚焦環FR之中心軸線AXF與測定器100之中心軸線AX100之偏移量由ΔXa及ΔYa所表示。以下,對ΔXa及ΔYa之導出方法進行說明。於一個例示性實施形態中,3個第1感測器104A、104B、104C沿圓周方向以120°之間隔均等地設置於基底基板102之周緣。藉此,複數個感測電極143至聚焦環FR之內周面之各最短距離之和A為固定之值。於圖示例中,聚焦環FR之內徑Df 為302 mm,測定器100之外徑Dw 為300 mm。將第1感測器104A至聚焦環FR之內周面之最短距離設為GA 。將第1感測器104B至聚焦環FR之內周面之最短距離設為GB 。將第1感測器104C至聚焦環FR之內周面之最短距離設為GC 。於該情形時,以下式(3)成立。 ((Df -Dw )/2)×3=GA +GB +GC =3.00 mm・・・式(3)
此處,如上所述,靜電電容C由C=εS/d所表示,因此距離d由d=εS/C所表示。若將「εS」設為常數a,則距離d成為d=a/C。距離d與上式中之GA 、GB 及GC 對應。若將藉由第1感測器104A所獲得之測定值(靜電電容)設為CA ,將藉由第1感測器104B所獲得之測定值設為CB ,將藉由第1感測器104C所獲得之測定值設為CC ,則GA =a/CA 、GB =a/CB 、GC =a/CC 成立。即,式(3)轉換成以下式(4)。 (a/CA )+(a/CB )+(a/CC )=3.00 mm・・・(4)
再者,式(4)可總結成以下式(5)。即,若將藉由N個第1感測器104所獲得之測定值設為Ci (i=1、2、3、…、N),則式(5)成立。於N個第1感測器104至聚焦環FR之內周面之各最短距離之和A成為固定之值之情形時,和A可藉由((Df -Dw )/2)×N而算出。
Figure 02_image001
於要導出ΔXa及ΔYa之情形時,首先獲取第1感測器104A、104B、104C各自之測定值CA 、CB 、CC 。藉由將該等測定值CA 、CB 、CC 代入至上式(4)中,可求出常數a。然後,基於常數a與各個測定值CA 、CB 、CC 而導出距離GA 、GB 、GC
如本實施形態般,於聚焦環FR之內徑Df 與測定器100之外徑Dw 之差相對於聚焦環FR之內徑Df 足夠小之情形時,以下式(6)成立。即,GA 之大小可近似視為Y軸上之聚焦環FR之內周至測定器100之邊緣之距離Y1 。 GA ≒Y1 ・・・(6)
若將以原點(中心軸線AX100)為中心而與第1感測器104A對稱之位置至聚焦環FR之內周之距離設為GA ',則同樣地以下式(7)成立。即,GA '之大小可近似於Y軸上之聚焦環FR之內周至測定器100之邊緣之距離Y2 。 GA '≒Y2 ・・・(7)
此處,Y1 及Y2 均為Y軸上之距離。因此,Y1 與Y2 之和可近似視為聚焦環FR之內徑Df 與測定器100之外徑Dw 之差。即,基於式(6)、(7),以下式(8)成立。 Y1 +Y2 ≒GA +GA '≒2・・・(8)
ΔYa可規定為Y2 與Y1 之差之1/2,因此如以下式(9)所示,自距離GA 求出ΔYa。 ΔYa=(Y2 -Y1 )/2=1-GA ・・・(9)
同樣地,於X軸上,若將測定器100之邊緣至聚焦環FR之內周之距離分別設為X1 、X2 ,則以下式(10)成立。 X1 +X2 ≒2・・・(10)
又,第1感測器104B至聚焦環FR之最短距離GB 與第1感測器104C至聚焦環FR之最短距離GC 之比如以下式(11)所示。 X1 :X2 =GB :GC ・・・(11)
此處,若設為GC +GB =Z,則基於式(10)、(11),X1 、X2 分別由以下式(12)、(13)所表示。 X1 =2GB /Z=2GB /(GC +GB )・・・(12) X2 =2GC /Z=2GC /(GC +GB )・・・(13)
由此,ΔXa可規定為以下式(14),因此自距離GC 、GB 求出ΔXa。 ΔXa=(X2 -X1 )/2=(GC -GB )/(GC +GB )・・・(14)
如此操作而於一個例示性實施形態中求出第1偏移量,該第1偏移量為聚焦環FR之中心軸線AXF與配置於聚焦環FR之內側之測定器100之中心軸線AX100的偏移量。第1偏移量可按沿著X軸之方向之偏移量ΔXa、及沿著Y軸之方向之偏移量ΔYa而算出。
繼而,對求出第2偏移量之方法進行說明,該第2偏移量為靜電吸盤ESC之中心位置(中心軸線AXE)與配置於靜電吸盤ESC上之測定器100之中心軸線AX100之偏移量。
圖11係靜電吸盤之剖視圖,表示被加工物載置於靜電吸盤之狀態。於一個例示性實施形態中,靜電吸盤ESC具有陶瓷製之本體、及設置於本體內之電極E。本體具有圓板形狀,且具有相對於靜電吸盤ESC之中心沿圓周方向延伸之周緣。電極E之邊緣具有圓板形狀,且於較本體之周緣靠內側,相對於靜電吸盤ESC之中心沿圓周方向延伸。靜電吸盤ESC具有供被加工物W及測定器100載置於其上之載置區域R。載置區域R具有圓形之邊緣。被加工物W及測定器100具有較載置區域R之外徑大之外徑。
圖12係表示相對於靜電吸盤之載置區域的測定器之搬送位置之圖。圖12(a)表示測定器100之中心位置與靜電吸盤之中心位置一致之情形時之配置。圖12(b)及圖12(c)表示測定器100之中心位置與靜電吸盤之中心位置相互偏移之情形時之配置。再者,於圖12(c)中,聚焦環FR與測定器100相互干涉。即,實際上不會形成為圖12(c)所示之配置。
如圖12(a)所示,於測定器100之中心軸線AX100與靜電吸盤ESC之中心軸線AXE一致之情形時,感測電極161之外緣161b(參照圖8)與靜電吸盤ESC之外緣一致。又,於該情形時,亦可為感測電極161之內緣161a(參照圖8)與電極E之外緣一致。即,感測電極161之外緣161b於以中心軸線AX100為中心之第1圓上延伸,第1圓具有與靜電吸盤ESC之本體之周緣之半徑相同之半徑。又,感測電極161之內緣161a於以中心軸線AX100為中心之第2圓上延伸,第2圓具有與靜電吸盤ESC之電極E之周緣之半徑相同之半徑。
如上所述,靜電電容C由C=εS/d所表示。此處,距離d為感測電極161至靜電吸盤ESC之表面之距離,其值固定。另一方面,S為感測電極161與靜電吸盤ESC相互對向之部分之面積。因此,該S根據測定器100與靜電吸盤ESC之位置關係而變動。例如,如圖12(b)所示,於感測電極161與靜電吸盤ESC之重疊長度WX 變小之配置中,S變小。此處,重疊長度可定義為靜電吸盤ESC之周緣至感測電極161之內緣161a之最短距離。
感測電極161之形狀可近似於在以中心軸線AX100為中心之圓之徑向、及與徑向正交之方向上具有邊之矩形。於該情形時,S由與徑向正交之方向之邊之長度與重疊長度WX 之積所表示。如將與徑向正交之方向之邊之長度設為Sa ,則S由Sa 與WX 之積所表示。於該情形時,C=εS/d可變形成C=ε・Sa ・WX /d。由此,重疊長度WX 由以下式(15)所表示。 WX =(d/(ε・Sa ))C・・・(15)
此處,藉由將d/(ε・Sa )設為常數b而導出以下式(16)。 WX =b・C・・・(16)
如圖12(c)所示,於感測電極161之內緣161a與靜電吸盤ESC之外緣一致之狀態下,重疊長度WX 成為零。於該情形時,理論上藉由感測電極161所測得之靜電電容C成為零。因此,於本實施形態中,以重疊長度WX 為零時靜電電容C成為零之方式校正第2感測器105。另一方面,於較圖12(a)所示之狀態而言感測電極161向靜電吸盤ESC之中心軸線AXE側移動之情形時,重疊長度WX 變得較感測電極161之徑向之長度大。於該情形時,雖然重疊長度WX 變大了,但「S」之值不變。然而,由於在靜電吸盤ESC配置有電極E,故而靜電電容C會隨著重疊長度WX 之增加而增加。
圖13係表示重疊長度與表示靜電電容C之測定值之關係之曲線圖。於圖13之曲線圖中,例如針對每種重疊長度WX 分別繪製有藉由第2感測器105A~105C所測得之測定值。第2感測器105A~105C之測定值與ch.01~ch.03分別對應。又,於圖13中,示出了表示重疊長度與靜電電容之關係之理想線。即便重疊長度WX 變得較感測電極161之徑向之長度大,藉由3個第2感測器所測得之測定值(靜電電容)亦與理想線大致相同地上升。再者,於本實施形態中,聚焦環FR之內徑與測定器100之外徑之差為2 mm。因此,重疊長度WX 之實際運用區間為1.00 mm~3.00 mm之間。
圖14模式性地表示靜電吸盤ESC與配置於靜電吸盤ESC上之位置之測定器100之位置關係。於圖14中,示出了靜電吸盤ESC之外緣、及沿著測定器100中之感測電極161之內緣之圓(第2圓100N)。又,於圖14中,示出了:以靜電吸盤ESC之中心位置為原點、由X軸及Y軸所形成之正交座標系統,及以測定器100之中心軸線AX100為原點、由X'軸及Y'軸所形成之正交座標系統。於圖示例中,Y'軸以通過第2感測器105A與中心位置之方式設定。
如圖所示,靜電吸盤ESC之中心位置與測定器100之中心軸線AX100之偏移量由ΔXb及ΔYb所表示。以下,對ΔXb及ΔYb之導出方法進行說明。於一個例示性實施形態中,以靜電吸盤ESC之外緣至複數個感測電極161之內緣之各最短距離之和B成為固定之值之方式,將3個第2感測器105A、105B、105C沿圓周方向以120°之間隔均等地配置於基底基板102之周緣。於圖示例中,靜電吸盤ESC之外徑De 為297 mm,沿著感測電極161之內緣之圓之外徑Dw 為297 mm,感測電極161之徑向之長度Ws 為2.00 mm。若將第2感測器105A之感測電極161之重疊長度設為WA ,將第2感測器105B之感測電極161之重疊長度設為WB ,將第2感測器105C之感測電極161之重疊長度設為WC ,則以下式(17)成立。 (Ws -(Wd -De )/2)×3=WA +WB +WC =6.00 mm・・・式(17)
此處,如上所述,式(16)成立,因此若將藉由第2感測器105A所獲得之測定值(靜電電容)設為DA ,將藉由第2感測器105B所獲得之測定值設為DB ,將藉由第2感測器105C所獲得之測定值設為DC ,則WA =b・DA 、WB =b・DB 、WC =b・DC 成立。即,式(17)轉換成式(18)。 (b・DA )+(b・DB )+(b・DC )=6.00 mm・・・(18)
再者,於各感測電極161之重疊長度之和B成為固定之值之情形時,該式(18)可使用M個測定值Di (i=1、2、3、…、M)總結成以下式(19)。
再者,式(18)可總結成以下式(19)。即,若將藉由M個第2感測器105所獲得之測定值設為Di (i=1、2、3、…、M),則式(19)成立。於各感測電極161之重疊長度之和B成為固定之值之情形時,和B可藉由(Ws -(Wd -De )/2)×M而算出。
Figure 02_image003
於要導出ΔXb及ΔYb之情形時,首先獲取第2感測器105A、105B、105C各自之測定值DA 、DB 、DC 。藉由將該等測定值DA 、DB 、DC 代入至上式(18)中,可求出常數b。然後,藉由常數b與各個測定值DA 、DB 、DC 而導出WA 、WB 、WC
WA 之大小可近似於Y軸上之靜電吸盤ESC之外緣至第2圓100N之距離Y1 。即,以下式(20)成立。 WA ≒Y1 ・・・(20)
若將以第2圓100N之原點(中心軸線AX100)為中心而與第2感測器105A對稱之位置至靜電吸盤ESC之外緣之距離設為WA ',則同樣地以下式(21)成立。即,WA '之大小可近似於Y軸上之靜電吸盤ESC之外緣至第2圓100N之距離Y2 。 WA '≒Y2 ・・・(21)
此處,Y1 及Y2 均為Y軸上之距離。因此,Y1 與Y2 之和可近似於靜電吸盤ESC之外徑與第2圓100N之直徑之差。即,以下式(22)成立。 Y1 +Y2 ≒WA +WA '≒4・・・(22)
ΔYb可規定為Y2 與Y1 之差之1/2,因此如以下式(23)所示,自距離WA 求出ΔYb。 ΔYb=(Y1 -Y2 )/2=WA -2・・・(23)
同樣地,於X軸上,若將第2圓100N至靜電吸盤ESC之外緣之距離分別設為X1 、X2 ,則以下式(24)成立。 X1 +X2 ≒4・・・(24)
又,第2感測器105B之重疊長度WB 與第2感測器105C之重疊長度WC 之比如以下式(25)所示。 X1 :X2 =WB :WC ・・・式(25)
此處,若設為WC +WB =Z,則X1 、X2 分別由以下式(26)、(27)所表示。 X1 =4WB /Z=4WB /(WC +WB )・・・(26) X2 =4WC /Z=4WC /(WC +WB )・・・(27)
由此,ΔXb可規定為以下式(28),因此自重疊長度WC 、WB 求出ΔXb。 ΔXb=(X1 -X2 )/2=2(WB -WC )/(WB +WC )・・・(28)
如上所述,於一個例示性實施形態中求出第2偏移量,該第2偏移量為靜電吸盤ESC之中心軸線AXE與配置於靜電吸盤ESC上之測定器100之中心軸線AX100的偏移量。第2偏移量係按沿著X軸之方向之偏移量ΔXb、及沿著Y軸之方向之偏移量ΔYb而算出。
繼而,對求出第3偏移量之方法進行說明,該第3偏移量為聚焦環之中心位置相對於靜電吸盤ESC之中心位置之偏移量。再者,於一個例示性實施形態中,使用第3偏移量,校準搬送裝置之聚焦環FR之搬送位置資料。圖15模式性地表示靜電吸盤ESC、聚焦環FR及測定器100彼此之位置關係之一例。於圖15中,示出了靜電吸盤ESC之周緣、聚焦環FR之內緣及測定器100之周緣。如圖15所示,靜電吸盤ESC及測定器100配置於聚焦環FR之內側區域FRI。測定器100配置於靜電吸盤ESC上之位置。
上述第1偏移量可表達為自聚焦環FR之中心軸線AXF朝向測定器100之中心軸線AX100之向量VA。向量VA由VA=(ΔXa,ΔYa)所表示。同樣地,第2偏移量可表達為自靜電吸盤ESC之中心軸線AXE朝向測定器100之中心軸線AX100之向量VB。向量VB由VB=(ΔXb,ΔYb)所表示。於該情形時,自聚焦環FR之中心軸線AXF朝向靜電吸盤ESC之中心軸線AXE之向量VC為VA-VB,由VC=(ΔXa-ΔXb,ΔYa-ΔYb)所表示。即,於藉由沿著X軸之方向之偏移量ΔXc、及沿著Y軸之方向之偏移量ΔYc求出第3偏移量之情形時,ΔXc成為ΔXa-ΔXb,ΔYc成為ΔYa-ΔYb。如上所述,於一個例示性實施形態中,基於第1偏移量及第2偏移量而求出第3偏移量,該第3偏移量為聚焦環FR之中心軸線AXF相對於靜電吸盤ESC之中心軸線AXE之偏移量。
以下,對在搬送系統S1中搬送聚焦環FR之方法進行說明。圖16係表示一個例示性實施形態之聚焦環FR之搬送方法之流程圖。於圖16所示之方法MT中,作為一例,示出了將因使用而消耗之聚焦環FR更換成新的聚焦環FR之情形時之流程。於如處理系統1般之半導體製造裝置中,聚焦環會因使用而消耗,因此需要定期更換。於更換聚焦環時,要想使生產性穩定,重點在於將被加工物W與聚焦環FR按最佳之位置關係配置。於確認更換後之聚焦環之設置位置之情形時,一般需要將腔室打開。因此,更換作業會變得繁雜。故而,期待採用簡易之方法精度良好地搬送聚焦環。
如上所述,處理系統1中之搬送裝置TU2由控制部MC控制。於一個例示性實施形態中,搬送裝置TU2可基於自控制部MC發送之搬送位置資料將聚焦環FR搬送至第2平板18b上。又,搬送裝置TU2可基於自控制部MC發送之搬送位置資料將被加工物W及測定器100搬送至靜電吸盤ESC之載置區域R上。
於一例中,可使用製程模組PM1~PM6中之任一者作為聚焦環FR之保管場所。如上所述,製程模組PM1~PM6經由閘閥氣密地連接於傳送模組TF。於該情形時,無需使製程模組面向大氣開放便可藉由搬送裝置TU2更換聚焦環FR。
於圖16所示之方法MT中,首先執行步驟ST1。於步驟ST1中,將因使用而消耗之聚焦環FR自製程模組搬出。聚焦環FR支持於第2平板18b上。聚焦環FR藉由頂起銷27a之上升而上升。藉由將搬送裝置TU2之搬送臂TUa***至上升後之聚焦環FR與第2平板18b之間隙,而將聚焦環FR載置於搬送臂TUa上。載置於搬送臂TUa上之聚焦環FR可藉由搬送裝置TU2之動作移載至用作保管場所之製程模組內之規定位置。
於其次之步驟ST2中,將新的聚焦環FR搬入至製程模組。例如,搬送裝置TU2以靜電吸盤ESC位於由聚焦環FR所包圍之區域之內側之方式將新的聚焦環FR搬送至第2平板18b上。新的聚焦環FR係以載置於搬送臂TUa之狀態基於搬送位置資料由搬送裝置TU2搬送。搬送位置資料例如可為以聚焦環FR之中心位置與靜電吸盤ESC之中心位置一致之方式預先決定之座標資料。所搬送之聚焦環FR支持於頂起銷27a,並隨著頂起銷27a之下降而載置於包圍靜電吸盤ESC之位置。
於其次之步驟ST3中,將測定器100搬送至所搬送之聚焦環FR之內側區域FRI。具體而言,搬送裝置TU1將測定器100搬送至裝載閉鎖模組LL1及裝載閉鎖模組LL2中之一裝載閉鎖模組。然後,搬送裝置TU2基於搬送位置資料,自一裝載閉鎖模組向製程模組搬送測定器100,將該測定器100載置於靜電吸盤ESC之載置區域R上。搬送位置資料例如為以測定器100之中心軸線AX100之位置與載置區域R之中心位置一致之方式預先決定之座標資料。再者,與聚焦環FR同樣地,可使用製程模組PM1~PM6中之任一者作為測定器100之保管場所。
於其次之步驟ST4中,藉由測定器100獲取測定值。具體而言,測定器100獲取複數個數位值(測定值),並將該等複數個數位值記憶於記憶裝置175中,該等複數個數位值(測定值)對應於聚焦環FR與第1感測器104A~104C各自之感測電極161之間之靜電電容之大小。又,測定器100獲取複數個數位值(測定值),並將該等複數個數位值記憶於記憶裝置175中,該等複數個數位值(測定值)對應於靜電吸盤ESC之載置區域R與第2感測器105A~105C各自之感測電極161之間之靜電電容之大小。再者,複數個數位值可於處理器174之控制下在預先決定之時點獲取。
於其次之步驟ST5中,將測定器100自製程模組搬出,並退回至傳送模組TF、裝載閉鎖模組LL1、LL2、裝載模組LM及容器4a~4d中之任一者。
於其次之步驟ST6中,基於複數個測定值(測定值群),使用上述求出偏移量之方法,求出第1偏移量及第2偏移量。然後,於其次之步驟ST7中,基於步驟ST6中所求出之第1偏移量及第2偏移量,求出第3偏移量,該第3偏移量為聚焦環FR之中心軸線AXF相對於靜電吸盤ESC之中心軸線AXE之偏移量。於一個例示性實施形態之步驟ST6及步驟ST7中,首先,將記憶裝置175中所記憶之複數個數位值發送至控制部MC。複數個數位值可藉由來自控制部MC之指令自通信裝置176發送至控制部MC,或亦可藉由處理器174基於電路基板106中所設置之計時器之計時而進行之控制,在規定之時點發送至控制部MC。繼而,控制部MC基於所接收到之複數個數位值,求出第1偏移量、第2偏移量及第3偏移量。再者,第1偏移量、第2偏移量及第3偏移量亦可由測定器100之處理器174求出。於該情形時,所求出之第1偏移量、第2偏移量及第3偏移量發送至控制部MC。
於其次之步驟ST8中,判定第3偏移量是否超出規定之閾值。於判定第3偏移量為規定之閾值以下之情形時,確認已準確地搬送聚焦環FR。於該情形時,方法MT結束。另一方面,於判定偏移量大於閾值之情形時,進入步驟ST9。於步驟ST9中,基於第3偏移量,以靜電吸盤ESC之中心位置與聚焦環FR之中心位置一致之方式,調整聚焦環FR之搬送位置。例如,以去除第3偏移量之方式,藉由控制部MC修正搬送位置資料。然後,基於修正後之搬送位置資料,以聚焦環FR之中心位置與靜電吸盤ESC之中心位置一致之方式,藉由搬送裝置TU2再次搬送聚焦環FR。於該情形時,例如聚焦環FR自第2平板18b上暫時搬出至用作保管場所之製程模組。然後,藉由搬送臂TUa再次支持聚焦環FR,將聚焦環FR搬送至第2平板18b上。此時,藉由基於第3偏移量調整搬送臂TUa之搬送位置資料,而調整聚焦環FR之搬送位置。再者,於聚焦環FR之位置調整中,聚焦環FR亦可不退回至保管場所。例如,亦可藉由利用搬送臂TUa支持聚焦環,並使搬送臂TUa按照第3偏移量移動,而調整聚焦環FR之搬送位置。
如上所述,藉由步驟ST9進行聚焦環FR之位置調整後,繼而確認搬送位置。即,藉由再次執行上述步驟ST3~步驟ST8,而確認搬送位置經過調整之聚焦環FR之第3偏移量是否未超出規定之閾值。於確認已準確地搬送聚焦環FR之情形時,方法MT結束。另一方面,於判定偏移量大於閾值之情形時,亦可再次進入步驟ST9。
如以上所說明,於一個例示性實施形態之搬送方法中,在將聚焦環FR搬送至第2平板18b上後,將測定器100搬送至聚焦環FR之內側區域FRI。測定器100獲取用以求出第1偏移量及第2偏移量之複數個測定值(測定值群)。於該方法中,自基於測定值群而求出之第1偏移量及第2偏移量求出第3偏移量,該第3偏移量為聚焦環FR之中心位置相對於靜電吸盤ESC之中心位置之偏移量。然後,基於第3偏移量,以靜電吸盤ESC之中心位置與聚焦環FR之中心位置一致之方式,調整聚焦環FR之搬送位置。如此,於將聚焦環FR搬送至第2平板18b上後,基於第3偏移量進行聚焦環FR之搬送位置之調整,藉此可精度良好地搬送聚焦環FR。
於一個例示性實施形態中,搬送裝置TU2配置於與腔室本體12氣密地連接之空間即傳送模組TF。於該構成中,可在與腔室本體12氣密地連接之空間內進行聚焦環FR之搬送。於該情形時,可不使腔室本體12面向大氣開放地進行聚焦環FR之搬送及位置調整。
於一個例示性實施形態中,包括判定第3偏移量是否超出閾值之步驟ST8。於該情形時,當第3偏移量超出閾值時,在調整聚焦環FR之搬送位置之步驟ST9中調整聚焦環FR之位置。藉由對第3偏移量設置閾值,可省略多餘之位置調整。
於一個例示性實施形態中,在調整聚焦環FR之搬送位置之步驟ST9之後,確認搬送位置經過調整之聚焦環FR之第3偏移量是否超出閾值。藉此,可進一步提高聚焦環FR之搬送精度。
以上,對各種例示性實施形態進行了說明,但不限於上述例示性實施形態,而亦可進行各種省略、替換及變更。又,可將不同實施形態中之要素進行組合而形成其他實施形態。
例如,搭載於測定器之第1感測器及第2感測器之數量不限於上述實施形態。第1感測器及第2感測器之數量均可為3個以上之任意數量。又,於希望僅獲取單軸方向上之偏移量之情形時,感測器之數量亦可為2個。
又,對使用製程模組中之一者作為聚焦環FR之保管場所之例進行了說明,但不限於此。例如,亦可使用收容被加工物W之容器(FOUP)中之一者作為聚焦環FR之保管場所。
又,示出了於製程模組內進行聚焦環之位置調整之形態,但位置調整之方法不限於此。例如,亦可於與傳送模組鄰接之場所設置位置調整用模組。位置調整用模組具有用以載置聚焦環及測定器之載置台。於一例中,在將聚焦環搬入至模組之載置台上後,將測定器搬入至載置於同一載置台上之聚焦環之內側區域。再者,測定器之搬送位置資料已以可將測定器搬送至製程模組之靜電吸盤之中心之方式事先調整。繼而,獲取聚焦環與測定器彼此之位置關係,並將測定器及聚焦環自位置調整用模組搬出。繼而,基於所獲取之位置關係,調整聚焦環向目標製程模組之搬入位置,將聚焦環搬送至該製程模組。再者,於將聚焦環自位置調整用模組搬出時,亦可基於所獲取之位置關係,調整搬送臂對聚焦環之接收位置。於該情形時,搬送臂上之聚焦環之中心位置已經過調整,因此只要於該狀態下將聚焦環搬送至目標製程模組即可。如此,亦可藉由於製程模組以外之場所掌握聚焦環與測定器彼此之位置關係,而調整製程模組內之聚焦環之搬送位置。藉此,可將聚焦環搬送至目標製程模組內之所希望之位置。
又,示出了求出第1偏移量及第2偏移量之例,該第1偏移量為測定器之中心軸線相對於聚焦環之中心軸線之偏移量,該第2偏移量為測定器之中心軸線相對於靜電吸盤之中心軸線之偏移量,但不限於此。第1偏移量亦可為聚焦環之中心軸線相對於測定器100之中心軸線之偏移量。又,第2偏移量亦可為靜電吸盤之中心軸線相對於測定器之中心軸線之偏移量。
基於以上說明應當理解到:本發明之各種實施形態係為了說明而於本說明書中進行說明,其可於不脫離本發明之範圍及主旨之範圍內實現各種變更。因此,本說明書中所揭示之各種實施形態未意圖進行限定,其實質範圍與主旨由隨附之發明申請專利範圍所表示。
1:處理系統 2a:台 2b:台 2c:台 2d:台 4a:容器 4b:容器 4c:容器 4d:容器 6D:驅動裝置 6S:感測器 6T:支持台 10:電漿處理裝置 12:腔室本體 12g:開口 12e:排氣口 14:支持部 18a:第1平板 18b:第2平板 22:直流電源 23:開關 24:冷媒流路 25:貫通孔 25a:頂起銷 26a:配管 26b:配管 27:貫通孔 27a:頂起銷 28:氣體供給管線 30:上部電極 32:絕緣性遮斷構件 34:頂板 34a:氣體噴出孔 36:支持體 36a:氣體擴散室 36b:氣體流經孔 36c:氣體導入口 38:氣體供給管 40:氣體源群 42:閥群 44:流量控制器群 46:積存物遮罩 48:排氣平板 50:排氣裝置 52:排氣管 54:閘閥 62:第1高頻電源 64:第2高頻電源 66:匹配器 68:匹配器 100:測定器 102:基底基板 102N:凹口 103:外罩 104:第1感測器 104A:第1感測器 104B:第1感測器 104C:第1感測器 105:第2感測器 105A:第2感測器 105B:第2感測器 105C:第2感測器 106:電路基板 108:配線群 108A:配線群 108B:配線群 108C:配線群 141:電極 142:屏蔽電極 143:感測電極 143f:前表面 144:基板部 144a:上表面 144b:下表面 144c:前側端面 144d:下側部分 144u:上側部分 147:絕緣區域 151:焊墊 152:焊墊 153:焊墊 161:感測電極 161a:內緣(第2邊緣) 161b:外緣(第1邊緣) 162:屏蔽電極 163:電極 164:絕緣區域 165:絕緣區域 171:高頻振盪器 172:C/V轉換電路 172A:C/V轉換電路 172C:C/V轉換電路 173:A/D轉換器 174:處理器(運算部) 175:記憶裝置 176:通信裝置 177:電源 178:記憶裝置 181:配線 182:配線 183:配線 208:配線群 208A:配線群 208B:配線群 208C:配線群 272:C/V轉換電路 272A:C/V轉換電路 272C:C/V轉換電路 281:配線 282:配線 283:配線 AN:對準器 AX100:測定器之中心軸線 AXE:靜電吸盤之中心軸線 AXF:聚焦環之中心軸線 E:電極 ESC:靜電吸盤 FR:聚焦環 G:接地極 GL:接地電位線 LE:下部電極 LL1:裝載閉鎖模組 LL2:裝載閉鎖模組 LM:裝載模組 MC:控制部 P1:第1部分 P2:第2部分 P1i:內緣 P2i:內緣 PM1:製程模組 PM2:製程模組 PM3:製程模組 PM4:製程模組 PM5:製程模組 PM6:製程模組 R:載置區域 S:腔室 S1:搬送系統 ST:載台 SWG:開關 TF:傳送模組 TU1:搬送裝置 TU2:搬送裝置 TUa:搬送臂 W:被加工物 WN:凹口
圖1係例示處理系統之圖。 圖2係例示對準器之立體圖。 圖3係表示電漿處理裝置之一例之圖。 圖4係自上表面側觀察一例之測定器而示出之俯視圖。 圖5係自底面側觀察一例之測定器而示出之俯視圖。 圖6係表示第1感測器之一例之立體圖。 圖7係沿著圖6之VII-VII線所獲得之剖視圖。 圖8係圖5之第2感測器之放大圖。 圖9係例示測定器之電路基板之構成之圖。 圖10係模式性地表示聚焦環與測定器之位置關係之一例之圖。 圖11係模式性地表示靜電吸盤之剖視圖。 圖12(a)~(c)係模式性地表示靜電吸盤與測定器之位置關係之一例之剖視圖。 圖13係表示重疊長度與測定值之關係之一例之曲線圖。 圖14係模式性地表示靜電吸盤與測定器之位置關係之一例之圖。 圖15係模式性地表示靜電吸盤、聚焦環及測定器之位置關係之一例之圖。 圖16係表示搬送聚焦環之方法之一例之流程圖。
100:測定器
AX100:測定器之中心軸線
AXE:靜電吸盤之中心軸線
AXF:聚焦環之中心軸線
ESC:靜電吸盤
FR:聚焦環

Claims (5)

  1. 一種搬送方法,其係於搬送系統中搬送聚焦環之方法, 上述搬送系統包含處理系統與測定器, 上述處理系統具備: 處理裝置,其具有腔室本體、及設置於該腔室本體所提供之腔室內之包含靜電吸盤之載台;及 搬送裝置,其基於搬送位置資料將被加工物搬送至由上述載台上所配置之上述聚焦環所包圍之內側區域且上述靜電吸盤上; 上述測定器具備感測器, 該感測器係於該測定器位於上述內側區域且上述靜電吸盤上之狀態下,獲取用以求出第1偏移量及第2偏移量之測定值群者,該第1偏移量為上述測定器之中心位置相對於上述聚焦環之中心位置之偏移量,該第2偏移量為上述測定器之中心位置相對於上述靜電吸盤之中心位置之偏移量; 該方法包括如下步驟: 藉由上述搬送裝置將上述聚焦環搬送至上述載台上; 藉由上述搬送裝置將上述測定器搬送至所搬送之上述聚焦環之上述內側區域且上述靜電吸盤上; 藉由所搬送之上述測定器獲取上述測定值群; 基於上述測定值群求出上述第1偏移量及上述第2偏移量; 基於上述第1偏移量及上述第2偏移量求出第3偏移量,該第3偏移量為上述聚焦環之中心位置相對於上述靜電吸盤之中心位置之偏移量;及 基於上述第3偏移量,以上述靜電吸盤之中心位置與上述聚焦環之中心位置一致之方式,藉由上述搬送裝置調整上述聚焦環之搬送位置。
  2. 如請求項1之搬送方法,其中上述搬送裝置配置於與上述腔室本體氣密地連接之空間。
  3. 如請求項1或2之搬送方法,其包括判定上述第3偏移量是否超出閾值之步驟,且 在調整上述聚焦環之搬送位置之步驟中,於判定上述第3偏移量超出閾值之情形時調整上述聚焦環之位置。
  4. 如請求項3之搬送方法,其於調整上述聚焦環之搬送位置之步驟之後,進而包括確認上述搬送位置經過調整之上述聚焦環之上述第3偏移量是否未超出上述閾值之步驟。
  5. 一種搬送系統,其係聚焦環之搬送系統, 上述搬送系統包含處理系統與測定器, 上述處理系統具備: 處理裝置,其具有腔室本體、及設置於該腔室本體所提供之腔室內之包含靜電吸盤之載台;及 搬送裝置,其將上述聚焦環搬送至上述載台上,並且將上述測定器搬送至由上述聚焦環所包圍之內側區域且上述靜電吸盤上;且 上述測定器基於該測定器位於上述內側區域且上述靜電吸盤上之狀態下之第1偏移量及第2偏移量求出第3偏移量,該第1偏移量為上述測定器之中心位置相對於上述聚焦環之中心位置之偏移量,該第2偏移量為上述測定器之中心位置相對於上述靜電吸盤之中心位置之偏移量,該第3偏移量為上述聚焦環之中心位置相對於上述靜電吸盤之中心位置之偏移量; 上述搬送裝置基於上述第3偏移量,以上述靜電吸盤之中心位置與上述聚焦環之中心位置一致之方式,調整上述聚焦環之搬送位置。
TW108144673A 2018-12-14 2019-12-06 搬送方法及搬送系統 TWI794563B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2018-234265 2018-12-14
JP2018234265A JP7129325B2 (ja) 2018-12-14 2018-12-14 搬送方法及び搬送システム

Publications (2)

Publication Number Publication Date
TW202038367A TW202038367A (zh) 2020-10-16
TWI794563B true TWI794563B (zh) 2023-03-01

Family

ID=71072872

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108144673A TWI794563B (zh) 2018-12-14 2019-12-06 搬送方法及搬送系統

Country Status (4)

Country Link
US (2) US10861729B2 (zh)
JP (1) JP7129325B2 (zh)
CN (1) CN111326394A (zh)
TW (1) TWI794563B (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7129325B2 (ja) * 2018-12-14 2022-09-01 東京エレクトロン株式会社 搬送方法及び搬送システム
JP7263225B2 (ja) * 2019-12-12 2023-04-24 東京エレクトロン株式会社 搬送するシステム及び方法
JP2022034431A (ja) * 2020-08-18 2022-03-03 株式会社安川電機 アライメント装置、基板搬送システム、アライメント方法、及び基板搬送方法
TW202238803A (zh) 2021-02-26 2022-10-01 日商東京威力科創股份有限公司 搬運系統、搬運裝置及搬運方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120247671A1 (en) * 2011-03-31 2012-10-04 Tokyo Electron Limited Substrate processing apparatus
US20180315640A1 (en) * 2017-04-26 2018-11-01 Tokyo Electron Limited Plasma processing apparatus

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07105432B2 (ja) * 1986-10-09 1995-11-13 タツモ株式会社 基板の自動位置合せ装置
US6210593B1 (en) * 1997-02-06 2001-04-03 Matsushita Electric Industrial Co., Ltd. Etching method and etching apparatus
JP2000012657A (ja) * 1998-06-17 2000-01-14 Olympus Optical Co Ltd 半導体ウェハの位置決め装置
JP4398044B2 (ja) * 2000-02-03 2010-01-13 東芝機械株式会社 工作機械の数値制御装置および制御方法
JP2002043394A (ja) * 2000-07-19 2002-02-08 Tokyo Electron Ltd 位置ずれ検出装置及び処理システム
KR20020095324A (ko) * 2001-06-14 2002-12-26 삼성전자 주식회사 고주파 파워를 이용하는 반도체장치 제조설비
US20040126924A1 (en) * 2002-12-31 2004-07-01 Winbond Electronics Corporation Wafer center calibrator
JP2006073932A (ja) * 2004-09-06 2006-03-16 Nikon Corp フォーカス状態検出方法とその装置、フォーカス調整方法とその装置、位置検出方法とその装置及び露光方法とその装置
JP2006196691A (ja) * 2005-01-13 2006-07-27 Toshiba Corp 半導体製造装置及び半導体装置の製造方法
US8163087B2 (en) * 2005-03-31 2012-04-24 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US8224607B2 (en) * 2007-08-30 2012-07-17 Applied Materials, Inc. Method and apparatus for robot calibrations with a calibrating device
JP2013033940A (ja) * 2011-07-07 2013-02-14 Tokyo Electron Ltd プラズマ処理装置
JP6150490B2 (ja) * 2012-10-19 2017-06-21 キヤノン株式会社 検出装置、露光装置、それを用いたデバイスの製造方法
JP6689020B2 (ja) * 2013-08-21 2020-04-28 東京エレクトロン株式会社 プラズマ処理装置
JP6383647B2 (ja) * 2014-11-19 2018-08-29 東京エレクトロン株式会社 測定システムおよび測定方法
JP6346855B2 (ja) * 2014-12-25 2018-06-20 東京エレクトロン株式会社 静電吸着方法及び基板処理装置
JP6512954B2 (ja) * 2015-06-11 2019-05-15 東京エレクトロン株式会社 フォーカスリングを検査するためのシステム、及びフォーカスリングを検査する方法
KR20170014384A (ko) * 2015-07-30 2017-02-08 삼성전자주식회사 건식 식각장치
JP6712939B2 (ja) * 2016-06-20 2020-06-24 東京エレクトロン株式会社 静電容量測定用の測定器、及び、測定器を用いて処理システムにおける搬送位置データを較正する方法
JP6635888B2 (ja) * 2016-07-14 2020-01-29 東京エレクトロン株式会社 プラズマ処理システム
JP2019096757A (ja) * 2017-11-24 2019-06-20 東京エレクトロン株式会社 測定器のずれ量を求める方法、及び、処理システムにおける搬送位置データを較正する方法
JP7037964B2 (ja) * 2018-03-09 2022-03-17 東京エレクトロン株式会社 測定器、及びフォーカスリングを検査するためのシステムの動作方法
JP7029983B2 (ja) * 2018-03-09 2022-03-04 東京エレクトロン株式会社 測定器及び測定器のずれ量を求める方法
JP7089977B2 (ja) * 2018-08-02 2022-06-23 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマ処理装置
JP7129325B2 (ja) * 2018-12-14 2022-09-01 東京エレクトロン株式会社 搬送方法及び搬送システム

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120247671A1 (en) * 2011-03-31 2012-10-04 Tokyo Electron Limited Substrate processing apparatus
US20180315640A1 (en) * 2017-04-26 2018-11-01 Tokyo Electron Limited Plasma processing apparatus

Also Published As

Publication number Publication date
US20200194295A1 (en) 2020-06-18
US10861729B2 (en) 2020-12-08
CN111326394A (zh) 2020-06-23
JP2020096122A (ja) 2020-06-18
KR20200074015A (ko) 2020-06-24
TW202038367A (zh) 2020-10-16
JP7129325B2 (ja) 2022-09-01
US11380568B2 (en) 2022-07-05
US20210057252A1 (en) 2021-02-25

Similar Documents

Publication Publication Date Title
TWI794563B (zh) 搬送方法及搬送系統
CN109324303B (zh) 对测定器进行校准的方法和箱体
TWI781253B (zh) 獲得測量器之偏離量的方法以及校正處理系統之搬送位置資料的方法
TW201803004A (zh) 靜電電容檢測用之檢測器及使用檢測器來校正處理系統中之搬送位置資料之方法
CN110243273B (zh) 测定器和用于检查聚焦环的***的动作方法
CN110246796B (zh) 测定器和求出测定器的偏离量的方法
CN108693409B (zh) 静电电容测量用的测量器
KR102675477B1 (ko) 반송 방법 및 반송 시스템
JP2020190539A (ja) 測定器及び測定方法
KR20230125758A (ko) 측정 방법, 측정기 및 측정 시스템
JP2023121729A (ja) 測定方法、測定器及び測定システム
TW202232108A (zh) 測定器及測定方法
CN114167142A (zh) 执行装置及执行方法
TW202334609A (zh) 測定方法及測定系統
JP2024036972A (ja) 測定方法
KR20220053476A (ko) 반송 위치 데이터의 교정 방법
KR20230099647A (ko) 측정기
CN116626397A (zh) 测定方法、测定器及测定***