TWI772206B - 選擇性蝕刻速率監控器 - Google Patents

選擇性蝕刻速率監控器 Download PDF

Info

Publication number
TWI772206B
TWI772206B TW110138811A TW110138811A TWI772206B TW I772206 B TWI772206 B TW I772206B TW 110138811 A TW110138811 A TW 110138811A TW 110138811 A TW110138811 A TW 110138811A TW I772206 B TWI772206 B TW I772206B
Authority
TW
Taiwan
Prior art keywords
sacrificial layer
electrode
etch rate
rate sensor
resonator
Prior art date
Application number
TW110138811A
Other languages
English (en)
Other versions
TW202211323A (zh
Inventor
菲利浦亞倫 克勞司
提蒙西J 富蘭克林
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202211323A publication Critical patent/TW202211323A/zh
Application granted granted Critical
Publication of TWI772206B publication Critical patent/TWI772206B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01HMEASUREMENT OF MECHANICAL VIBRATIONS OR ULTRASONIC, SONIC OR INFRASONIC WAVES
    • G01H13/00Measuring resonant frequency
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/14Measuring as part of the manufacturing process for electrical parameters, e.g. resistance, deep-levels, CV, diffusions by electrical means

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Production Of Multi-Layered Print Wiring Board (AREA)
  • Analysing Materials By The Use Of Radiation (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Piezo-Electric Or Mechanical Vibrators, Or Delay Or Filter Circuits (AREA)

Abstract

實施例包括即時蝕刻速率感測器和用於使用即時蝕刻速率感測器的方法。在一個實施例中,即時蝕刻速率感測器包括共振系統和導電殼體。共振系統可包括:共振體;第一電極,形成在共振體的第一表面之上方;第二電極,形成在共振體的第二表面之上方;及犧牲層,形成在第一電極之上方。在一個實施例中,第一電極的至少一部分未被犧牲層覆蓋。在一個實施例中,導電殼體可固定共振系統。另外,導電殼體接觸第一電極,且導電殼體的內部邊緣的至少一部分可與犧牲層隔開。

Description

選擇性蝕刻速率監控器
實施例關於用於半導體製造的蝕刻處理的領域,且特定言之關於用於在僅有自由基的蝕刻處理中提供即時蝕刻速率監控的系統和方法。
在半導體蝕刻處理中,即時監控蝕刻速率通常是困難的。如此,通常只能藉由計算在膜的起始厚度與膜的終點厚度之間的差異並將該差異除以總處理時間來確定蝕刻速率。然而,可理解的是,即時監控蝕刻速率提供了可用以調整蝕刻處理的附加資訊,使得它們精確並具有更高程度的可重複性等優點。
已經開發了一些提供即時蝕刻速率監控的解決方案。例如,光發射光譜學(OES)和吸收光譜學是已經在傳統的電漿蝕刻腔室中使用的解決方案。在OES中,來自電漿的光發射的強度可能與蝕刻速率相關。在吸收光譜學中,需要穿過處理容積的視線路徑。然而,在大容積的製造配備中,穿過處理容積的視線通常是不可用的。
實施例包括即時蝕刻速率感測器和用於使用即時蝕刻速率感測器的方法。在一個實施例中,即時蝕刻速率感測器包括共振系統和導電殼體。共振系統可包括:共振體;第一電極,形成在共振體的第一表面之上方;第二電極,形成在共振體的第二表面之上方;及犧牲層,形成在第一電極之上方。在一個實施例中,第一電極的至少一部分未被犧牲層覆蓋。在一個實施例中,導電殼體可固定共振系統。另外,導電殼體接觸第一電極,且導電殼體的內部邊緣的至少一部分可與犧牲層隔開。
另外的實施例可包括僅有自由基的蝕刻處理工具。在一個實施例中,僅有自由基的蝕刻處理工具可包括遠端電漿腔室和耦合到遠端電漿腔室的主處理腔室。在一個實施例中,主處理腔室包括上部與藉由離子過濾器與上部分隔開的下部;及在下部中圍繞基座而形成的泵襯套。在一個實施例中,僅有自由基的蝕刻處理工具亦可包括位於主處理腔室的下部的即時蝕刻速率感測器。在一個實施例中,即時蝕刻速率感測器包括共振系統和導電殼體。共振系統可包括:共振體;第一電極,形成在共振體的第一表面之上方;第二電極,形成在共振體的第二表面之上方;及犧牲層,形成在第一電極之上方。在一個實施例中,第一電極的至少一部分未被犧牲層覆蓋。在一個實施例中,導電殼體可固定共振系統。另外,導電殼體接觸第一電極,且導電殼體的內部邊緣的至少一部分可與犧牲層隔開。
另外的實施例可包括用封閉迴路處理配方蝕刻基板的方法。在一個實施例中,該方法可包括在處理腔室中的基板上執行處理配方。處理配方可包括一個或多個處理參數和終點標準,終點標準可從位於處理腔室中的即時蝕刻速率感測器所獲得的輸出中確定。該方法可接著藉由分析來自即時蝕刻速率感測器的一個或多個輸出來確定是否滿足終點標準而繼續。實施例可接著包括一旦滿足終點標準就終止處理配方。在一些實施例中,終點標準是移除的材料的總厚度,且來自即時蝕刻速率感測器的用以確定是否滿足終點標準的一個或多個輸出可為即時蝕刻速率感測器在執行處理配方之前的共振頻率及在處理配方已經啟動之後的即時蝕刻速率感測器的當前共振頻率。
根據各種實施例描述了用於在僅有自由基的蝕刻處理中使用感測器來進行即時蝕刻速率監控的系統和方法。在以下的實施方式中,闡述了許多特定細節以便提供對實施例的透徹理解。對於熟悉本領域者而言,可在沒有該等特定細節的情況下實踐該等實施例將是顯而易見的。在其他情況下,為了不會不必要地模糊實施例,沒有詳細描述眾所皆知的態樣。此外,應當理解附隨的圖式中圖示的各種實施例是說明性的表示,且不一定按比例繪製。
如上所述,即時蝕刻速率監控提供了可用以改善蝕刻處理的各種處理結果的資訊。儘管OES和吸收光譜學已經用於電漿蝕刻操作,但是它們在僅有自由基的蝕刻處理中顯著地受限。例如,在僅有自由基的蝕刻處理中,OES是不可行的,因為在基板被蝕刻的處理容積中沒有來自自由基物質的顯著的光發射。在吸收光譜學中,除了上述的視線要求之外,用於蝕刻的許多自由基物質對光的吸收在深紫外(UV)頻率下是最強的。因此,量測所需的光學元件是複雜且昂貴的。此外,吸收光譜學中的訊雜比較差,因為與背景母體分子相比,自由基相對較少。
如於此所使用的,僅有自由基的蝕刻處理是基本上依靠自由基物質移除材料的蝕刻處理。第1圖是根據一個實施例的可用以實施僅有自由基的蝕刻處理的處理工具100的示意性橫截面圖式。在一個實施例中,處理工具100可包括遠端電漿。一個或多個處理氣體可經由閥(未圖示)而流到遠端電漿腔室110中。處理氣體可用功率源(如,射頻源)而離子化,以形成包括離子124和自由基126的電漿。接著可將電漿經由遠端電漿傳送區域112傳送到主處理腔室116。在一個實施例中,主處理腔室116可包括上部區域117和下部區域118。上部區域117和下部區域118可藉由離子過濾器122分開。在另外的實施例中,離子過濾器122亦可位於在遠端電漿腔室110與主處理腔室116之間的傳送區域112或另一中間腔室中。
根據一個實施例,離子過濾器122可防止離子124通到主處理腔室116的下部區域118,同時允許自由基物質126通到主處理腔室116的下部區域118。例如,在上部區域117中,自由基物質126與離子124的比例可為大約1:1,且在下部區域118中,自由基物質126與離子124的比例可為大約25,000:1或更高。在一些實施例中,自由基物質126與離子124的比例可為大約100,000:1或更大。由於自由基126與離子124的高比例,使用此種處理工具100的蝕刻處理可被認為是僅有自由基的蝕刻處理。自由基物質126可接著與位於基座106上的基板105的表面相互作用。在一個實施例中,可在基座106的周邊周圍形成泵襯套108,以引導自由基126朝向基板流動。應當理解第1圖中所示的僅有自由基的蝕刻處理工具100本質上是示例性的,並藉由移除熟悉本領域者已知的部件(如,真空泵、加熱元件、電子部件等)而高度簡化,以便不模糊各個實施例的各個態樣。在特定實施例中,僅有自由基的蝕刻處理工具100可為由加州聖克拉拉市的應用材料公司(Applied Materials, Inc.of Santa Clara, California)所製造的Producer® SelectraTM 蝕刻系統。
由於OES和吸收光譜學不是用於此種僅有自由基的蝕刻處理中的即時蝕刻速率監控的可行解決方案,因此於此所述的實施例包括使用共振系統進行蝕刻速率監控的感測器。共振系統量測共振體的共振頻率的變化。隨著共振系統的質量變化,共振體的共振頻率單調地變化。當使用此類感測器來監控僅有自由基的蝕刻處理中的蝕刻速率時,共振系統可包括在共振體的一個面上所形成並且曝露於處理工具100中的自由基的犧牲膜。自由基蝕刻掉犧牲膜,且共振系統的整體質量下降。共振系統的質量的減小導致即時量測的共振體的共振頻率的增加,且共振頻率的變化率被轉換為共振系統的質量的變化率。由於膜材料的密度是已知的,所以共振系統的質量的變化率可轉換成厚度的變化率。
過去已經使用了使用共振系統的感測器來為沉積處理(如,蒸發、濺射及類似者)提供即時沉積速率。然而,由於各種原因,先前的蝕刻解決方案不能夠利用使用共振系統的感測器。一個原因是先前的蝕刻處理(如,反應離子蝕刻(RIE))不是純粹的材料移除處理。例如,當材料被移除時,額外的副產品可能再沉積到基板上。例如,在使用RIE的矽蝕刻中,聚合物可能被再沉積到表面上。此種聚合物改善了各向異性蝕刻,但是亦增加了基板的質量。因此,若在此種蝕刻處理中使用具有共振系統的感測器,則因為將存在有聚合物再沉積而導致的質量增加,所以不能準確地確定被移除的膜的總質量。另外,電漿中的離子和RF功率的存在會對來自感測器的信號產生顯著的干擾。因此,與僅有自由基的蝕刻處理中的處理環境相比,具有合適的訊雜比的可靠讀取更難以獲得。
現在參照第2A圖,根據一個實施例,圖示了可用以在僅有自由基的蝕刻處理期間提供即時蝕刻速率監控的感測器250的橫截面圖。在一個實施例中,感測器250可包括共振系統261和殼體266。實施例可包括共振系統261,共振系統261包括共振體262、第一電極264、第二電極265和犧牲層270。
共振體262可為當共振系統261的質量改變時改變共振頻率的材料。在一個實施例中,共振體262可為壓電材料。例如,共振體262可為石英,藍寶石,諸如矽,鍺,或其他III-V族半導體材料的半導體材料,鋯鈦酸鉛(PZT),或類似者。
在一個實施例中,共振系統261可包括形成在共振體262的第一表面上的第一電極264和形成在共振體262的與第一表面相對的第二表面上的第二電極265。第一電極264和第二電極265可為任何合適的導電材料。在一個實施例中,第一電極264和第二電極265可為鋁,或類似者。在所示的實施例中,第一電極264和第二電極265不覆蓋共振體262的整個表面,但是應該理解實施例不限於此種配置。例如,在一些實施例中,第一電極264和第二電極265可覆蓋共振體262的整個表面。另外,實施例可包括具有不同表面積的第一電極264和第二電極265。例如,第二電極265可具有比第一電極264更小的表面積。在又一個實施例中,第一電極264和第二電極265的表面積可基本相同。
在一個實施例中,共振系統261可包括形成在第一電極264的表面上的犧牲層270。犧牲層270的添加改變共振系統261的質量,且因此改變共振體262的共振頻率。因此,如上所述,由於在蝕刻處理期間犧牲層270的厚度減少,所以共振體262的共振頻率單調地變化。在一個實施例中,犧牲膜270是待以僅有自由基的蝕刻處理而蝕刻的材料相同的材料。例如,犧牲膜270可為介電材料、半導體材料、或金屬材料。
犧牲層270可以已知的厚度T形成。在一個實施例中,犧牲層270的厚度T可大於將隨後從正被處理的基板蝕刻掉的材料的厚度。由於蝕刻是減去的處理,增加犧牲層270的厚度T在感測器250需要更換或翻新之前增加了感測器250的壽命。例如,犧牲層270的厚度T可足以多次運行給定的蝕刻處理配方,而不需要更換感測器250。在一個實施例中,可選擇犧牲層270的厚度T以允許處理配方在犧牲層270被完全移除之前運行十次或更多次。另外的實施例可允許處理配方在犧牲層270被完全移除之前運行一百次或更多次。另外的實施例可允許處理配方在犧牲層270被完全移除之前運行1000次或更多次。在一些實施例中,犧牲層可具有允許處理配方在犧牲層270被完全移除之前運行一萬次的厚度T。
在一個實施例中,犧牲層270形成在第一電極264的一部分之上方,使得第一電極264的至少一部分保持曝露。第一電極的至少一部分被曝露以提供可與第一電極264形成電接觸的位置。例如,犧牲層270可形成在第一電極264的中心,在犧牲層270的外圍周圍留下第一電極264的曝露部分。
在一個實施例中,共振系統261可藉由殼體266來固定。在一個實施例中,殼體266可為導電材料。在一個實施例中,殼體266可提供在頻率橋267和第一電極264之間的電連接。在一個實施例中,第二電極265可電耦合到頻率橋267。在一些實施例中,殼體266可為接地,使得第一電極264保持在接地電位。
現在參考第2B圖,根據一個實施例圖示了感測器250的頂視圖。在一個實施例中,殼體266的內部邊緣268與犧牲層270隔開間隙G。間隙G曝露第一電極264的一部分。在一個實施例中,間隙G可為大約5mm或更少。在一個實施例中,間隙G可為第一電極264的半徑的約5%或更小。在所示的實施例中,由於犧牲層270與殼體266中的開口具有相同的形狀且基本上置中於殼體266的開口內,所以在殼體266的內部邊緣268與犧牲層的周邊之間的間隙G基本上是均勻的。然而,實施例不限於此種配置,且間隙G可在殼體的內部邊緣268與犧牲層270的周邊之間的所有點處基本上不相同。例如,犧牲層270可為與殼體266中的開口不同的形狀,及/或犧牲層270可基本上不置中於殼體266中的開口內。在實施例中,在殼體266與第一電極264之間的連接不是連續的沿著內部邊緣268;然而,在此類實施例中,間隙G仍然存在於殼體266與第一電極264之間的一個或多個分立連接位置。
由於第一電極264在僅有自由基的蝕刻處理中曝露於自由基,所以實施例包括第一電極264,第一電極264由基本上抵抗用以蝕刻犧牲層270的僅有自由基的蝕刻處理的材料而形成。否則,第一電極264可能與犧牲層270一起被蝕刻,並會出現若干問題。一個此類問題將是共振系統261的質量變化將是第一電極264的材料損失和犧牲層270的材料損失之和。如此,共振體262的共振頻率的變化將不對應於僅犧牲層270的蝕刻速率。此外,藉由僅有自由基的蝕刻處理移除第一電極264及/或殼體266減少了感測器250的可用使用壽命。
在所示實施例中,感測器250被圖示為具有包括單個犧牲層270的共振系統261。然而,實施例不限於此種配置。例如,可在第一電極264之上方形成多個犧牲層2701 -270n 。在此類實施例中,只要每個犧牲層相對於彼此選擇性地具有高蝕刻,則單個感測器250可用以為幾種不同的蝕刻配方提供即時蝕刻速率。例如,可監控氧化矽層的第一蝕刻,並接著可監控矽層的第二蝕刻而不需要改變QCM 250。包括多個犧牲層2701 -270n 的一些示例性實施例圖示在第2C圖和第2D圖中的平面圖圖式中。
在第2C圖所示的實施例中,複數個犧牲層2702 -270n 圍繞第一犧牲層2701 以同心環的形式而形成。在一個實施例中,每個犧牲層2701 -270n 可具有基本上相同的厚度。在另外的實施例中,犧牲層2701 -270n 的兩個或更多個可具有不同的厚度。提供具有不同厚度的犧牲層可在感測器250需要翻新之前允許更長的使用壽命。例如,在製造半導體裝置中使用的處理可包括移除比對應於第二犧牲層2702 的第二材料的厚度更大的對應於第一犧牲層2701 的第一材料的厚度。如此,具有厚度大於第二犧牲層2702 的厚度的第一犧牲層2701 的感測器250可確保兩個犧牲層在大致相同數量的基板已經被處理之後被完全消耗。
另外的實施例包括複數個犧牲層2701 -270n ,複數個犧牲層2701 -270n 以除了同心環以外的圖案在第一電極264的表面之上方形成。例如,在第2D圖中,每個犧牲層2701 -270n 形成在不同的區域中。在一些實施例中,每個犧牲層2701 -270n 可彼此間隔開。在其他實施例中,每個犧牲層2701 -270n 可接觸一個或多個其他犧牲層。此外,儘管每個犧牲層2701 -270n 圖示為具有基本上相同的面積,但是實施例不限於此種配置,且每個犧牲層2701 -270n 的面積可彼此不同。類似於關於第2C圖所描述的實施例,每個犧牲層2701 -270n 的厚度可彼此基本相似,或每個犧牲層2701 -270n 的厚度可不同。
現在參照第3A圖,圖示了根據一個實施例的包括感測器250的處理工具300的示意圖。在一個實施例中,感測器250可藉由探針382而電耦合到位於處理工具300外部的監控器383。監控器383可包括用於監控共振的電路(如,頻率橋)及任何其他電子部件及/或用於即時監控蝕刻速率所需的電路。另外的實施例可包括監控器383,監控器383與用以控制處理工具300中的蝕刻處理的計算機系統(未圖示)通信地耦合。在一個實施例中,探針382可經由處理工具300中的埠384而***。例如,探針382可插到接近基座306的處理工具300的下部區域318中。將感測器250定位在下部區域318中導致感測器250曝露於自由基而不與遠端電漿中所形成的離子實質相互作用。在一個實施例中,感測器250可經定向為使得第一電極的面在處理期間基本上平行於其上可放置基板(未圖示)的基座306的面。儘管在第3A圖中未圖示,但是實施例可包括位於泵襯套和基座306之間或泵襯套外部的感測器250。在一個實施例中,感測器250可位於處理工具300中的允許犧牲層270的蝕刻速率是代表性的且與位於基座上的基板上所形成的材料的蝕刻速率相關的位置處。
在第3B圖所示的額外實施例中,感測器250可被整合到處理工具300的下部區域318中的泵襯套308中。如圖所示,探針382可延伸穿過泵襯套308中的開口,其中感測器250座落在開口中。例如,第一電極的面可朝向基座306定向,且在處理期間基本上垂直於基板可放置在其上的基座306的表面。將感測器250與泵襯套308整合允許經過感測器250的自由基的流動基本上類似於經過在處理工具300中處理的基板(未圖示)的自由基的流動。在一個實施例中,感測器250可位於處理工具300中允許犧牲層270的蝕刻速率是代表性的且與位於基座上的基板上所形成的材料的蝕刻速率相關的位置處。在另外的實施例中,感測器250可藉由延伸或縮回探針382而在處理工具300內移位。如第3B圖中的箭頭所示,探針382可縮回,使得感測器250穿過閘閥385並從處理工具300的下部區域318移除。例如,閥385可將處理工具300的下部區域318與前腔室386分開。在一個實施例中,前腔室386可為用於感測器250與下部區域318中的自由基隔離的儲存腔室。因此,當感測器250位於前腔室386中時,感測器將不會曝露於用以移除犧牲層的蝕刻處理。在一個實施例中,前腔室286可包括用於淨化前腔室286的真空泵和氣體管線。此種配置提供了幾個額外的優點,其可增加感測器250的可用使用壽命及/或當在超過感測器250的可用使用壽命之後更換感測器250時,減少處理工具300的停工時間。
例如,在一些實施例中,可能不需要為在處理工具300中處理的每個基板提供即時蝕刻速率監控(如,可僅針對每個批次的基板中的單個基板進行監控即時蝕刻速率,可針對每個第二、第三、第四、第五等等的基板,或任何其他期望的取樣計劃進行監控即時蝕刻速率)。如此,實施例包括每當不需要有效的蝕刻速率監控時,將感測器250縮回到前腔室386中,以延長感測器250的可用使用壽命。由於前腔室386能夠被清洗並被抽真空到處理壓力(亦即,前腔室386可類似負載鎖定而作用),所以主處理腔室不需要在感測器250的使用之間減壓。此外,在原位腔室清潔操作期間,感測器250可藉由縮回到前腔室386中而被保護。因此,可在不損壞感測器250的情況下實施可能由於侵蝕性蝕刻化學作用而實質上減少感測器250的可用使用壽命的處理操作。
在包括前腔室386和閘閥385的實施例中,感測器250亦可被替換而不需要減壓及/或打開主處理腔室。相反地,前腔室386可被減壓並打開,以取回經使用的感測器250並***替換感測器250。由於前腔室386比主處理腔室小,因此將前腔室386抽真空回到處理壓力所需的時間降低。此外,主處理腔室不需要打開,且在更換感測器250之後不需要對主處理腔室進行調節。
如上所述,即時監控蝕刻速率的能力提供了幾個優點,該等優點改善了在處理單個基板或複數個基板期間所使用的各種處理結果。例如,在一些實施例中,即時蝕刻速率監控可允許封閉迴路處理控制,封閉迴路處理控制允許蝕刻處理配方取決於移除的材料的實際厚度。在另一個實施例中,當啟用即時蝕刻速率監控時,可實施在執行單個處理配方的多個處理工具之間的腔室匹配。額外實施例可允許在藉由使用基板到基板前饋控制來處理多個基板時,精煉蝕刻處理配方。進一步的實施例可允許腔室健康監控,腔室健康監控允許更準確地確定何時需要處理工具維護。
在特定實施例中,可使用基本上類似於上述彼等的感測器250來賦能用封閉迴路處理控制而實施的蝕刻處理配方。以前,當即時蝕刻速率監控不能實施時,處理配方依賴於預設的處理持續時間。依賴於預設的持續時間可能是個問題,因為處理腔室中的條件可能在基板之間變化(如,由於腔室條件的變化、自由基流到下部區域中的不一致的流動、基板上的先前處理操作的不一致性等)。然而,包括感測器250(諸如於此所述的彼等)的實施例提供了實施非時間依賴性的封閉迴路處理控制的能力。相反地,處理配方的終點可由移除的材料的實際厚度而決定。例如,若處理配方被設計成移除30nm的材料厚度,則一旦感測器250產生指示30nm的材料厚度已經從基板移除的輸出,則處理配方可被終止,而不是根據時間大約從基板上移除材料而定。在第4圖中圖示的處理流程圖490中圖示了使用封閉迴路處理控制的示例性處理配方。
從處理操作491開始,實施例可包括執行僅有自由基的蝕刻處理配方。在一個實施例中,處理配方可包括將在蝕刻處理中使用的任何數量的處理參數。例如,處理配方可包括處理參數,諸如期望的基板溫度,一種或多種處理氣體的流量,處理腔室中的壓力,或類似者。另外,處理配方可包括一個或多個終點標準。在特定實施例中,一個終點標準可為可從感測器250所獲得的輸出中確定的參數。例如,終點標準可為從基板移除的材料的總厚度,其可藉由如上所述的共振體262的共振頻率的改變而確定。
現在參考處理操作492,實施例可藉由分析從感測器250所獲得的輸出來繼續,以確定是否滿足一個或多個終點標準。例如,感測器250可藉由比較共振體262的初始共振頻率(在處理配方的啟動之前)和共振體262的當前共振頻率來確定是否已經從基板移除了期望的材料厚度。當期望的終點標準不被滿足時,處理490可循環回到處理操作491且處理配方繼續被執行。在一個實施例中,處理操作492可基本上連續地或以預定的間隔(如,每5秒或更少,每1秒或更少,每0.01秒或更少,或任何其它期望的間隔)而實施。根據一個實施例,一旦期望的終點標準已經被滿足,則該處理可繼續到處理操作493且可終止處理配方。
在另外的實施例中,感測器250可用以提供腔室匹配。腔室匹配允許多個處理工具並行地處理複數個基板。在適當匹配的腔室中,即使當在不同腔室中處理時,每個基板的處理結果亦基本相同。因此,在不同批次(lot)或批(batch)的基板之間的差異可最小化,並改善處理均勻性。例如,當每個處理工具利用感測器250來實施類似於上面關於第4圖所述的處理的封閉迴路處理配方時,不管使用哪個處理工具,從每個基板移除的材料的厚度將基本上一樣。因此,即使當處理工具由於處理條件的變化而具有不同的蝕刻速率時,處理結果仍可能高度一致。
根據另外的實施例,利用感測器250的即時蝕刻速率監控亦可允許處理配方的基板到基板的前饋控制。如此的實施例可能是特別有利的,因為即時蝕刻速率監控允許在處理每個基板之後修改處理配方。因此,即使處理工具內的處理條件發生變化(如,由於腔室清潔度,或類似者),仍然可獲得均勻的處理結果。在第5圖中的處理流程590中圖示了用於使用處理配方的基板到基板的前饋控制的處理的實例。
在一個實施例中,該處理可藉由在處理腔室中的第一基板上執行處理配方而從處理操作591開始。在一個實施例中,處理配方是用於僅有自由基的蝕刻處理的配方。在一個實施例中,處理配方可包括將在蝕刻處理中使用的任何數量的處理參數。例如,處理配方可包括處理參數,諸如期望的基板溫度,一種或多種處理氣體的流量,處理腔室中的壓力,或類似者。在一個實施例中,處理配方可包括一個或多個終點標準。在一個特定實施例中,一個終點標準可為可從感測器250所獲得的輸出而確定的參數。例如,終點標準可為移除的材料的總厚度,其可如上所述藉由共振體262的共振頻率的變化而確定。
在一個實施例中,處理流程前進到處理操作592,其中感測器250的輸出被分析以確定是否已經滿足終點標準。若終點標準尚未被滿足,則處理可返回到操作591,如圖所示。當終點標準已經被滿足時,程序可前進到處理操作593,且終止處理配方。之後,程序可前進到處理操作594,其中來自第一基板的處理的資料被向前饋送並被用以修改在第二基板上使用的處理配方。在一個實施例中,處理配方可藉由改變處理配方的一個或多個處理參數來修改。例如,在處理配方的執行期間,若終點標準花費的時間比預期達到的時間長,則可修改處理配方的一個或多個處理參數以減少達到終點標準所需的時間(如,藉由增加基板溫度、增加處理氣體的流量等)。因此,實施例可包括使用來自在第一基板上執行的處理配方的前饋資訊,以修改在第二基板上使用的處理配方。如此,處理配方可被精煉,以允許以更有效的方式獲得期望的結果。
此外,處理流程590可被擴展以允許處理腔室健康監控。特別地,如處理操作595所示,實施例可包括將修改的處理配方與原始處理配方進行比較的額外操作,以確定是否存在足夠大的變化以使得需要腔室維護。例如,比較修改的處理配方和原始處理配方可包括計算在修改的處理配方中與原始處理配方中的一個或多個處理參數之間的差異。在一個實施例中,腔室維護可包括對腔室狀況的任何調整,諸如腔室清潔或更換及/或翻新已經被確定為在其使用壽命結束時的一個或多個部件。
在一個實施例中,指示需要腔室清潔的顯著變化可為當修改的處理配方中與原始處理配方中的一個或多個處理參數的差異超過預定閾值時。在一個實施例中,預定閾值可為給定處理參數的最大百分比變化。例如,在給定的處理參數中,預定閾值可為25%的變化或更大。在一個實施例中,每個處理參數可具有不同的預定閾值。例如,處理氣體的流量的百分比變化的預定閾值可大於基板的溫度的百分比變化的預定閾值。在另外的實施例中,預定閾值可為給定處理參數的最大值或最小值。例如,基板溫度的預定閾值可為最高溫度。在又一個實施例中,預定閾值的類型可取決於處理參數。例如,處理配方中的一個或多個處理參數可具有作為處理參數的最大百分比變化而給出的預定閾值,且處理配方中的其他處理參數可具有作為最大及/或最小值的預定閾值。
現在參考第6圖,根據一個實施例圖示了處理工具的示例性計算機系統600的方塊圖。在一個實施例中,計算機系統600被耦合到並控制處理工具中的處理。計算機系統600可連接(如,連網)到局域網(LAN)、內聯網、外聯網、或網際網路中的其他機器。計算機系統600可以客戶端-伺服器網路環境中的伺服器或客戶端機器的能力或作為同級間(或分佈式)網路環境中的同級機器來操作。計算機系統600可為個人計算機(PC)、平板PC、機上盒(STB)、個人數位助理(PDA)、蜂巢式電話、網路設備、伺服器、網路路由器、交換器或橋接器,或任何能夠執行一組指令(順序地或以其他方式)的機器,該等指令指定了由該機器要執行的動作。此外,儘管針對計算機系統600僅圖示單個機器,但術語「機器」亦應被理解為包括單獨地或結合地執行一組(或多組)指令以執行於此所述的任何一種或多種方法的機器(如,計算機)的任意集合。
計算機系統600可包括具有其上儲存有指令的非揮發性機器可讀媒體的計算機程式產品或軟體622,指令可用以程式化計算機系統600(或其他電子裝置),以執行根據實施例的處理。機器可讀媒體包括用於以機器(如,計算機)可讀的形式儲存或傳輸資訊的任何機制。例如,機器可讀(如,計算機可讀)媒體包括機器(如,計算機)可讀儲存媒體(如,唯讀記憶體(「ROM」)、隨機存取記憶體(「RAM」)、磁碟儲存媒體、光學儲存媒體、快閃記憶體等),機器(如,計算機)可讀傳輸媒體(電,光,聲,或其他形式的傳播信號(如,紅外信號、數字信號等))等等。
在一個實施例中,計算機系統600包括系統處理器602、主要記憶體604(如,唯讀記憶體(ROM)、快閃記憶體,諸如同步DRAM(SDRAM)或Rambus DRAM(RDRAM)的動態隨機存取記憶體(DRAM)等)、靜態記憶體606(如,快閃記憶體、靜態隨機存取記憶體(SRAM)等)及次要記憶體618(如,數據儲存裝置),上述裝置經由匯流排630而彼此通信。
系統處理器602代表一個或多個通用處理裝置,諸如微系統處理器,中央處理單元,或類似者。更特別地,系統處理器可為複雜指令集計算(CISC)微系統處理器、精簡指令集計算(RISC)微系統處理器、超長指令字(VLIW)微系統處理器、實施其他指令集的系統處理器、或實施指令集的組合的系統處理器。系統處理器602亦可為一個或多個專用處理裝置,諸如專用積體電路(ASIC)、現場可程式化閘極陣列(FPGA)、數位信號系統處理器(DSP)、網路系統處理器,或類似者。系統處理器602經配置以執行處理邏輯626,以執行於此所述的操作。
計算機系統600可進一步包括用於與其他裝置或機器進行通信的系統網路介面裝置608。計算機系統600亦可包括視訊顯示單元610(如,液晶顯示器(LCD)、發光二極體顯示器(LED),或陰極射線管(CRT))、字母數字輸入裝置612(如,鍵盤)、游標控制裝置614(如,滑鼠)和信號生成裝置616(如,揚聲器)。
次要記憶體618可包括機器可存取的儲存媒體631(或者更特別地是計算機可讀儲存媒體),在該機器可存取儲存媒體631上儲存一組或多組指令(如,軟體622),該等指令體現了一個或多個於此所述的方法或功能。軟體622亦可在由計算機系統600執行期間完全或至少部分地駐留在主要記憶體604內及/或在系統處理器602內,主要記憶體604和系統處理器602亦構成機器可讀儲存媒體。軟體622可進一步經由系統網路介面裝置608在網路620上被發送或接收。
儘管機器可存取儲存媒體631在示例性實施例中被圖示為單個媒體,但是術語「機器可讀儲存媒體」應被理解為包括單個媒體或多個媒體(如,集中式或分佈式資料庫及/或關聯的快取和伺服器),其儲存一組或多組指令。術語「機器可讀儲存媒體」亦應被理解為包括能夠儲存或編碼由機器執行的一組指令並使機器執行任何一個或多個方法的任何媒體。術語「機器可讀儲存媒體」因此應被認為包括(但不限於)固態記憶體以及光學和磁性媒體。
在前面的說明書中,已經描述了特定的示例性實施例。顯而易見的是,可對其進行各種修改而不背離以下的申請專利範圍的範疇。因此,說明書和圖式被認為是說明性的而不是限制性的。
100:處理工具 105:基板 106:基座 108:泵襯套 110:遠端電漿腔室 112:傳送區域 116:主處理腔室 117:上部區域 118:下部區域 122:離子過濾器 124:離子 126:自由基/自由基物質 250:感測器/QCM 261:共振系統 262:共振體 264:第一電極 265:第二電極 266:殼體 267:頻率橋 268:內部邊緣 270:犧牲層/犧牲膜 2701 :犧牲層 2702 :犧牲層 2703 :犧牲層 270n :犧牲層 300:處理工具 306:基座 308:泵襯套 318:下部區域 382:探針 383:監控器 384:埠 385:閘閥/閥 386:前腔室 490:處理 491:操作 492:操作 493:操作 590:處理流程 591:操作 592:操作 593:操作 594:操作 595:操作 600:計算機系統 602:系統處理器 604:主要記憶體 606:靜態記憶體 608:系統網路介面裝置 610:視訊顯示單元 612:字母數字輸入裝置 614:游標控制裝置 616:信號生成裝置 618:次要記憶體 620:網路 622:軟體 630:匯流排 631:儲存媒體
第1圖是根據一個實施例的用於實施僅有自由基的蝕刻處理的處理工具的示意圖。
第2A圖是根據一個實施例的可用以提供即時蝕刻速率監控的感測器的橫截面圖式。
第2B圖是根據一個實施例的第2A圖中的感測器的平面圖。
第2C圖是根據另外的實施例的可用以對多於一種材料提供即時蝕刻速率監控的感測器的平面圖圖式。
第2D圖是根據另外的實施例的可用以對多於一種材料提供即時蝕刻速率監控的感測器的平面圖圖式。
第3A圖是根據一個實施例的用於實施僅有自由基的蝕刻處理的處理工具的示意圖,其圖示感測器的位置。
第3B圖是根據另外的實施例的用於實施僅有自由基的蝕刻處理的處理工具的示意圖,其圖示整合到泵襯套中的感測器。
第4圖是根據一個實施例的描述用於使用感測器進行封閉迴路處理控制的處理的處理流程圖。
第5圖是根據一個實施例的描述用於使用感測器進行基板到基板的前饋處理控制的處理的處理流程圖。
第6圖圖示根據一個實施例的可結合包括即時監控僅有自由基的蝕刻處理的蝕刻速率的處理而使用的示例性計算機系統的方塊圖。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無
250:感測器/QCM
261:共振系統
262:共振體
264:第一電極
265:第二電極
266:殼體
267:頻率橋
270:犧牲層/犧牲膜

Claims (34)

  1. 一種蝕刻速率感測器,包含:一共振體;一第一電極,形成在該共振體的一第一表面上,該第一電極具有一頂表面;一第二電極,形成在該共振體的一第二表面之上方;以及一犧牲層,形成在該第一電極的該頂表面上,其中該第一電極的該頂表面的一部分未被該犧牲層覆蓋,該第一電極的該頂表面的該部分連續地圍繞該犧牲層的一整體,且其中該犧牲層不接觸該共振體。
  2. 如請求項1所述之蝕刻速率感測器,其中該犧牲層是在一僅有自由基的蝕刻處理中相對於該第一電極可被選擇性蝕刻的一材料。
  3. 如請求項2所述之蝕刻速率感測器,其中該犧牲層是一介電材料、一半導體材料、或一導電材料。
  4. 如請求項1所述之蝕刻速率感測器,進一步包含形成在該第一電極上之複數個另外的犧牲層,其中該複數個另外的犧牲層的每一個犧牲層相對於彼此、該犧牲層和該第一電極可具有蝕刻選擇性。
  5. 如請求項4所述之蝕刻速率感測器,其中 該犧牲層形成在該第一電極的大約中心之上方,且其中在該犧牲層周圍的基本同心環中形成該另外的犧牲層。
  6. 如請求項4所述之蝕刻速率感測器,其中該複數個犧牲層的每一個在該第一電極的一不同區域之上方形成。
  7. 如請求項1所述之蝕刻速率感測器,其中該共振體是石英、藍寶石、矽、鍺、或鋯鈦酸鉛。
  8. 如請求項1所述之蝕刻速率感測器,進一步包含:一頻率橋,電耦合在該第一電極和該第二電極之間。
  9. 如請求項8所述之蝕刻速率感測器,其中該第一電極電耦合到一接地電位。
  10. 一種處理工具,包含:一遠端電漿腔室;一主處理腔室,耦合到該遠端電漿腔室,其中該主處理腔室包含:一上部;及一下部,其中該上部藉由一離子過濾器與該下部分隔開;及一蝕刻速率感測器,位於該主處理腔室的該下部中, 其中該蝕刻速率感測器包含:一共振體;一第一電極,形成在該共振體的一第一表面上,該第一電極具有一頂表面;一第二電極,形成在該共振體的一第二表面之上方;以及一犧牲層,形成在該第一電極的該頂表面上,其中該第一電極的該頂表面的一部分未被該犧牲層覆蓋,該第一電極的該頂表面的該部分連續地圍繞該犧牲層的一整體,且其中該犧牲層不接觸該共振體。
  11. 如請求項10所述之處理工具,其中該蝕刻速率感測器藉由穿過該主處理腔室的該下部中的一埠的一探針耦合到該主處理腔室外部的一監控器。
  12. 如請求項11所述之處理工具,進一步包含:一前腔室,藉由一閘閥與該主腔室的該下部分隔開,其中探針可穿過該閥縮回,使得該蝕刻速率感測器可在該前腔室與該主腔室的該下部之間移動。
  13. 一種處理工具,包含:一遠端電漿腔室;一主處理腔室,耦合到該遠端電漿腔室,其中該主 處理腔室包含:一上部;及一下部,其中該上部藉由一離子過濾器與該下部分隔開;及一蝕刻速率感測器,位於該主處理腔室的該下部中,其中該蝕刻速率感測器藉由穿過該主處理腔室的該下部中的一埠的一探針耦合到該主處理腔室外部的一監控器,其中該蝕刻速率感測器包含:一共振體;一第一電極,形成在該共振體的一第一表面上;一第二電極,形成在該共振體的一第二表面之上方;以及一犧牲層,形成在該第一電極上,其中該第一電極的至少一部分未被該犧牲層覆蓋;及一前腔室,藉由一閘閥與該主腔室的該下部分隔開,其中探針可穿過該閥縮回,使得該蝕刻速率感測器可在該前腔室與該主腔室的該下部之間移動。
  14. 一種蝕刻速率感測器,包含:一共振體,具有一第一表面及一第二表面,該第二表面與該第一表面相對;一第一電極,形成在該共振體的該第一表面上,該第一電極具有一頂表面; 一第二電極,形成在該共振體的該第二表面之上方;以及一第一犧牲層,形成在該第一電極的該頂表面上;及一第二犧牲層,形成在該第一電極的該頂表面上,該第二犧牲層具有一組成,該組成與該第一犧牲層的一組成不同,其中該第二犧牲層不接觸該第一犧牲層。
  15. 如請求項14所述之蝕刻速率感測器,其中該第一犧牲層具有一圓形,及該第二犧牲層具有圍繞該第一犧牲層的該圓形之一同心環形。
  16. 如請求項14所述之蝕刻速率感測器,其中該第一犧牲層具有一第一圓形,且該第二犧牲層具有一第二圓形,該第二圓形與該第一圓形間隔開。
  17. 如請求項14所述之蝕刻速率感測器,其中該第一電極的該頂表面的一部分未被該第一犧牲層及該第二犧牲層覆蓋。
  18. 如請求項17所述之蝕刻速率感測器,其中該第一電極的該頂表面的該部分連續地圍繞該第一犧牲層及該第二犧牲層的一整體。
  19. 如請求項14所述之蝕刻速率感測器,其中該第一犧牲層及該第二犧牲層不接觸該共振體。
  20. 如請求項14所述之蝕刻速率感測器,其中該共振體是石英、藍寶石、矽、鍺、或鋯鈦酸鉛。
  21. 如請求項14所述之蝕刻速率感測器,進一步包含:一頻率橋,電耦合在該第一電極和該第二電極之間。
  22. 如請求項21所述之蝕刻速率感測器,其中該第一電極電耦合到一接地電位。
  23. 如請求項14所述之蝕刻速率感測器,進一步包含:一第三犧牲層,形成在該第一電極的該頂表面上,該第三犧牲層具有一組成,該組成與該第一犧牲層的該組成不同且與該第二犧牲層的該組成不同。
  24. 一種蝕刻速率感測器,包含:一共振體,具有一第一表面及一第二表面,該第二表面與該第一表面相對;一第一電極,形成在該共振體的該第一表面上,該第一電極具有一頂表面;一第二電極,形成在該共振體的該第二表面之上方;以及一第一犧牲層,形成在該第一電極的該頂表面上;及 一第二犧牲層,形成在該第一電極的該頂表面上,該第二犧牲層具有一蝕刻選擇性,該蝕刻選擇性與該第一犧牲層的一蝕刻選擇性不同,其中該第二犧牲層不接觸該第一犧牲層。
  25. 如請求項24所述之蝕刻速率感測器,其中該第一犧牲層具有一圓形,且該第二犧牲層具有圍繞該第一犧牲層的該圓形之一同心環形。
  26. 如請求項24所述之蝕刻速率感測器,其中該第一犧牲層具有一第一圓形,且該第二犧牲層具有一第二圓形,該第二圓形與該第一圓形間隔開。
  27. 如請求項24所述之蝕刻速率感測器,其中該第一電極的該頂表面的一部分未被該第一犧牲層及該第二犧牲層覆蓋。
  28. 如請求項27所述之蝕刻速率感測器,其中該第一電極的該頂表面的該部分連續地圍繞該第一犧牲層及該第二犧牲層的一整體。
  29. 如請求項24所述之蝕刻速率感測器,其中該第一犧牲層及該第二犧牲層不接觸該共振體。
  30. 如請求項24所述之蝕刻速率感測器,其中該共振體是石英、藍寶石、矽、鍺、或鋯鈦酸鉛。
  31. 如請求項24所述之蝕刻速率感測器,進一步包含: 一頻率橋,電耦合在該第一電極和該第二電極之間。
  32. 如請求項31所述之蝕刻速率感測器,其中該第一電極電耦合到一接地電位。
  33. 如請求項24所述之蝕刻速率感測器,進一步包含:一第三犧牲層,形成在該第一電極的該頂表面上,該第三犧牲層具有一蝕刻選擇性,該蝕刻選擇性與該第一犧牲層的該蝕刻選擇性不同且與該第二犧牲層的該蝕刻選擇性不同。
  34. 一種蝕刻速率感測器,包含:一共振體,具有一第一表面及一第二表面,該第二表面與該第一表面相對;一第一電極,形成在該共振體的該第一表面上,該第一電極具有一頂表面;一第二電極,形成在該共振體的該第二表面之上方;以及一第一犧牲層,形成在該第一電極的該頂表面上;及一第二犧牲層,形成在該第一電極的該頂表面上,該第二犧牲層具有一組成,該組成與該第一犧牲層的一組成不同,其中該第一犧牲層具有一圓形,且該第 二犧牲層具有圍繞該第一犧牲層的該圓形之一同心環形。
TW110138811A 2016-11-14 2017-11-14 選擇性蝕刻速率監控器 TWI772206B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/351,437 US9978621B1 (en) 2016-11-14 2016-11-14 Selective etch rate monitor
US15/351,437 2016-11-14

Publications (2)

Publication Number Publication Date
TW202211323A TW202211323A (zh) 2022-03-16
TWI772206B true TWI772206B (zh) 2022-07-21

Family

ID=62106702

Family Applications (2)

Application Number Title Priority Date Filing Date
TW110138811A TWI772206B (zh) 2016-11-14 2017-11-14 選擇性蝕刻速率監控器
TW106139250A TWI746694B (zh) 2016-11-14 2017-11-14 選擇性蝕刻速率監控器

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW106139250A TWI746694B (zh) 2016-11-14 2017-11-14 選擇性蝕刻速率監控器

Country Status (8)

Country Link
US (3) US9978621B1 (zh)
EP (1) EP3539151A4 (zh)
JP (2) JP6849801B2 (zh)
KR (2) KR102460411B1 (zh)
CN (2) CN109937471B (zh)
SG (1) SG11201903378XA (zh)
TW (2) TWI772206B (zh)
WO (1) WO2018089175A1 (zh)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11551905B2 (en) * 2018-03-19 2023-01-10 Intel Corporation Resonant process monitor
CN109802649B (zh) * 2018-12-29 2023-04-11 开元通信技术(厦门)有限公司 一种监控空气隙型体声波谐振器空腔释放过程的方法
KR20210094196A (ko) 2020-01-20 2021-07-29 대덕전자 주식회사 실시간 금속필름 식각 분석 방법 및 장치
CN112885733B (zh) * 2021-03-12 2023-09-05 中南大学 利用高频无极石英晶体传感器监测刻蚀二氧化硅的***
KR102557583B1 (ko) 2021-04-21 2023-07-21 (주)위즈바이오솔루션 산화막 식각률 모니터링 장치 및 그 모니터링 방법
US20230195072A1 (en) * 2021-12-22 2023-06-22 Applied Materials, Inc. Disturbance compensation for substrate processing recipes
US11864299B2 (en) * 2022-05-10 2024-01-02 Applied Materials, Inc. System and method for dissipating workpiece charge build up

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060283249A1 (en) * 2005-06-16 2006-12-21 Honeywell International Inc. Acoustic wave etch rate sensor system
US20160099705A1 (en) * 2014-10-03 2016-04-07 Taiyo Yuden Co., Ltd. Acoustic wave device

Family Cites Families (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS52127169A (en) * 1976-04-19 1977-10-25 Fujitsu Ltd Monitoring method of etching amount in etching performance
JPS6355535A (ja) 1986-08-26 1988-03-10 Minolta Camera Co Ltd 原稿露光装置
JPS6355535U (zh) * 1986-09-29 1988-04-14
JP2737993B2 (ja) * 1989-03-22 1998-04-08 日本電気株式会社 ドライエッチング装置
JP2998103B2 (ja) * 1990-07-18 2000-01-11 アネルバ株式会社 エッチング終了の検知方法
US5282925A (en) 1992-11-09 1994-02-01 International Business Machines Corporation Device and method for accurate etching and removal of thin film
KR100290748B1 (ko) * 1993-01-29 2001-06-01 히가시 데쓰로 플라즈마 처리장치
JPH1092789A (ja) * 1996-09-13 1998-04-10 Nippon Steel Corp エッチング速度評価方法
US6129807A (en) 1997-10-06 2000-10-10 Applied Materials, Inc. Apparatus for monitoring processing of a substrate
EP0990267B1 (en) * 1998-03-02 2005-11-09 Koninklijke Philips Electronics N.V. Etching method
JP2003521807A (ja) 1998-07-10 2003-07-15 アプライド マテリアルズ インコーポレイテッド 基板製作工程に関する改良された終点検出
JP2001251160A (ja) * 2000-03-07 2001-09-14 Seiko Epson Corp 圧電振動片及びその製造方法
DE10113254A1 (de) * 2001-03-19 2002-10-02 Infineon Technologies Ag Mikromechanik-Sensorelement und elektrische Schaltungsanordnung
US7052622B2 (en) 2001-10-17 2006-05-30 Applied Materials, Inc. Method for measuring etch rates during a release process
US6654659B1 (en) * 2002-06-24 2003-11-25 Advanced Micro Drvices, Inc. Quartz crystal monitor wafer for lithography and etch process monitoring
US6830650B2 (en) 2002-07-12 2004-12-14 Advanced Energy Industries, Inc. Wafer probe for measuring plasma and surface characteristics in plasma processing environments
US7306696B2 (en) * 2002-11-01 2007-12-11 Applied Materials, Inc. Interferometric endpoint determination in a substrate etching process
KR100575873B1 (ko) * 2003-12-23 2006-05-03 주식회사 하이닉스반도체 반도체소자 형성방법
JP2006211296A (ja) * 2005-01-28 2006-08-10 Sony Corp マイクロマシンの製造方法およびマイクロマシン
KR100590580B1 (ko) * 2005-03-21 2006-06-19 삼성전자주식회사 패턴된 강유전체 미디어의 제조방법
US7537976B2 (en) * 2005-05-20 2009-05-26 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of thin film transistor
JP4343875B2 (ja) * 2005-06-08 2009-10-14 Tdk株式会社 エッチング量計測装置、エッチング装置及びエッチング量計測方法
US7293450B2 (en) * 2005-10-05 2007-11-13 Honeywell International Inc. Oil quality sensor structure for permanent applications
JP4756461B2 (ja) * 2005-10-12 2011-08-24 宇部興産株式会社 窒化アルミニウム薄膜およびそれを用いた圧電薄膜共振子
JP2007115939A (ja) * 2005-10-21 2007-05-10 Hakuto Co Ltd ドライエッチング装置および方法ならびに膜付き水晶振動子
JP5194468B2 (ja) * 2006-03-07 2013-05-08 コニカミノルタホールディングス株式会社 有機薄膜トランジスタの製造方法及び有機薄膜トランジスタ
WO2007119556A1 (ja) * 2006-04-05 2007-10-25 Murata Manufacturing Co., Ltd. 圧電共振子及び圧電フィルタ
JP4144640B2 (ja) * 2006-10-13 2008-09-03 オムロン株式会社 振動センサの製造方法
JP2008218898A (ja) * 2007-03-07 2008-09-18 Hitachi High-Technologies Corp プラズマ処理装置
US7802466B2 (en) * 2007-11-28 2010-09-28 Sierra Sensors Gmbh Oscillating sensor and fluid sample analysis using an oscillating sensor
US8368308B2 (en) * 2009-03-05 2013-02-05 Applied Materials, Inc. Inductively coupled plasma reactor having RF phase control and methods of use thereof
JP5502360B2 (ja) * 2009-04-10 2014-05-28 スタンレー電気株式会社 酸化亜鉛系半導体素子及びその製造方法
US20100270262A1 (en) 2009-04-22 2010-10-28 Applied Materials, Inc. Etching low-k dielectric or removing resist with a filtered ionized gas
US9673778B2 (en) * 2009-06-24 2017-06-06 Avago Technologies General Ip (Singapore) Pte. Ltd. Solid mount bulk acoustic wave resonator structure comprising a bridge
US8902023B2 (en) * 2009-06-24 2014-12-02 Avago Technologies General Ip (Singapore) Pte. Ltd. Acoustic resonator structure having an electrode with a cantilevered portion
US9275838B2 (en) * 2009-09-02 2016-03-01 Lam Research Corporation Arrangements for manipulating plasma confinement within a plasma processing system and methods thereof
JP5685094B2 (ja) * 2011-01-25 2015-03-18 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US8575820B2 (en) * 2011-03-29 2013-11-05 Avago Technologies General Ip (Singapore) Pte. Ltd. Stacked bulk acoustic resonator
JP5817673B2 (ja) * 2011-11-18 2015-11-18 株式会社村田製作所 圧電薄膜共振子及び圧電薄膜の製造方法
US9136820B2 (en) * 2012-07-31 2015-09-15 Tdk Corporation Piezoelectric device
CN103023455A (zh) * 2012-11-20 2013-04-03 崔学晨 一种电极位于同一面的石英晶体振子
CN104347421A (zh) * 2013-08-07 2015-02-11 中芯国际集成电路制造(北京)有限公司 鳍式场效应管的形成方法
TWI668725B (zh) * 2013-10-01 2019-08-11 美商蘭姆研究公司 使用模型化、回授及阻抗匹配之蝕刻速率的控制
US9972477B2 (en) * 2014-06-28 2018-05-15 Applied Materials, Inc. Multiple point gas delivery apparatus for etching materials
JP2016153757A (ja) * 2015-02-20 2016-08-25 ピエゾパーツ株式会社 成膜センサーユニット

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060283249A1 (en) * 2005-06-16 2006-12-21 Honeywell International Inc. Acoustic wave etch rate sensor system
US20160099705A1 (en) * 2014-10-03 2016-04-07 Taiyo Yuden Co., Ltd. Acoustic wave device

Also Published As

Publication number Publication date
WO2018089175A1 (en) 2018-05-17
JP2021106272A (ja) 2021-07-26
EP3539151A4 (en) 2020-06-03
US20180240692A1 (en) 2018-08-23
KR102316547B1 (ko) 2021-10-22
KR20210130249A (ko) 2021-10-29
CN109937471A (zh) 2019-06-25
US20200381280A1 (en) 2020-12-03
US11257698B2 (en) 2022-02-22
US9978621B1 (en) 2018-05-22
JP7170765B2 (ja) 2022-11-14
TW201830516A (zh) 2018-08-16
US20180138061A1 (en) 2018-05-17
TW202211323A (zh) 2022-03-16
CN109937471B (zh) 2023-08-22
EP3539151A1 (en) 2019-09-18
SG11201903378XA (en) 2019-05-30
JP6849801B2 (ja) 2021-03-31
KR102460411B1 (ko) 2022-10-31
CN117198929A (zh) 2023-12-08
KR20190069616A (ko) 2019-06-19
TWI746694B (zh) 2021-11-21
JP2019536281A (ja) 2019-12-12
US10790175B2 (en) 2020-09-29

Similar Documents

Publication Publication Date Title
TWI772206B (zh) 選擇性蝕刻速率監控器
CN109075066B (zh) 使用无晶片干式清洗发射光谱来控制干式蚀刻过程的方法
JP2009246368A (ja) 多層/多入力/多出力(mlmimo)モデル及び当該モデルの使用方法
JP2009245988A (ja) プラズマ処理装置、チャンバ内部品及びチャンバ内部品の寿命検出方法
TWI442468B (zh) Plasma processing device and plasma processing method
JP2011029475A (ja) プラズマ処理装置及びプラズマ処理方法
JP2005244065A (ja) プラズマ処理装置および処理方法
JP4490938B2 (ja) プラズマ処理装置
KR20180085371A (ko) 고속 이미징에 의한 플라즈마 파라미터들 및 스큐 특성화
CN107871649A (zh) 监控单元、等离子体处理设备、以及制造半导体装置的方法
US20170229332A1 (en) Plasma stability determining method and plasma processing apparatus
US9147556B2 (en) Plasma processing method and plasma processing apparatus
US10854433B2 (en) In-situ real-time plasma chamber condition monitoring
JP3927464B2 (ja) プラズマ処理方法
US20240212979A1 (en) Method for determining amount of wear of edge ring, plasma processing apparatus, and substrate processing system
JP2007103604A (ja) エッチング方法および処理装置
KR102252529B1 (ko) 반도체 제조 설비 점검을 위한 기준값 결정 장치 및 방법
JP2005072614A (ja) 試料処理装置及び試料処理システム
JP5675195B2 (ja) プラズマ処理装置及びプラズマ処理方法
JP3637041B2 (ja) 試料処理装置及び試料処理システム
TW202312792A (zh) 基於電漿之製程的原位監測及控制
JP2002343774A (ja) プラズマ処理装置およびプラズマ処理方法
IE83616B1 (en) Plasma chamber cleaning