CN109937471B - 选择性蚀刻速率监控器 - Google Patents

选择性蚀刻速率监控器 Download PDF

Info

Publication number
CN109937471B
CN109937471B CN201780069691.2A CN201780069691A CN109937471B CN 109937471 B CN109937471 B CN 109937471B CN 201780069691 A CN201780069691 A CN 201780069691A CN 109937471 B CN109937471 B CN 109937471B
Authority
CN
China
Prior art keywords
etch rate
electrode
rate sensor
sacrificial layer
etch
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201780069691.2A
Other languages
English (en)
Other versions
CN109937471A (zh
Inventor
菲利普·艾伦·克劳斯
蒂莫西·L·富兰克林
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to CN202311000781.XA priority Critical patent/CN117198929A/zh
Publication of CN109937471A publication Critical patent/CN109937471A/zh
Application granted granted Critical
Publication of CN109937471B publication Critical patent/CN109937471B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01HMEASUREMENT OF MECHANICAL VIBRATIONS OR ULTRASONIC, SONIC OR INFRASONIC WAVES
    • G01H13/00Measuring resonant frequency
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/14Measuring as part of the manufacturing process for electrical parameters, e.g. resistance, deep-levels, CV, diffusions by electrical means

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Piezo-Electric Or Mechanical Vibrators, Or Delay Or Filter Circuits (AREA)
  • Analysing Materials By The Use Of Radiation (AREA)
  • Production Of Multi-Layered Print Wiring Board (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

实施方式包括即时蚀刻速率传感器和使用即时蚀刻速率传感器的方法。在一个实施方式中,即时蚀刻速率传感器包括共振***和导电壳体。共振***可包括:共振体;第一电极,所述第一电极形成在共振体的第一表面之上;第二电极,所述第二电极形成在共振体的第二表面之上;和牺牲层,所述牺牲层形成在第一电极之上。在一个实施方式中,第一电极的至少一部分未被牺牲层覆盖。在一个实施方式中,导电壳体可固定共振***。另外,导电壳体接触第一电极,且导电壳体的内部边缘的至少一部分可与牺牲层隔开。

Description

选择性蚀刻速率监控器
技术领域
实施方式涉及用于半导体制造的蚀刻处理的领域,且具体地涉及用于在仅有自由基的蚀刻处理中提供即时蚀刻速率监控的***和方法。
背景技术
在半导体蚀刻处理中,即时监控蚀刻速率通常是困难的。由此,通常只能藉由计算在膜的起始厚度与膜的终点厚度之间的差异并将该差异除以总处理时间来确定蚀刻速率。然而,可理解的是,即时监控蚀刻速率提供了可用于调整蚀刻处理的附加信息,使得它们精确并具有更高程度的可重复性等优点。
已经开发了一些提供即时蚀刻速率监控的解决方案。例如,光发射光谱学(OES)和吸收光谱学是已经在传统的等离子体蚀刻腔室中使用的解决方案。在OES中,来自等离子体的光发射的强度可能与蚀刻速率相关。在吸收光谱学中,需要穿过处理容积的视线路径。然而,在大容积的制造配备中,穿过处理容积的视线通常是不可用的。
发明内容
实施方式包括即时蚀刻速率传感器和使用即时蚀刻速率传感器的方法。在一个实施方式中,即时蚀刻速率传感器包括共振***(resonant system)和导电壳体。共振***可包括:共振体;第一电极,所述第一电极形成在共振体的第一表面之上;第二电极,所述第二电极形成在共振体的第二表面之上;和牺牲层,所述牺牲层形成在第一电极之上。在一个实施方式中,第一电极的至少一部分未被牺牲层覆盖。在一个实施方式中,导电壳体可固定共振***。另外,导电壳体接触第一电极,且导电壳体的内部边缘的至少一部分可与牺牲层隔开。
另外的实施方式可包括仅有自由基的蚀刻处理工具。在一个实施方式中,仅有自由基的蚀刻处理工具可包括远程等离子体腔室和耦接至远程等离子体腔室的主处理腔室。在一个实施方式中,主处理腔室包括上部和藉由离子过滤器(ion filter)与上部分隔开的下部;和在下部中围绕基座而形成的泵衬里(pump liner)。在一个实施方式中,仅有自由基的蚀刻处理工具亦可包括位于主处理腔室的下部的即时蚀刻速率传感器。在一个实施方式中,即时蚀刻速率传感器包括共振***和导电壳体。共振***可包括:共振体;第一电极,所述第一电极形成在共振体的第一表面之上;第二电极,所述第二电极形成在共振体的第二表面之上;和牺牲层,所述牺牲层形成在第一电极之上。在一个实施方式中,第一电极的至少一部分未被牺牲层覆盖。在一个实施方式中,导电壳体可固定共振***。另外,导电壳体接触第一电极,且导电壳体的内部边缘的至少一部分可与牺牲层隔开。
另外的实施方式可包括用闭环(closed loop)处理配方蚀刻基板的方法。在一个实施方式中,所述方法可包括在处理腔室中的基板上执行处理配方。处理配方可包括一个或多个处理参数和终点标准,终点标准可从位于处理腔室中的即时蚀刻速率传感器所获得的输出中确定。所述方法可接着藉由分析来自即时蚀刻速率传感器的一个或多个输出来确定是否满足终点标准而继续。实施方式可接着包括一旦满足终点标准就终止处理配方。在一些实施方式中,终点标准是移除的材料的总厚度,且来自即时蚀刻速率传感器的用于确定是否满足终点标准的一个或多个输出可为即时蚀刻速率传感器在执行处理配方之前的共振频率和在处理配方已经启动之后的即时蚀刻速率传感器的当前共振频率。
附图说明
图1是根据一个实施方式的用于实施仅有自由基的蚀刻处理的处理工具的示意图。
图2A是根据一个实施方式的可用于提供即时蚀刻速率监控的传感器的截面图。
图2B是根据一个实施方式的图2A中的传感器的平面图。
图2C是根据另外的实施方式的可用于对多于一种材料提供即时蚀刻速率监控的传感器的平面图。
图2D是根据另外的实施方式的可用于对多于一种材料提供即时蚀刻速率监控的传感器的平面图。
图3A是根据一个实施方式的用于实施仅有自由基的蚀刻处理的处理工具的示意图,其图示了传感器的位置。
图3B是根据另外的实施方式的用于实施仅有自由基的蚀刻处理的处理工具的示意图,其图示了集成到泵衬里中的传感器。
图4是描述根据一个实施方式的使用传感器进行闭环处理控制的处理的处理流程图。
图5是描述根据一个实施方式的使用传感器进行基板到基板的前馈处理控制的处理的处理流程图。
图6图示根据一个实施方式的可结合包括即时监控仅有自由基的蚀刻处理的蚀刻速率的处理而使用的示例性计算机***的方块图。
具体实施方式
根据各种实施方式描述了用于在仅有自由基的蚀刻处理中使用传感器来进行即时蚀刻速率监控的***和方法。在以下描述中,阐述了许多具体细节以便提供对实施方式的透彻理解。对于本领域技术人员而言,可在没有这些具体细节的情况下实践这些实施方式将是显而易见的。在其他情况下,为了不会不必要地模糊实施方式,没有详细描述众所皆知的方面。此外,应当理解附图中图示的各种实施方式是说明性的表示,且不一定按比例绘制。
如上所述,即时蚀刻速率监控提供了可用于改善蚀刻处理的各种处理结果的信息。尽管OES和吸收光谱学已经用于等离子体蚀刻操作,但是它们在仅有自由基的蚀刻处理中显著地受限。例如,在仅有自由基的蚀刻处理中,OES是不可行的,因为在基板被蚀刻的处理容积中没有来自自由基物质的显著的光发射。在吸收光谱学中,除了上述的视线要求之外,用于蚀刻的许多自由基物质对光的吸收在深紫外(UV)频率下是最强的。因此,测量所需的光学元件是复杂且昂贵的。此外,吸收光谱学中的信噪比较差,因为与背景母体分子相比,自由基相对较少。
如本文所使用的,仅有自由基的蚀刻处理是实质上依靠自由基物质移除材料的蚀刻处理。图1是根据一个实施方式的可用于实施仅有自由基的蚀刻处理的处理工具100的示意性截面图。在一个实施方式中,处理工具100可包括远程等离子体。一个或多个处理气体可经由阀(未示出)而流到远程等离子体腔室110中。处理气体可用功率源(如,射频源)而离子化,以形成包括离子124和自由基126的等离子体。接着可将等离子体经由远程等离子体传送区域112传送到主处理腔室116。在一个实施方式中,主处理腔室116可包括上部区域117和下部区域118。上部区域117和下部区域118可藉由离子过滤器122分开。在另外的实施方式中,离子过滤器122亦可位于在远程等离子体腔室110与主处理腔室116之间的传送区域112或另一中间腔室中。
根据一个实施方式,离子过滤器122可防止离子124通到主处理腔室116的下部区域118,同时允许自由基物质126通到主处理腔室116的下部区域118。例如,在上部区域117中,自由基物质126与离子124的比例可为大约1∶1,且在下部区域118中,自由基物质126与离子124的比例可为大约25,000∶1或更高。在一些实施方式中,自由基物质126与离子124的比例可为大约100,000∶1或更大。由于自由基126与离子124的高比例,使用此种处理工具100的蚀刻处理可被认为是仅有自由基的蚀刻处理。自由基物质126可接着与位于基座106上的基板105的表面相互作用。在一个实施方式中,可在基座106的周边周围形成泵衬里108,以引导自由基126朝向基板流动。应当理解图1中所示的仅有自由基的蚀刻处理工具100本质上是示例性的,并藉由移除本领域技术人员已知的部件(如,真空泵、加热元件、电子部件等)而高度简化,以便不模糊各个实施方式的各个方面。在具体实施方式中,仅有自由基的蚀刻处理工具100可为由加州(California)圣克拉拉市(Santa Clara)的应用材料公司(Applied Materials,Inc.)所制造的SelectraTM蚀刻***。
由于OES和吸收光谱学不是用于此种仅有自由基的蚀刻处理中的即时蚀刻速率监控的可行解决方案,因此本文所述的实施方式包括使用共振***进行蚀刻速率监控的传感器。共振***测量共振体的共振频率的变化。随着共振***的质量变化,共振体的共振频率单调地变化。当使用此类传感器来监控仅有自由基的蚀刻处理中的蚀刻速率时,共振***可包括在共振体的一个面之上所形成并且暴露于处理工具100中的自由基的牺牲膜。自由基蚀刻掉牺牲膜,且共振***的整体质量下降。共振***的质量的减小导致即时测量的共振体的共振频率的增加,且共振频率的变化率被转换为共振***的质量的变化率。由于膜材料的密度是已知的,所以共振***的质量的变化率可转换成厚度的变化率。
过去已经使用了使用共振***的传感器来为沉积处理(如,蒸发、溅射和类似者)提供即时沉积速率。然而,由于各种原因,先前的蚀刻解决方案不能够利用使用共振***的传感器。一个原因是先前的蚀刻处理(如,反应离子蚀刻(RIE))不是纯粹的材料移除处理。例如,当材料被移除时,额外的副产品可能再沉积到基板上。例如,在使用RIE的硅蚀刻中,聚合物可能被再沉积到表面上。此种聚合物改善了各向异性蚀刻,但是亦增加了基板的质量。因此,若在此种蚀刻处理中使用具有共振***的传感器,则因为将存在由聚合物再沉积而导致的质量增加,所以不能准确地确定被移除的膜的总质量。另外,等离子体中的离子和RF功率的存在会对来自传感器的信号产生显著的干扰。因此,与仅有自由基的蚀刻处理中的处理环境相比,具有合适的信噪比的可靠读取更难以获得。
现在参照图2A,根据一个实施方式,图示了可用于在仅有自由基的蚀刻处理期间提供即时蚀刻速率监控的传感器250的截面图。在一个实施方式中,传感器250可包括共振***261和壳体266。实施方式可包括共振***261,共振***261包括共振体262、第一电极264、第二电极265和牺牲层270。
共振体262可为当共振***261的质量改变时改变共振频率的材料。在一个实施方式中,共振体262可为压电材料。例如,共振体262可为石英,蓝宝石,诸如硅、锗、或其他III-V族半导体材料之类的半导体材料,锆钛酸铅(PZT),或类似者。
在一个实施方式中,共振***261可包括形成在共振体262的第一表面上的第一电极264和形成在共振体262的与第一表面相对的第二表面上的第二电极265。第一电极264和第二电极265可为任何合适的导电材料。在一个实施方式中,第一电极264和第二电极265可为铝或类似者。在所示的实施方式中,第一电极264和第二电极265不覆盖共振体262的整个表面,但是应该理解,实施方式不限于此种配置。例如,在一些实施方式中,第一电极264和第二电极265可覆盖共振体262的整个表面。另外,实施方式可包括具有不同表面积的第一电极264和第二电极265。例如,第二电极265可具有比第一电极264小的表面积。在又一个实施方式中,第一电极264和第二电极265的表面积可实质上相同。
在一个实施方式中,共振***261可包括形成在第一电极264的表面上的牺牲层270。牺牲层270的添加改变共振***261的质量,且因此改变共振体262的共振频率。因此,如上所述,由于在蚀刻处理期间牺牲层270的厚度减少,所以共振体262的共振频率单调地变化。在一个实施方式中,牺牲膜270是与待以仅有自由基的蚀刻处理而蚀刻的材料相同的材料。例如,牺牲膜270可为介电材料、半导体材料、或金属材料。
牺牲层270可以已知的厚度T形成。在一个实施方式中,牺牲层270的厚度T可大于将随后从正被处理的基板蚀刻掉的材料的厚度。由于蚀刻是减去的处理,增加牺牲层270的厚度T在传感器250需要更换或翻新之前增加了传感器250的寿命。例如,牺牲层270的厚度T可足以多次运行给定的蚀刻处理配方,而不需要更换传感器250。在一个实施方式中,可选择牺牲层270的厚度T以允许处理配方在牺牲层270被完全移除之前运行十次或更多次。另外的实施方式可允许处理配方在牺牲层270被完全移除之前运行一百次或更多次。另外的实施方式可允许处理配方在牺牲层270被完全移除之前运行1000次或更多次。在一些实施方式中,牺牲层可具有允许处理配方在牺牲层270被完全移除之前运行一万次的厚度T。
在一个实施方式中,牺牲层270形成在第一电极264的一部分之上,使得第一电极264的至少一部分保持暴露。第一电极的至少一部分被暴露以提供可与第一电极264形成电接触的位置。例如,牺牲层270可形成在第一电极264的中心,在牺牲层270的***周围留下第一电极264的暴露部分。
在一个实施方式中,共振***261可藉由壳体266来固定。在一个实施方式中,壳体266可为导电材料。在一个实施方式中,壳体266可提供在频率电桥(frequency bridge)267和第一电极264之间的电连接。在一个实施方式中,第二电极265可电耦接至频率电桥267。在一些实施方式中,壳体266可为接地,使得第一电极264保持在接地电位。
现在参照图2B,根据一个实施方式图示了传感器250的顶视图。在一个实施方式中,壳体266的内部边缘268与牺牲层270隔开间隙G。间隙G暴露第一电极264的一部分。在一个实施方式中,间隙G可为大约5mm或更少。在一个实施方式中,间隙G可为第一电极264的半径的约5%或更小。在所示的实施方式中,由于牺牲层270与壳体266中的开口具有相同的形状且基本上置中于壳体266的开口内,所以在壳体266的内部边缘268与牺牲层的周边之间的间隙G基本上是均匀的。然而,实施方式不限于此种配置,且间隙G在壳体的内部边缘268与牺牲层270的周边之间的所有点处可能不基本相同。例如,牺牲层270可为与壳体266中的开口不同的形状,和/或牺牲层270可基本上不置中于壳体266中的开口内。在实施方式中,在壳体266与第一电极264之间的连接不是连续的沿着内部边缘268;然而,在此类实施方式中,间隙G仍然存在于壳体266与第一电极264之间的一个或多个分立连接位置。
由于第一电极264在仅有自由基的蚀刻处理中暴露于自由基,所以实施方式包括第一电极264,第一电极264由基本上抵抗用于蚀刻牺牲层270的仅有自由基的蚀刻处理的材料而形成。否则,第一电极264可能与牺牲层270一起被蚀刻,并会出现若干问题。一个此类问题将是共振***261的质量变化将是第一电极264的材料损失和牺牲层270的材料损失之和。由此,共振体262的共振频率的变化将不对应于仅牺牲层270的蚀刻速率。此外,藉由仅有自由基的蚀刻处理移除第一电极264和/或壳体266减少了传感器250的可用使用寿命。
在所示实施方式中,传感器250被图示为具有包括单个牺牲层270的共振***261。然而,实施方式不限于此种配置。例如,可在第一电极264之上形成多个牺牲层2701-270n。在此类实施方式中,只要每个牺牲层相对于彼此选择性地具有高蚀刻,则单个传感器250可用于为几种不同的蚀刻配方提供即时蚀刻速率。例如,可监控氧化硅层的第一蚀刻,并接着可监控硅层的第二蚀刻而不需要改变QCM 250。包括多个牺牲层2701-270n的一些示例性实施方式在图2C和图2D的平面图中示出。
在图2C所示的实施方式中,多个牺牲层2702-270n围绕第一牺牲层2701以同心环的形式而形成。在一个实施方式中,每个牺牲层2701-270n可具有基本上相同的厚度。在另外的实施方式中,牺牲层2701-270n的两个或更多个可具有不同的厚度。提供具有不同厚度的牺牲层可在传感器250需要翻新之前允许更长的使用寿命。例如,在制造半导体装置中使用的处理可包括移除比对应于第二牺牲层2702的第二材料的厚度更大的对应于第一牺牲层2701的第一材料的厚度。如此,具有厚度大于第二牺牲层2702的厚度的第一牺牲层2701的传感器250可确保两个牺牲层在大致相同数量的基板已经被处理之后被完全消耗。
另外的实施方式包括多个牺牲层2701-270n,多个牺牲层2701-270n以除了同心环以外的图案在第一电极264的表面之上形成。例如,在图2D中,每个牺牲层2701-270n形成在不同的区域中。在一些实施方式中,每个牺牲层2701-270n可彼此间隔开。在其他实施方式中,每个牺牲层2701-270n可接触一个或多个其他牺牲层。此外,尽管每个牺牲层2701-270n图示为具有基本上相同的面积,但是实施方式不限于此种配置,且每个牺牲层2701-270n的面积可彼此不同。类似于关于图2C所描述的实施方式,每个牺牲层2701-270n的厚度可彼此基本相似,或每个牺牲层2701-270n的厚度可不同。
现在参照图3A,图示了根据一个实施方式的包括传感器250的处理工具300的示意图。在一个实施方式中,传感器250可藉由探针(probe)382而电耦接至位于处理工具300外部的监控器383。监控器383可包括用于监控共振的电路(如,频率电桥)及任何其他电子部件和/或用于即时监控蚀刻速率所需的电路。另外的实施方式可包括监控器383,监控器383与用于控制处理工具300中的蚀刻处理的计算机***(未图示)通信地耦接。在一个实施方式中,探针382可经由处理工具300中的端口(port)384而***。例如,探针382可插到接近基座306的处理工具300的下部区域318中。将传感器250定位在下部区域318中导致传感器250暴露于自由基而不与远程等离子体中所形成的离子实质相互作用。在一个实施方式中,传感器250可经定向为使得第一电极的面在处理期间实质上平行于其上可放置基板(未示出)的基座306的面。尽管在图3A中未示出,但是实施方式可包括位于泵衬里与基座306之间或位于泵衬里外部的传感器250。在一个实施方式中,传感器250可位于处理工具300中的允许牺牲层270的蚀刻速率是代表性的且与位于基座上的基板上所形成的材料的蚀刻速率相关的位置处。
在图3B所示的额外实施方式中,传感器250可被集成到处理工具300的下部区域318中的泵衬里308中。如图所示,探针382可延伸穿过泵衬里308中的开口,其中传感器250坐落在开口中。例如,第一电极的面可朝向基座306定向,且在处理期间实质上垂直于基板可放置在其上的基座306的表面。将传感器250与泵衬里308集成允许经过传感器250的自由基的流动实质上类似于经过在处理工具300中处理的基板(未示出)的自由基的流动。在一个实施方式中,传感器250可位于处理工具300中允许牺牲层270的蚀刻速率是代表性的且与位于基座上的基板上所形成的材料的蚀刻速率相关的位置处。在另外的实施方式中,传感器250可藉由延伸或缩回探针382而在处理工具300内移位。如图3B中的箭头所示,探针382可缩回,使得传感器250穿过闸阀(gate valve)385并从处理工具300的下部区域318移除。例如,阀385可将处理工具300的下部区域318与前腔室386分开。在一个实施方式中,前腔室386可为用于传感器250与下部区域318中的自由基隔离的存储腔室。因此,当传感器250位于前腔室386中时,传感器将不会暴露于用于移除牺牲层的蚀刻处理。在一个实施方式中,前腔室286可包括用于净化前腔室286的真空泵和气体管线。此种配置提供了几个额外的优点,其可增加传感器250的可用使用寿命和/或当在超过传感器250的可用使用寿命之后更换传感器250时,减少处理工具300的停工时间。
例如,在一些实施方式中,可能不需要为在处理工具300中处理的每个基板提供即时蚀刻速率监控(如,可仅针对每个批次的基板中的单个基板进行监控即时蚀刻速率,可针对每个第二、第三、第四、第五等等的基板,或任何其他期望的取样计划进行监控即时蚀刻速率)。由此,实施方式包括每当不需要有效的蚀刻速率监控时,将传感器250缩回到前腔室386中,以延长传感器250的可用使用寿命。由于前腔室386能够被清洗并被抽真空到处理压力(亦即,前腔室386可类似负载锁定而作用),所以主处理腔室不需要在传感器250的使用之间减压。此外,在原位腔室清洁操作期间,传感器250可藉由缩回到前腔室386中而被保护。因此,可在不损坏传感器250的情况下实施可能由于侵蚀性蚀刻化学作用而实质上减少传感器250的可用使用寿命的处理操作。
在包括前腔室386和闸阀385的实施方式中,传感器250亦可被替换而不需要减压和/或打开主处理腔室。相反地,前腔室386可被减压并打开,以取回经使用的传感器250并***替换传感器250。由于前腔室386比主处理腔室小,因此将前腔室386抽真空回到处理压力所需的时间降低。此外,主处理腔室不需要打开,且在更换传感器250之后不需要对主处理腔室进行调节(season)。
如上所述,即时监控蚀刻速率的能力提供了几个优点,这些优点改善了在处理单个基板或多个基板期间所使用的各种处理结果。例如,在一些实施方式中,即时蚀刻速率监控可允许闭环处理控制,闭环处理控制允许蚀刻处理配方取决于移除的材料的实际厚度。在另一个实施方式中,当启用即时蚀刻速率监控时,可实施在执行单个处理配方的多个处理工具之间的腔室匹配。额外的实施方式可允许在藉由使用基板到基板前馈控制来处理多个基板时,精炼蚀刻处理配方。进一步的实施方式可允许腔室健康监控,腔室健康监控允许更准确地确定何时需要处理工具维护。
在具体实施方式中,可使用基本上类似于上述那些的传感器250来实现用闭环处理控制而实施的蚀刻处理配方。以前,当即时蚀刻速率监控不能实施时,处理配方依赖于预设的处理持续时间。依赖于预设的持续时间可能是个问题,因为处理腔室中的条件可能在基板之间变化(如,由于腔室条件的变化、自由基流到下部区域中的不一致的流动、基板上的先前处理操作的不一致性等)。然而,包括传感器250(诸如本文所述的那些)的实施方式提供了实施非时间依赖性的闭环处理控制的能力。相反地,处理配方的终点可由移除的材料的实际厚度而确定。例如,若处理配方被设计成移除30nm的材料厚度,则一旦传感器250产生指示30nm的材料厚度已经从基板移除的输出,则处理配方可被终止,而不是根据时间大约从基板上移除材料而定。在图4中示出的处理流程图490中图示了使用闭环处理控制的示例性处理配方。
从处理操作491开始,实施方式可包括执行仅有自由基的蚀刻处理配方。在一个实施方式中,处理配方可包括将在蚀刻处理中使用的任何数量的处理参数。例如,处理配方可包括处理参数,诸如期望的基板温度、一种或多种处理气体的流量、处理腔室中的压力、或类似者。另外,处理配方可包括一个或多个终点标准。在具体实施方式中,一个终点标准可为可从传感器250所获得的输出中确定的参数。例如,终点标准可为从基板移除的材料的总厚度,其可藉由如上所述的共振体262的共振频率的变化而确定。
现在参考处理操作492,实施方式可藉由分析从传感器250所获得的输出来继续,以确定是否满足一个或多个终点标准。例如,传感器250可藉由比较共振体262的初始共振频率(在处理配方的启动之前)和共振体262的当前共振频率来确定是否已经从基板移除了期望厚度的材料。当期望的终点标准未被满足时,处理490可循环回到处理操作491且处理配方继续被执行。在一个实施方式中,处理操作492可基本上连续地或以预定的间隔(如,每5秒或更少、每1秒或更少、每0.01秒或更少、或任何其它期望的间隔)而实施。根据一个实施方式,一旦期望的终点标准已经被满足,则该处理可继续到处理操作493且可终止处理配方。
在另外的实施方式中,传感器250可用于提供腔室匹配。腔室匹配允许多个处理工具并行地处理多个基板。在适当匹配的腔室中,即使当在不同腔室中处理时,每个基板的处理结果亦基本相同。因此,在不同批次(lot)或批(batch)的基板之间的差异可最小化,并改善处理均匀性。例如,当每个处理工具利用传感器250来实施类似于上面关于图4所述的处理的闭环处理配方时,不管使用哪个处理工具,从每个基板移除的材料的厚度将基本上一样。因此,即使当处理工具由于处理条件的变化而具有不同的蚀刻速率时,处理结果仍可能高度一致。
根据另外的实施方式,利用传感器250的即时蚀刻速率监控亦可允许处理配方的基板到基板的前馈控制。这样的实施方式可能是特别有利的,因为即时蚀刻速率监控允许在处理每个基板之后修改处理配方。因此,即使处理工具内的处理条件发生变化(如,由于腔室清洁度,或类似者),仍然可获得均匀的处理结果。在图5中的处理流程590中图示了使用处理配方的基板到基板的前馈控制的处理的示例。
在一个实施方式中,该处理可藉由在处理腔室中的第一基板上执行处理配方而从处理操作591开始。在一个实施方式中,处理配方是用于仅有自由基的蚀刻处理的配方。在一个实施方式中,处理配方可包括将在蚀刻处理中使用的任何数量的处理参数。例如,处理配方可包括处理参数,诸如期望的基板温度、一种或多种处理气体的流量、处理腔室中的压力、或类似者。在一个实施方式中,处理配方可包括一个或多个终点标准。在一个具体实施方式中,一个终点标准可为可从传感器250所获得的输出而确定的参数。例如,终点标准可为移除的材料的总厚度,其可如上所述藉由共振体262的共振频率的变化而确定。
在一个实施方式中,处理流程前进到处理操作592,其中分析传感器250的输出以确定是否已经满足终点标准。若终点标准尚未被满足,则处理可返回到操作591,如图所示。当终点标准已经被满足时,程序可前进到处理操作593,且终止处理配方。之后,程序可前进到处理操作594,其中来自第一基板的处理的数据被向前馈送并被用于修改在第二基板上使用的处理配方。在一个实施方式中,处理配方可藉由改变处理配方的一个或多个处理参数来修改。例如,在处理配方的执行期间,若终点标准花费的时间比预期达到的时间长,则可修改处理配方的一个或多个处理参数以减少达到终点标准所需的时间(如,藉由增加基板温度、增加处理气体的流量等)。因此,实施方式可包括使用来自在第一基板上执行的处理配方的前馈信息,以修改在第二基板上使用的处理配方。如此,处理配方可被精炼,以允许以更有效的方式获得期望的结果。
此外,处理流程590可被扩展以允许处理腔室健康监控。具体地,如处理操作595所示,实施方式可包括将修改的处理配方与原始处理配方进行比较的额外操作,以确定是否存在足够大的变化以使得需要腔室维护。例如,将修改的处理配方与原始处理配方进行比较可包括计算在修改的处理配方中与原始处理配方中的一个或多个处理参数之间的差异。在一个实施方式中,腔室维护可包括对腔室状况的任何调整,诸如腔室清洁或更换和/或翻新已经被确定为在其使用寿命结束时的一个或多个部件。
在一个实施方式中,指示需要腔室清洁的显著变化可为当修改的处理配方中与原始处理配方中的一个或多个处理参数的差异超过预定阈值时。在一个实施方式中,预定阈值可为给定处理参数的最大百分比变化。例如,在给定的处理参数中,预定阈值可为25%的变化或更大。在一个实施方式中,每个处理参数可具有不同的预定阈值。例如,处理气体的流量的百分比变化的预定阈值可大于基板的温度的百分比变化的预定阈值。在另外的实施方式中,预定阈值可为给定处理参数的最大值或最小值。例如,基板温度的预定阈值可为最高温度。在又一个实施方式中,预定阈值的类型可取决于处理参数。例如,处理配方中的一个或多个处理参数可具有作为处理参数的最大百分比变化而给出的预定阈值,且处理配方中的其他处理参数可具有作为最大和/或最小值而给出的预定阈值。
现在参照图6,根据一个实施方式图示了处理工具的示例性计算机***660的方块图。在一个实施方式中,计算机***660耦接至处理工具并控制处理工具中的处理。计算机***660可连接(如,联网)到局域网(LAN)、内联网、外联网、或网际互联网中的其他机器。计算机***660可以客户端-服务器网络环境中的服务器或客户端机器的能力或作为对等(peer-to-peer)(或分布式)网络环境中的对等机器(peer machine)来操作。计算机***660可为个人计算机(PC)、平板PC、机顶盒(STB)、个人数字助理(PDA)、移动电话、网络设备、服务器、网络路由器、交换器或桥接器,或任何能够执行指令集(顺序地或以其他方式)的机器,这些指令集指定了由该机器要执行的动作。此外,尽管针对计算机***660仅图示单个机器,但术语“机器”亦应被理解为包括单独地或结合地执行指令集(或多个指令集)以执行本文所述的任何一种或多种方法的机器(如,计算机)的任意集合。
计算机***660可包括具有其上存储有指令的非暂态机器可读介质的计算机程序产品或软件622,指令可用于程序化计算机***660(或其他电子装置),以执行根据实施方式的处理。机器可读介质包括用于以机器(如,计算机)可读形式存储或传输信息的任何机构。例如,机器可读(如,计算机可读)介质包括机器(如,计算机)可读存储介质(如,只读存储器(“ROM”)、随机存取存储器(“RAM”)、磁盘存储介质、光学存储介质、闪速存储装置等),机器(如,计算机)可读传输介质(电子、光学、声波、或其他形式的传播信号(如,红外信号、数字信号等))等等。
在一个实施方式中,计算机***660包括***处理器602、主存储器604(如,只读存储器(ROM)、闪速存储器(flash memory),诸如同步DRAM(SDRAM)或Rambus DRAM(RDRAM)之类的动态随机存取存储器(DRAM)等)、静态存储器606(如,闪速存储器、静态随机存取存储器(SRAM)等)及辅助存储器(secondary memory)618(如,数据存储装置),上述装置经由总线(bus)630而彼此通信。
***处理器602代表一个或多个通用处理装置,诸如微***处理器、中央处理单元、或类似者。更具体地,***处理器可为复杂指令集计算(CISC)微***处理器、精简指令集计算(RISC)微***处理器、超长指令字(VLIW)微***处理器、实施其他指令集的***处理器、或实施指令集的组合的***处理器。***处理器602亦可为一个或多个专用处理装置,诸如专用集成电路(ASIC)、现场可编程门阵列(field programmable gate array,FPGA)、数字信号***处理器(DSP)、网络***处理器、或类似者。***处理器602经配置以执行处理逻辑626,以执行本文所述的操作。
计算机***660可进一步包括用于与其他装置或机器进行通信的***网络界面装置608。计算机***660亦可包括视频显示单元610(如,液晶显示器(LCD)、发光二极管显示器(LED)、或阴极射线管(CRT))、字母数字输入装置612(如,键盘)、光标控制装置614(如,鼠标)、和信号生成装置616(如,扬声器)。
辅助存储器618可包括机器可访问存储介质631(或者更具体地是计算机可读存储介质),在该机器可访问存储介质631上存储体现了本文所述的一个或多个方法或功能一个或多个指令集(如,软件622)。软件622亦可在由计算机***660执行期间完全或至少部分地驻留在主存储器604内和/或在***处理器602内,主存储器604和***处理器602亦构成机器可读存储介质。软件622可进一步经由***网络界面装置608在网络620上被发送或接收。
尽管机器可访问存储介质631在示例性实施方式中被图示为单个介质,但是术语“机器可读存储介质”应被理解为包括存储一个或多个指令集的单个介质或多个介质(如,集中式或分布式数据库和/或关联的高速缓冲存储器和服务器)。术语“机器可读存储介质”亦应被理解为包括能够存储或编码由机器执行的指令集并使机器执行任何一个或多个方法的任何介质。因此,术语“机器可读存储介质”应被认为包括(但不限于)固态存储器以及光学和磁性介质。
在前面的说明书中,已经描述了具体的示例性实施方式。显而易见的是,在不背离以下权利要求范围的情况下可对其进行各种修改。因此,说明书和附图被认为是说明性的而不是限制性的。

Claims (15)

1.一种即时蚀刻速率传感器,包括:
共振***,包括:
共振体;
第一电极,所述第一电极形成在所述共振体的第一表面之上;
第二电极,所述第二电极形成在所述共振体的第二表面之上;和
牺牲层,所述牺牲层形成在所述第一电极之上,其中所述第一电极的至少一部分未被所述牺牲层覆盖;和
导电壳体,所述导电壳体用于固定所述共振***,其中所述导电壳体接触所述第一电极,且其中所述导电壳体的内部边缘的至少一部分与所述牺牲层隔开。
2.如权利要求1所述的即时蚀刻速率传感器,其中所述牺牲层是在一个或多个仅有自由基的蚀刻处理中相对于所述第一电极选择性蚀刻的材料。
3.如权利要求2所述的即时蚀刻速率传感器,其中所述牺牲层是介电材料、半导体材料、或导电材料。
4.如权利要求1所述的即时蚀刻速率传感器,其中所述牺牲层不接触所述导电壳体。
5.如权利要求1所述的即时蚀刻速率传感器,进一步包括多个牺牲层,其中所述多个牺牲层的每一个牺牲层相对于彼此和所述第一电极具有蚀刻选择性。
6.如权利要求1所述的即时蚀刻速率传感器,其中所述共振体是石英、蓝宝石、硅、锗、或锆钛酸铅。
7.如权利要求1所述的即时蚀刻速率传感器,进一步包括:
频率电桥,所述频率电桥电耦接在所述第一电极与所述第二电极之间。
8.一种仅有自由基的蚀刻处理工具,包括:
远程等离子体腔室;
主处理腔室,所述主处理腔室耦接至所述远程等离子体腔室,其中所述主处理腔室包括:
上部:
下部,其中所述上部藉由离子过滤器与所述下部分隔开;和
泵衬里,所述泵衬里在所述下部中围绕基座而形成;和
即时蚀刻速率传感器,所述即时蚀刻速率传感器位于所述主处理腔室的所述下部中,其中所述即时蚀刻速率传感器包括:
共振***,包括:
共振体;
第一电极,所述第一电极形成在所述共振体的第一表面之上;
第二电极,所述第二电极形成在所述共振体的第二表面之上;和
牺牲层,所述牺牲层形成在所述第一电极之上,其中所述第一电极的至少一部分未被所述牺牲层覆盖;和
导电壳体,所述导电壳体用于固定所述共振***,其中所述导电壳体接触所述第一电极,且其中所述导电壳体的内部边缘的至少一部分与所述牺牲层隔开。
9.如权利要求8所述的仅有自由基的蚀刻处理工具,其中所述即时蚀刻速率传感器藉由穿过所述主处理腔室的所述下部中的端口的探针耦接至所述主处理腔室外部的监控器。
10.如权利要求9所述的仅有自由基的蚀刻处理工具,其中所述泵衬里定位在所述基座与所述即时蚀刻速率传感器之间。
11.如权利要求9所述的仅有自由基的蚀刻处理工具,其中所述探针穿过所述泵衬里中的开口,且其中所述即时蚀刻速率传感器穿过所述泵衬里坐落在所述开口中。
12.如权利要求9所述的仅有自由基的蚀刻处理工具,进一步包括:
前腔室,所述前腔室藉由闸阀与所述主处理腔室的所述下部分隔开,其中所述探针可穿过所述阀缩回,使得所述即时蚀刻速率传感器可在所述前腔室与所述主处理腔室的所述下部之间移动。
13.一种通过使用权利要求1-7中任一项所述的即时蚀刻速率传感器用闭环处理配方蚀刻基板的方法,包括以下步骤:
在处理腔室中的基板上执行所述处理配方,其中所述处理配方包括一个或多个处理参数和终点标准,所述终点标准可从位于所述处理腔室中的所述即时蚀刻速率传感器所获得的一个或多个输出确定;
藉由分析来自所述即时蚀刻速率传感器的一个或多个输出来确定是否满足所述终点标准;和
一旦满足所述终点标准就终止所述处理配方。
14.如权利要求13所述的方法,其中所述终点标准是移除的材料的总厚度,且其中来自所述即时蚀刻速率传感器的用于确定是否满足所述终点标准的所述一个或多个输出包括所述即时蚀刻速率传感器在执行所述处理配方之前的共振频率和在所述处理配方已经启动之后的所述即时蚀刻速率传感器的当前共振频率。
15.如权利要求14所述的方法,其中所述闭环处理配方利用多个处理腔室来实施,以提供在所述多个处理腔室之间的腔室匹配。
CN201780069691.2A 2016-11-14 2017-10-16 选择性蚀刻速率监控器 Active CN109937471B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202311000781.XA CN117198929A (zh) 2016-11-14 2017-10-16 选择性蚀刻速率监控器

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/351,437 US9978621B1 (en) 2016-11-14 2016-11-14 Selective etch rate monitor
US15/351,437 2016-11-14
PCT/US2017/056786 WO2018089175A1 (en) 2016-11-14 2017-10-16 Selective etch rate monitor

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN202311000781.XA Division CN117198929A (zh) 2016-11-14 2017-10-16 选择性蚀刻速率监控器

Publications (2)

Publication Number Publication Date
CN109937471A CN109937471A (zh) 2019-06-25
CN109937471B true CN109937471B (zh) 2023-08-22

Family

ID=62106702

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201780069691.2A Active CN109937471B (zh) 2016-11-14 2017-10-16 选择性蚀刻速率监控器
CN202311000781.XA Pending CN117198929A (zh) 2016-11-14 2017-10-16 选择性蚀刻速率监控器

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN202311000781.XA Pending CN117198929A (zh) 2016-11-14 2017-10-16 选择性蚀刻速率监控器

Country Status (8)

Country Link
US (3) US9978621B1 (zh)
EP (1) EP3539151A4 (zh)
JP (2) JP6849801B2 (zh)
KR (2) KR102460411B1 (zh)
CN (2) CN109937471B (zh)
SG (1) SG11201903378XA (zh)
TW (2) TWI772206B (zh)
WO (1) WO2018089175A1 (zh)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11551905B2 (en) * 2018-03-19 2023-01-10 Intel Corporation Resonant process monitor
CN109802649B (zh) * 2018-12-29 2023-04-11 开元通信技术(厦门)有限公司 一种监控空气隙型体声波谐振器空腔释放过程的方法
KR20210094196A (ko) 2020-01-20 2021-07-29 대덕전자 주식회사 실시간 금속필름 식각 분석 방법 및 장치
CN112885733B (zh) * 2021-03-12 2023-09-05 中南大学 利用高频无极石英晶体传感器监测刻蚀二氧化硅的***
KR102557583B1 (ko) 2021-04-21 2023-07-21 (주)위즈바이오솔루션 산화막 식각률 모니터링 장치 및 그 모니터링 방법
US20230195072A1 (en) * 2021-12-22 2023-06-22 Applied Materials, Inc. Disturbance compensation for substrate processing recipes
US11864299B2 (en) * 2022-05-10 2024-01-02 Applied Materials, Inc. System and method for dissipating workpiece charge build up

Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS52127169A (en) * 1976-04-19 1977-10-25 Fujitsu Ltd Monitoring method of etching amount in etching performance
JPS6355535U (zh) * 1986-09-29 1988-04-14
JPH02248042A (ja) * 1989-03-22 1990-10-03 Nec Corp ドライエッチング装置
JPH0475334A (ja) * 1990-07-18 1992-03-10 Anelva Corp エッチング終了の検知方法
US5665166A (en) * 1993-01-29 1997-09-09 Tokyo Electron Limited Plasma processing apparatus
JPH1092789A (ja) * 1996-09-13 1998-04-10 Nippon Steel Corp エッチング速度評価方法
US6654659B1 (en) * 2002-06-24 2003-11-25 Advanced Micro Drvices, Inc. Quartz crystal monitor wafer for lithography and etch process monitoring
EP1416247A1 (en) * 2002-11-01 2004-05-06 Applied Materials, Inc. Interferometric endpoint determination in a substrate etching process
KR20050064305A (ko) * 2003-12-23 2005-06-29 주식회사 하이닉스반도체 반도체소자 형성방법
JP2007115939A (ja) * 2005-10-21 2007-05-10 Hakuto Co Ltd ドライエッチング装置および方法ならびに膜付き水晶振動子
CN101111993A (zh) * 2005-01-28 2008-01-23 索尼株式会社 微型机器的制造方法以及微型机器
CN104518753A (zh) * 2013-10-01 2015-04-15 朗姆研究公司 使用建模、反馈和阻抗匹配来控制蚀刻速率
JP2016153757A (ja) * 2015-02-20 2016-08-25 ピエゾパーツ株式会社 成膜センサーユニット

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6355535A (ja) 1986-08-26 1988-03-10 Minolta Camera Co Ltd 原稿露光装置
US5282925A (en) 1992-11-09 1994-02-01 International Business Machines Corporation Device and method for accurate etching and removal of thin film
US6129807A (en) 1997-10-06 2000-10-10 Applied Materials, Inc. Apparatus for monitoring processing of a substrate
WO1999045587A2 (en) * 1998-03-02 1999-09-10 Koninklijke Philips Electronics N.V. Etching method
WO2000003421A2 (en) 1998-07-10 2000-01-20 Applied Materials, Inc. Improved endpoint detection for substrate fabrication processes
JP2001251160A (ja) * 2000-03-07 2001-09-14 Seiko Epson Corp 圧電振動片及びその製造方法
DE10113254A1 (de) * 2001-03-19 2002-10-02 Infineon Technologies Ag Mikromechanik-Sensorelement und elektrische Schaltungsanordnung
US7052622B2 (en) 2001-10-17 2006-05-30 Applied Materials, Inc. Method for measuring etch rates during a release process
US6830650B2 (en) 2002-07-12 2004-12-14 Advanced Energy Industries, Inc. Wafer probe for measuring plasma and surface characteristics in plasma processing environments
KR100590580B1 (ko) * 2005-03-21 2006-06-19 삼성전자주식회사 패턴된 강유전체 미디어의 제조방법
US7537976B2 (en) * 2005-05-20 2009-05-26 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of thin film transistor
JP4343875B2 (ja) * 2005-06-08 2009-10-14 Tdk株式会社 エッチング量計測装置、エッチング装置及びエッチング量計測方法
US7322243B2 (en) 2005-06-16 2008-01-29 Honeywell International Inc. Acoustic wave etch rate sensor system
US7293450B2 (en) * 2005-10-05 2007-11-13 Honeywell International Inc. Oil quality sensor structure for permanent applications
JP4756461B2 (ja) * 2005-10-12 2011-08-24 宇部興産株式会社 窒化アルミニウム薄膜およびそれを用いた圧電薄膜共振子
JP5194468B2 (ja) * 2006-03-07 2013-05-08 コニカミノルタホールディングス株式会社 有機薄膜トランジスタの製造方法及び有機薄膜トランジスタ
WO2007119556A1 (ja) * 2006-04-05 2007-10-25 Murata Manufacturing Co., Ltd. 圧電共振子及び圧電フィルタ
JP4144640B2 (ja) * 2006-10-13 2008-09-03 オムロン株式会社 振動センサの製造方法
JP2008218898A (ja) * 2007-03-07 2008-09-18 Hitachi High-Technologies Corp プラズマ処理装置
US7802466B2 (en) * 2007-11-28 2010-09-28 Sierra Sensors Gmbh Oscillating sensor and fluid sample analysis using an oscillating sensor
US8368308B2 (en) * 2009-03-05 2013-02-05 Applied Materials, Inc. Inductively coupled plasma reactor having RF phase control and methods of use thereof
JP5502360B2 (ja) * 2009-04-10 2014-05-28 スタンレー電気株式会社 酸化亜鉛系半導体素子及びその製造方法
US20100270262A1 (en) 2009-04-22 2010-10-28 Applied Materials, Inc. Etching low-k dielectric or removing resist with a filtered ionized gas
US8902023B2 (en) * 2009-06-24 2014-12-02 Avago Technologies General Ip (Singapore) Pte. Ltd. Acoustic resonator structure having an electrode with a cantilevered portion
US9673778B2 (en) * 2009-06-24 2017-06-06 Avago Technologies General Ip (Singapore) Pte. Ltd. Solid mount bulk acoustic wave resonator structure comprising a bridge
US9275838B2 (en) * 2009-09-02 2016-03-01 Lam Research Corporation Arrangements for manipulating plasma confinement within a plasma processing system and methods thereof
JP5685094B2 (ja) * 2011-01-25 2015-03-18 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US8575820B2 (en) * 2011-03-29 2013-11-05 Avago Technologies General Ip (Singapore) Pte. Ltd. Stacked bulk acoustic resonator
JP5817673B2 (ja) * 2011-11-18 2015-11-18 株式会社村田製作所 圧電薄膜共振子及び圧電薄膜の製造方法
US9136820B2 (en) * 2012-07-31 2015-09-15 Tdk Corporation Piezoelectric device
CN103023455A (zh) * 2012-11-20 2013-04-03 崔学晨 一种电极位于同一面的石英晶体振子
CN104347421A (zh) * 2013-08-07 2015-02-11 中芯国际集成电路制造(北京)有限公司 鳍式场效应管的形成方法
US9972477B2 (en) * 2014-06-28 2018-05-15 Applied Materials, Inc. Multiple point gas delivery apparatus for etching materials
JP6368214B2 (ja) * 2014-10-03 2018-08-01 太陽誘電株式会社 弾性波デバイス

Patent Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS52127169A (en) * 1976-04-19 1977-10-25 Fujitsu Ltd Monitoring method of etching amount in etching performance
JPS6355535U (zh) * 1986-09-29 1988-04-14
JPH02248042A (ja) * 1989-03-22 1990-10-03 Nec Corp ドライエッチング装置
JPH0475334A (ja) * 1990-07-18 1992-03-10 Anelva Corp エッチング終了の検知方法
US5665166A (en) * 1993-01-29 1997-09-09 Tokyo Electron Limited Plasma processing apparatus
JPH1092789A (ja) * 1996-09-13 1998-04-10 Nippon Steel Corp エッチング速度評価方法
US6654659B1 (en) * 2002-06-24 2003-11-25 Advanced Micro Drvices, Inc. Quartz crystal monitor wafer for lithography and etch process monitoring
EP1416247A1 (en) * 2002-11-01 2004-05-06 Applied Materials, Inc. Interferometric endpoint determination in a substrate etching process
KR20050064305A (ko) * 2003-12-23 2005-06-29 주식회사 하이닉스반도체 반도체소자 형성방법
CN101111993A (zh) * 2005-01-28 2008-01-23 索尼株式会社 微型机器的制造方法以及微型机器
JP2007115939A (ja) * 2005-10-21 2007-05-10 Hakuto Co Ltd ドライエッチング装置および方法ならびに膜付き水晶振動子
CN104518753A (zh) * 2013-10-01 2015-04-15 朗姆研究公司 使用建模、反馈和阻抗匹配来控制蚀刻速率
JP2016153757A (ja) * 2015-02-20 2016-08-25 ピエゾパーツ株式会社 成膜センサーユニット

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
等离子体微细加工技术的新进展;李效白;真空科学与技术学报(第03期);第27-34页 *

Also Published As

Publication number Publication date
US9978621B1 (en) 2018-05-22
JP6849801B2 (ja) 2021-03-31
KR102460411B1 (ko) 2022-10-31
SG11201903378XA (en) 2019-05-30
KR102316547B1 (ko) 2021-10-22
JP2021106272A (ja) 2021-07-26
TWI772206B (zh) 2022-07-21
US11257698B2 (en) 2022-02-22
JP2019536281A (ja) 2019-12-12
US10790175B2 (en) 2020-09-29
US20180138061A1 (en) 2018-05-17
EP3539151A1 (en) 2019-09-18
KR20190069616A (ko) 2019-06-19
TWI746694B (zh) 2021-11-21
JP7170765B2 (ja) 2022-11-14
CN109937471A (zh) 2019-06-25
TW201830516A (zh) 2018-08-16
US20180240692A1 (en) 2018-08-23
EP3539151A4 (en) 2020-06-03
US20200381280A1 (en) 2020-12-03
WO2018089175A1 (en) 2018-05-17
KR20210130249A (ko) 2021-10-29
TW202211323A (zh) 2022-03-16
CN117198929A (zh) 2023-12-08

Similar Documents

Publication Publication Date Title
CN109937471B (zh) 选择性蚀刻速率监控器
US11273469B2 (en) Controlling dry etch process characteristics using waferless dry clean optical emission spectroscopy
JP5636486B2 (ja) 多層/多入力/多出力(mlmimo)モデル及び当該モデルの使用方法
US10985078B2 (en) Sensor and adjuster for a consumable
TW201719749A (zh) 半導體製造設備中的可消耗零件之耗損偵測
KR20150015600A (ko) 다수의 발광분광기 센서를 갖는 플라즈마 공정 진단장치 및 이를 이용한 진단방법
KR20180085371A (ko) 고속 이미징에 의한 플라즈마 파라미터들 및 스큐 특성화
US20170076916A1 (en) Cycle-averaged frequency tuning for low power voltage mode operation
US10854433B2 (en) In-situ real-time plasma chamber condition monitoring
US6939433B2 (en) Sample processing apparatus and sample processing system
KR102252529B1 (ko) 반도체 제조 설비 점검을 위한 기준값 결정 장치 및 방법
JP4520820B2 (ja) 試料処理装置及び試料処理システム
JP5675195B2 (ja) プラズマ処理装置及びプラズマ処理方法
JP3637041B2 (ja) 試料処理装置及び試料処理システム
TW202312792A (zh) 基於電漿之製程的原位監測及控制

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant
CB03 Change of inventor or designer information
CB03 Change of inventor or designer information

Inventor after: Philip Allen Klaus

Inventor after: Timothy J. Franklin

Inventor before: Philip Allen Klaus

Inventor before: Timothy L. Franklin