TWI759412B - 用以旋轉及平移處理室中之基板的系統及方法 - Google Patents

用以旋轉及平移處理室中之基板的系統及方法 Download PDF

Info

Publication number
TWI759412B
TWI759412B TW107103021A TW107103021A TWI759412B TW I759412 B TWI759412 B TW I759412B TW 107103021 A TW107103021 A TW 107103021A TW 107103021 A TW107103021 A TW 107103021A TW I759412 B TWI759412 B TW I759412B
Authority
TW
Taiwan
Prior art keywords
substrate
microelectronic substrate
rocker arm
pivot
moving
Prior art date
Application number
TW107103021A
Other languages
English (en)
Other versions
TW201839885A (zh
Inventor
傑佛瑞 W 布特鮑夫
Original Assignee
美商東京威力科創Fsi股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商東京威力科創Fsi股份有限公司 filed Critical 美商東京威力科創Fsi股份有限公司
Publication of TW201839885A publication Critical patent/TW201839885A/zh
Application granted granted Critical
Publication of TWI759412B publication Critical patent/TWI759412B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • B08B3/04Cleaning involving contact with liquid
    • B08B3/10Cleaning involving contact with liquid with additional treatment of the liquid or of the object being cleaned, e.g. by heat, by electricity or by vibration
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B11/00Cleaning flexible or delicate articles by methods or apparatus specially adapted thereto
    • B08B11/02Devices for holding articles during cleaning
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • B08B3/02Cleaning by the force of jets or sprays
    • B08B3/024Cleaning by means of spray elements moving over the surface to be cleaned
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • B08B3/04Cleaning involving contact with liquid
    • B08B3/041Cleaning travelling work
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • B08B3/04Cleaning involving contact with liquid
    • B08B3/08Cleaning involving contact with liquid the liquid having chemical or dissolving effect
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02101Cleaning only involving supercritical fluids
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67051Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly spraying means, e.g. nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H02GENERATION; CONVERSION OR DISTRIBUTION OF ELECTRIC POWER
    • H02NELECTRIC MACHINES NOT OTHERWISE PROVIDED FOR
    • H02N15/00Holding or levitation devices using magnetic attraction or repulsion, not otherwise provided for

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Combustion & Propulsion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Robotics (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

本文中揭露與搬運系統相關的系統及方法,其係用以在處理期間在處理室內移動半導體基板。搬運系統使基板圍繞樞轉點以弧形運動在兩位置之間來回移動,且同時使基板圍繞自身中心點旋轉。

Description

用以旋轉及平移處理室中之基板的系統及方法
本揭露內容相關於在處理期間在處理室內移動半導體基板的搬運系統,且尤其相關於用以旋轉及平移處理室中之基板的系統及方法。相關申請案之交互參考
本申請案主張2017年1月27日申請、名為「Systems and Methods for Rotating and Translating a Substrate in a Process Chamber」之美國臨時申請案第62/451,499號的優先權,且其係整體內容併入於此,以供參考。
IC製造可藉由諸多材料在微電子基板上的塗佈及選擇性移除而加以實施。製造製程的一實施態樣可包含將微電子基板的表面曝露至清洗處理物,以從微電子基板移除製程殘留物及/或碎屑(例如,微粒)。已發展諸多的乾式及濕式清洗技術,以清洗微電子基板,從而改善生產良率及元件性能。然而,主動元件日益增加的密度(例如,較小的元件特徵部)已使得元件相較於過去更容易由於動力清洗處理物而受到實體損傷,且由於更小的微粒而受到良率損失。因此,將期望在不損傷基板上之敏感結構的情況下,達成較小微粒及/或相對較大微粒的移除。
在過去,低溫清洗設備的常見硬體配置已利用噴管或噴嘴來分配清洗化學成分至基板。在一些之前的方法中,基板係在噴管或噴嘴下方進行平移,以使處理物覆蓋範圍最大化,從而以有效率的方式均勻地清洗基板。此方法導致將處理室設計成具有能夠使整個基板在局域化製程噴塗流或射流下方平移的內部容積,使得製程噴塗流將以最小停留時間穿過基板的整個直徑,以達成期望的微粒移除效率(PRE, particle removal efficiency)。例如,處理室包含具有滑動或穩定桿的線性滑動台,以使承載線性滑動台移動穿過噴塗流,使得整個基板將穿過噴塗流。桿具有易於發生密封洩漏且導致微粒及製程問題的彈簧賦能密封件(spring energized seal)。桿的摩擦表面區域係需要潤滑的潛在微粒源,其引入額外的污染及微粒問題。在該等實施例中,處理室係比基板之直徑的兩倍更長,以達成期望的PRE結果。然而,線性平移元件(例如,桿)的尺寸需要比基板大得多的腔室容積,且當基板直徑的尺寸增加時,該線性平移元件的尺寸使處理室內的摩擦表面區域增加。此外,較大的腔室容積增加了成本(例如,更長的抽空時間、更多的化學物、及更大的佔地面積(footprint)),但在製程性能方面卻無對應的增加。
因此,將期望針對處理室設計之使表面處理覆蓋範圍最大化、改善微粒移除效率、降低製程循環時間、降低化學成本、及/或降低設備成本的任何改善。
本文描述用以在處理室內搬運基板之系統及方法的實施例,以消除具有滑動密封件之線性平移桿的需要,並且使處理整體基板時的總移動範圍(movement footprint)最小化。移動範圍係處理期間之基板的移動所覆蓋之二維面積的最大量值。使移動範圍最小化係藉由無需使基板線性平移的搬運機構達成。廣義上,搬運機構整合基板之兩同時的徑向移動以使2-D移動最小化,同時使基板充分地曝露至清洗化學成分以達成期望的PRE。搬運機構的旋轉中心係位於搖擺臂的相反末端處。搖擺臂的第一末端包含樞轉點(例如,第一旋轉中心),且搖擺臂的相反末端包含將基板固定至搖擺臂的基板固持器。基板固持器包含旋轉機構(例如,第二旋轉中心),其使得基板能夠圍繞其自身的中心點旋轉。基板搬運系統係設計成將基板的正面定位於化學分配點下方、使基板圍繞其自身中心點旋轉、同時使旋轉的基板以弧形運動圍繞樞轉點移動。
本文中描述的系統可提供優於先前方法中所使用之線性運動系統的若干優點。例如,使水平移動最小化可達成處理室之較小的2-D佔地面積,這可容許添加更多的腔室至單一的主體框架,且/或減小主體框架的尺寸。較短的行進距離藉由縮短電線的長度而達成更簡潔的線路配置,並且消除了用以達成較長平移移動之拖鏈線路配置硬體的需要。進一步講,所揭露的系統設計使得能夠使用較簡單的旋轉饋通技術(包含鐵流體或旋轉滑動密封件)來減少處理室內之潛在微粒源數目及尺寸。較小的自動機器佔地面積減少真空腔室內的摩擦表面區域,且潛在的微粒源(例如,移動部件、密封件等)可設置成較接近真空埠,以在微粒可到達基板之前將微粒移除。
系統可用以處理半導體基板,其係用以建立針對任何目的或應用的電學元件。系統可包含具有內部容積的處理室,該內部容積能夠維持於次大氣壓力,同時在內部容積內處理或移動基板。在一實例中,系統可配置成具有流體噴嘴,該流體噴嘴係設計成在處理期間分配流體或氣體,且係設置成與固定至系統的基板相反,或在其上方。系統包含樞轉元件,該樞轉元件包含在一末端處設置有基板固持器且在相反末端處設置有樞轉點的搖擺臂。樞轉元件包含耦接至樞轉點的步進馬達,且使搖擺臂圍繞樞轉點以弧形運動在分隔不超過145˚的兩位置之間旋轉。結合該樞轉運動,基板固持器包含使基板圍繞其自身中心點旋轉的旋轉系統。系統可包含具有確保樞轉點與基板中心點呈水平偏離之任何長度的搖擺臂,其中垂直於基板表面的垂直線無法繪製穿過樞轉點及基板中心點。樞轉元件可包含腔室內側元件(例如,基板固持器或卡盤等)與腔室外側元件(例如,旋轉/振盪馬達等)的組合,以達成基板在處理室內的移動。
在一實施例中,樞轉元件係配置成使搖擺臂圍繞樞轉點來回移動,使得搖擺臂的相反末端使基板以弧形運動在分隔小於145°(自樞轉點量測)的兩徑向位置之間旋轉。支撐元件可包含用於微電子基板的支撐表面,以將微電子基板固定至支撐元件。在一特定的實施例中,基板旋轉元件可耦接至支撐表面,其使得支撐表面能夠圍繞支撐表面的中心點旋轉。在此實例中,中心點係與搖擺臂的樞轉點在水平方向上、在垂直方向上、及/或在徑向上偏離,使得基板的旋轉中心不同於搖擺臂的旋轉中心。基板旋轉可藉由設置於基板支撐元件或搖擺臂內的步進馬達或磁浮馬達達成。在一特定的實施例中,搖擺臂可藉由樞轉桿(例如,軸、管等)而耦接至旋轉馬達,該樞轉桿使得搖擺臂能夠與馬達偏離,從而使得旋轉馬達可設置於內部容積的外側。在另一實施例中,樞轉元件可配置成使搖擺臂圍繞樞轉點在至少兩位置之間振盪,從而至少部分地基於流體噴嘴相對於基板的相對位置、及/或基板的直徑而使基板範圍內之處理流體的覆蓋範圍最大化。
在一實施例中,利用樞轉元件的處理方法可包含利用搖擺臂旋轉馬達,以將搖擺臂定位至處理室內的裝載/卸載位置。基板搬運器將微電子基板定位於耦接至搖擺臂的旋轉元件(例如,支撐表面)上。在透過任何機械或電學手段將基板固定至搖擺臂之後,搖擺臂可定位至處理室內所設置之噴嘴下方或附近的初始起始位置。在一特定的實施例中,在分配處理流體之前,基板係定位成使基板的任何表面區域避免位於噴嘴的正下方。一旦定位,基板可開始圍繞其中心點以預定的徑向速度旋轉。同樣地,在製程處理氣體透過流體噴嘴流入處理室中之前,系統控制器將確保滿足任何其他的預定配方條件(例如,腔室壓力、製程氣體溫度、進入氣體壓力、或其組合)。在此實施例中,系統控制器將指示樞轉元件以使搖擺臂圍繞樞轉點以弧形運動在處理室內的二或更多位置之間以預定的時間及角速度樞轉。在多數基板清洗實施例中,二或更多位置之間的旋轉角度將小於360˚,且通常小於145˚。
本文中所揭露的系統及方法可適用於任何的半導體元件製造操作,其將受益於改善的移動效率及更小的腔室或工具佔地面積。本揭露內容的範疇不限於次大氣處理應用,且本文中所揭露之次大氣實施例僅係針對例示性及說明性目的。
圖1包含用以藉由使基板以獨特方式在腔室內相對於(複數)分配源移動而處理微電子基板的例示性處理系統的示意圖。尤其,在具有單一分配源(其具有能夠處理基板之分離部分的濃縮流體流)的實施例中,如此地使基板來回移動改善了晶圓製程結果。然而,搬運系統不限於單一分配源的處理,且可與複數源分配處理一起使用,以達成更均勻的化學處理(例如,清洗、沉積、蝕刻等)。就例示性及說明性目的而言,處理系統係描述為低溫清洗系統,但不意圖將申請專利範圍的範疇限制於清洗實施例。例如,本文中所揭露的系統及方法可適用於沉積、蝕刻、軌道、計量、圖案化、或製作電學元件所使用的任何其他處理技術。
廣義上,本文中所揭露的基板搬運系統包含具有兩同時旋轉構件的嵌套式旋轉機構,以使基板在化學處理環境內移動。機構包含圍繞位於樞轉臂之一末端處的樞轉點來回振盪的樞轉臂。能夠固定基板且使基板圍繞其中心點旋轉的基板支撐件元件係設置於樞轉點的相反末端處。樞轉及旋轉運動的組合在相對小的表面區域內達成最廣泛的表面曝露。據此,較小的表面區域使處理室佔地面積及容積最小化,這在製程優點之外還可改善循環時間、化學成本、及主框架佔地面積。
返回至圖1,處理系統係繪示為能夠利用低溫冷卻流體從微電子基板102清洗微粒、污染物、或膜層的清洗系統100。處理室106可配置成接收來自一或更多流體源108的(複數)加壓流體,該等加壓流體係在透過噴嘴112流入或膨脹進入內部容積114(其係藉由耦接至處理室106的真空系統136而維持於相對低的壓力)之前,藉由流體冷卻系統或低溫冷卻系統110(例如,液體氮)加以冷卻。如橫剖面側視圖138中所示,低溫冷卻流體可導向至固定至搬運系統104(其係設置於處理室106內)的基板102。在一實施例中,用於冷卻流體的氣體管線係隔離的,以使溫度變化最小化且防止凝結。
在此實施例中,單一噴嘴112係用以分配處理流體至內部容積中。在一些實例中,噴嘴之出口直徑與基板直徑之間的尺寸差異可非常大(例如,>50倍)。據此,在一些實例中,所分配的流體僅能夠清洗相較於基板102尺寸之相對小的區域。在過去,此問題係藉由使基板102在噴嘴112下方進行線性平移及旋轉加以補償。然而,以此方式處理基板102需要使基板在長距離範圍(例如,至少為直徑的2倍)平移,以處理整個基板102並維持期望的PRE。行進距離指定具有足夠尺寸的內部容積114,以使基板在不接觸處理室106之側壁的情況下移動。行進距離及腔室容積可能不利地影響處理製程循環時間,因為行進較大的距離及泵抽/排淨較大的容積花費較多的時間。據此,降低行進距離及處理室106容積可有利地影響循環時間,且使清洗系統100的操作更具成本效益。
在本文中揭露意圖降低基板之水平或2D行進距離的搬運系統104,其達成內部容積114的減小。搬運系統104的距離及容積優點係藉由能夠使基板104圍繞樞轉點以弧形運動在噴嘴112下方來回旋轉、且同時使基板102圍繞其自身中心點旋轉的機構而達成。噴嘴112可定位成在處理期間避免穿過基板的中心點。
搬運系統104藉由利用一端固定至樞轉桿120、且相反端固定至基板支撐元件122的搖擺臂118而實施基板102之兩徑向移動的組合。樞轉桿120係來回旋轉不超過145°,使得搖擺臂118的遠端沿著搖擺臂118之半徑及樞轉桿120之旋轉角度所形成的弧移動。以此方式,在基板102的製程處理期間,當從上方或從噴嘴112審視時,呈現為圍繞樞轉桿120以弧形運動進行樞轉。如此之樞轉運動係藉由圖1中的樞轉箭頭134而指示。樞轉桿120係搬運系統104的第一旋轉中心。
搬運系統的第二旋轉中心係位於基板支撐元件122的中心處,該基板支撐元件122係配置成利用機械及/或電學方式固定基板102。基板支撐元件係配置成容許基板102圍繞其自身中心點旋轉。在此實例中,旋轉系統124係耦接至支撐元件122,且能夠使基板102按照圖1中所示的旋轉箭頭130所指示進行旋轉。基板的旋轉速度、及搖擺臂的角速度、及其他的清洗系統100的操作可經由控制器116加以控制,該控制器116能夠執行在記憶體140中儲存且在電腦處理器142上執行的指令,以在處理室106內實施任何處理技術。
具有半導體處理領域之通常技術者能夠配置清洗系統100(例如,(複數)流體源108、低溫冷卻系統110、真空系統136、控制器、及其分別的子元件(未顯示,例如感測器等)),以實施處理室106中所執行的任何處理。
在圖1的實施例中,旋轉系統124係顯示為嵌於搖擺臂118中,以使來自旋轉系統124之移動部件的污染物最小化。然而,在其他實施例中,旋轉裝置可耦接至搖擺臂118的背面(如圖2中所示),或整合至搖擺臂118之正面上的基板支撐元件122中。搖擺臂的正面係面對噴嘴,且搖擺臂118的背面係與其正面相反。
圖2繪示圖1中所示之處理室106及搬運系統104的例示性實施例的橫剖面側視圖。圖2的實施例共用與操作圖1之清洗系統100所用元件有關的一些共同實施態樣。例如,處理室106外側的操作元件(例如,控制器116等)可適用於圖2中所繪示的樞轉系統200。類似地,樞轉系統200的一些內部元件可以類似於圖1中所述的方式加以使用或操作。例如,共同的內部元件可包含但不限於噴嘴112及內部容積114。此外,圖1之實施例中所述的基板102的移動能力可藉由樞轉系統200而以類似方式加以實施。同樣地,樞轉系統200的概念及元件亦可適用於圖1的清洗系統100及/或處理室106。
在圖2中,樞轉系統包含容納內部容積114之處理室106的側壁202,以輔助達成清洗系統100的次大氣處理。側壁202將樞轉系統200的一部分包覆於內部容積114內,且係配置成具有真空密閉通孔部204,以將內部部分與外部部分連接。通孔部204可為旋轉滑動密封件或鐵流體密封件,以容許樞轉元件200的外部部分(例如,馬達)被曝露至大氣條件,同時使得內部部分能夠操作於次大氣環境中。側壁202可包含其他額外的真空密閉入口及出口,以在處理期間將化學物分配至內部容積114中,或排空該等化學物。例如,噴嘴112係耦接至將處理化學物輸送至處理室106的流體源108及氣體分佈網路。連接至噴嘴112的氣體管線或噴嘴112本身可設置於側壁202內,而達成待維持於內部容積114內的真空密閉密封。設置於側壁202內的真空埠206係連接至真空系統136,以將分配進入內部容積114中的流體排空。在圖2的實施例中,真空埠206係設置於基板102下方,從而具有在微粒可能影響基板102之前將樞轉系統200所產生之微粒移除之較大的可能性。此外,將真空埠206定位於基板之弧形運動的下方或對面可改善基板102範圍內的流體流動均勻性,這可提供更均勻的PRE結果。在一特定的實施例中,真空埠206可設置於下部或底部側壁202上,位於藉由樞轉系統200之樞轉元件208所達成之基板之弧形行進路徑的中點處。
廣義上,樞轉元件208在處理之前固定基板102,以及然後使基板102在噴嘴112下方以徑向弧形運動來回移動,且同時使基板102圍繞其自身中心點旋轉。樞轉元件208及噴嘴112可定位成促進期望的處理結果。例如,在一實施例中,噴嘴112及樞轉元件208係相對於彼此定位成使得噴嘴112在由樞轉元件208所執行之弧形運動的中間處穿過基板的中心點。然而,在其他實施例中,噴嘴112可與弧形運動的中心點橫向偏離,使得噴嘴112避免穿過基板102的整個直徑。以此方式,基板在處理期間的水平行進距離可被最小化,且可達成針對樞轉元件208之較小的內部容積114。然而,噴嘴112的位置可取決於清洗系統100或其他應用的期望性能而改變。例如,噴嘴112相對於樞轉元件208的位置可與處理的製程能力及擁有成本(例如,循環時間、化學消耗、佔地面積等)達到平衡。在一些實例中,處理應用可受益於噴嘴112穿過整個基板102直徑,但在其他處理應用中,較小內部容積114及較短水平或橫向行進距離的成本效益可能不負面地影響處理結果。
在圖2的實施例中,基板102係經由基板支撐元件210而固定至樞轉元件208,該基板支撐元件210係設置於搖擺臂118的一末端,在該搖擺臂118的相反末端處,該搖擺臂118係耦接至樞轉桿120。基板102的弧形運動係藉由擺動元件212加以驅動,該擺動元件212係耦接至樞轉桿120的遠端,且擺動以使樞轉桿120在分隔小於145°的至少兩位置之間來回旋轉。此外,耦接至搖擺臂118之背面及/或基板支撐元件210的基板旋轉元件214驅動基板102圍繞其自身中心點的360°旋轉。
基板支撐元件210可包含用以固定基板102的卡盤或支撐表面,以防止處理期間之任何不受控制的移動。卡盤可使用任何機械、電學、及/或氣壓方式將基板102夾持或固定至卡盤。在當搖擺臂118及基板102旋轉時的期間,卡盤應能夠固定卡盤。
在一實施例中,基板旋轉元件214可耦接至搖擺臂118的背面及/或基板支撐元件210。旋轉元件214可包含具有旋轉驅動軸的步進馬達,利用旋轉軸承機構使卡盤或支撐表面旋轉,使得基板卡盤或支撐表面可圍繞其自身中心點旋轉。步進馬達係電性耦接至可視需要調整旋轉速度的控制器116。
在另一實施例中,旋轉元件214可包含磁浮系統(未顯示),該磁浮系統可磁性耦接至基板卡盤或支撐表面。懸浮系統可包含徑向磁性軸承,以磁性地控制水平、垂直、及徑向方向上的基板卡盤移動。例如,每一移動方向係藉由對應的磁體群組而獨立地加以控制,以使基板卡盤升降、穩定、及旋轉。每一群組係設計成控制特定的移動方向,且係經由控制器116加以協調,以使基板卡盤在不與樞轉系統200之任何其他元件實體接觸的情況下旋轉。
在另一實施例中,基板卡盤或支撐表面可包含加熱元件(未顯示),以在低溫冷卻處理期間加熱基板102。加熱步驟可用以防止由可能在基板102內達成溫度梯度之冷卻處理流體所導致的基板102翹曲。在一些實例中,溫度梯度係大至足以誘發足夠的應力,從而導致基板102變形。在一些實施例中,加熱基板102可改善處理性能,例如在清洗處理期間移除微粒、防止微粒的再附著、在蝕刻處理期間移除材料、或在沉積處理期間沉積材料。在一實施例中,加熱元件可包含耦接至搖擺臂118且定位成鄰近基板卡盤之旋轉部位但不與基板卡盤之任何旋轉部位實體接觸的電阻元件。
如圖2中所示,基板支撐元件210係耦接至搖擺臂118的一末端,且樞轉桿120係耦接至相反末端。搖擺臂118及樞轉桿120形成由擺動元件212驅動的簡單機構,以在內部容積114內經由預定的運動使基板102移動。搖擺臂118及樞轉桿120的連接部形成樞轉點,基板102係圍繞該樞轉點而於內部容積114內在兩位置之間旋轉。在此實施例中,該兩位置係選擇成容許噴嘴112在不穿過基板中心點的情況下穿過基板102。據此,搖擺臂的長度係取決於基板102的直徑及噴嘴112在內部容積114內的位置。一般地,搖擺臂118的臂半徑應大於或小於樞轉點與噴嘴112之間的水平距離,以防止噴嘴112在處理期間穿過基板的中心點。樞轉桿120的長度係取決於擺動元件212的位置、以及噴嘴112與基板102之間的任何期望間隙。
在一實施例中,搖擺臂118及樞轉桿120係形成有內部容積,以容許電線或氣壓線抵達基板卡盤。電線及氣壓線可用以控制基板卡盤之基板102的旋轉及夾持。搖擺臂118及樞轉桿120可由具有以下強度及組成的任何適當材料製成:承受施加至該搖擺臂118及樞轉桿120的任何力、適當地抵抗與導入內部容積114中之處理流體的任何化學反應、以及防止處理流體到達該搖擺臂118及樞轉桿120的內部容積。
擺動元件212可為可使樞轉桿120可靠地以分隔小於145°呈來回運動進行旋轉的任何適當的電學/機械裝置。例如,具有適當扭矩及響應的步進馬達容許基板102以高達50˚/s的角速度沿著搖擺臂118之自由端的弧形路徑進行移動。圖3繪示在處理製程的諸多階段期間,從橫剖線AA-AA所見之樞轉系統的俯視圖。
圖3係樞轉系統200的例示性實施例,其繪示在內部容積114中進行處理期間,從圖2中之線AA-AA所見之處於諸多位置之樞轉元件208的俯視圖。在圖3中,樞轉元件208係描繪成搖擺臂118、樞轉桿120、及載有基板102的基板支撐元件210。樞轉元件208係設置於由側壁202及轉移門300(圖2中不可見)所代表的處理室106內,該轉移門300係用以將基板102移動進出處理室106的開口。轉移門300利用電學/機械/氣壓致動器,以打開及閉合轉移門300,從而在側壁202中建立容許基板102移動進出處理室106的開口。當樞轉元件208係位於裝載位置302時,轉移機構(未顯示)將從基板支撐元件210取放基板102。一般地,裝載位置302係鄰近轉移門,以使轉移機構進入內部容積的行進距離最小化,但處理室106並不要求指定的裝載位置302與處理位置隔開,且處理室106僅係為便於提出處理室106內的可能位置之說明而以此方式顯示。
在一實施例中,在開始處理之前,樞轉元件208可從裝載位置302移動至第一位置(例如,起始位置)304。在此實例中,在於內部容積114內分配處理流體之前,噴嘴112係定位成剛好偏離基板102的邊緣。在處理流體從噴嘴112分配之前,基板支撐元件210可開始使基板102旋轉。一旦處理流體的流動及製程壓力已穩定,樞轉元件208將使搖擺臂118旋轉至第二位置306,這將基板102的一部分帶至噴嘴112的正下方。在處理的整個過程中,樞轉元件208將在第一位置304與第二位置306之間來回樞轉,持續期望的預定時間量,且可停止於第一位置304或第二位置306。基板102將在處理的整個過程中圍繞樞轉點(例如,樞轉桿120)以弧形運動308進行移動。在流體分配結束之後,基板102將停止旋轉,且搖擺臂118將移動至裝載位置302,以使基板102可被轉移機構拿取。
圖4包含利用樞轉系統200之基板處理方法的流程圖400。本文中所揭露的方法可利用控制器116在樞轉元件208上實施,該控制器116執行儲存於非暫態媒體(例如,記憶體)中的電腦-處理器可執行指令,該等指令引導基板102移動及清洗系統100元件,以實施基板102上的處理條件。除非以其他方式指示,否則方法之步驟的順序係例示性且該等步驟可按照諸多順序進行。
在方塊402,樞轉元件208將使搖擺臂118定位於裝載位置302,以在處理室106(例如,處理室)內接收微電子基板。轉移自動機器將使基板102移動通過轉移門。
在方塊404,轉移自動機器將使微電子基板102定位於耦接至搖擺臂118的旋轉元件(例如,基板支撐元件210)上。旋轉元件將利用任何電學、機械、及/或氣壓方式使微電子基板102固定至樞轉元件208。
在方塊406,樞轉元件208使微電子基板102定位於在處理室內所設置的(複數)低溫噴嘴下方或面對該(複數)低溫噴嘴。例如,基板102可定位於第一位置304或第二位置306,以準備進行處理。
在方塊408,樞轉元件開始使微電子基板102圍繞該微電子基板102的中心點旋轉。取決於處理要求,基板支撐元件210可使基板以介於10rpm與300rpm之間的旋轉速度進行旋轉。控制器116可包含樞轉速度元件,該樞轉速度元件可包含硬體、韌體、軟體、或其組合,以調整角速度,從而改變噴嘴112在基板102之範圍的停留時間。例如,控制器116可執行指令,以至少部分地基於流體噴嘴112相對於微電子基板102之中心點的相對位置而改變角速度。例如,當流體噴嘴112係在距離中心點的特定距離以內時,搖擺臂118的角速度可較快,且當流體噴嘴112較遠離中心點時,搖擺臂118的角速度將減緩。在另一實施例中,角速度將作為噴嘴112相對於基板中心點或基板102之邊緣的位置的函數而增加或降低。噴嘴112在特定位置上的停留時間可基於基板102的速度而改變。當噴嘴112移動遠離基板中心時,基板102的速度將增加,從而導致對應的停留時間增加。這意味著處理物係不均勻地分佈於基板102上,因為較接近中心的區域將比較接近基板邊緣的區域具有更長的停留時間。如此之差異將產生不均勻的處理結果,使得取決於相對基板102之中心的相對位置,一些區域係過度清洗,或清洗不足。因此,當噴嘴112移動或變得更接近基板中心時,角速度可增加,以使基板102之範圍內的停留時間(在一區域上方的時間量)穩定。例如,當基板在第一位置304與第二位置306之間切換時,角速度可以非線性方式在50rpm與120rpm之間轉變。在其他實施例中,當基板在第一位置304與第二位置306之間切換時,角速度可至少部分地基於噴嘴112的相對位置及支撐元件210的旋轉速度。
在方塊410,在一實施例中,控制器116可引導清洗系統100,以使處理化學物流入內部容積中,並將微電子基板102曝露至處理化學物。在此實例中,化學曝露可在噴嘴112出口位於基板102正上方之前開始,使得初始流體係導向至對面的側壁202,且非基板102。然而,在此實施例中,基板102係考量成當處理化學物被分配至內部容積114中時,曝露至該處理化學物。
在一特定的實施例中,處理物可包含氮、氬、或兩者之組合,其係在介於70K與270K之間的溫度下、及防止處理流體中形成液體的壓力下從噴嘴112進行分配。具有本技術領域通常技術者能夠利用可適用於單一物種或物種混合物之相圖或任何其他已知的相圖文獻選擇溫度及壓力的適當組合。在許多實施例中,處理室106的壓力可維持於低於100 Torr。
在方塊412,在控制器116已確認製程條件(例如,壓力、流動、基板旋轉)係在期望限制內之後,樞轉元件208可開始使搖擺臂118圍繞樞轉點(例如,樞轉桿120)來回樞轉。在多數實例中,從樞轉點所量測之搖擺臂的旋轉角度將小於360˚,以達成具有比先前技術小之內部容積114的成本優勢。在多數實施例中,控制器116將使第一位置304與第二位置306之間的旋轉角度限制於小於145˚。基於旋轉角度的限制,基板的中心點將圍繞樞轉點沿著弧線行進。此外,當搖擺臂118在第一位置304與第二位置306之間振盪時,控制器116可將搖擺臂的角速度限制於每秒5與50弧角度之間。
在一些實施例中,控制器116可執行指令,以至少部分地基於流體噴嘴112相對於微電子基板102之中心點的相對位置而改變旋轉速度。例如,當流體噴嘴112係在距離中心點的特定距離以內時,旋轉速度可較快,且當流體噴嘴112較遠離中心點時,旋轉速度將減緩。在另一實施例中,旋轉速度將作為噴嘴112相對於基板中心點或基板102之邊緣的位置的函數而增加或降低。噴嘴112在特定位置上的停留時間可基於基板102的速度而改變。當噴嘴112移動遠離基板中心時,基板102的速度將增加,從而導致對應的停留時間增加。這意味著處理物係不均勻地分佈於基板102上,因為較接近中心的區域將比較接近基板邊緣的區域具有更長的停留時間。如此之差異將產生不均勻的處理結果,使得取決於相對基板102之中心的相對位置,一些區域係過度清洗,或清洗不足。因此,當噴嘴112移動或變得更接近基板中心時,旋轉速度可增加,以使基板102之範圍內的停留時間(在一區域上方的時間總量)穩定,從而控制整個基板102之範圍的處理物均勻度。例如,當基板在第一位置304與第二位置306之間切換時,旋轉速度可以非線性方式在50rpm與120rpm之間轉變。在其他實施例中,當基板在第一位置304與第二位置306之間切換時,旋轉速度可至少部分地基於噴嘴112的相對位置及搖擺臂118的角速度。
控制器116可控制搖擺臂的角速度及基板102的旋轉速度,持續在記憶體140中所儲存的預定時間量。當化學處理結束時,控制器將使搖擺臂118及基板102停止旋轉,以及然後引導搖擺臂118返回至裝載位置302,以使得轉移自動機器能夠拿取基板102,以及從處理室106移除基板。
圖5包含處理系統500、及搬運系統104之另一實施例、及處理室106的俯視圖。在圖5中的實施例中,搬運系統104可包含樞轉臂502或搖擺臂台,其圍繞固定的點506(例如,樞轉點)樞轉。樞轉點可位於樞轉臂502的一末端處,且可固定至使樞轉臂502在處理系統500內的第一與第二位置之間移動的機構。樞轉臂502的移動可在第一與第二位置之間振盪,使得樞轉臂502圍繞樞轉點506旋轉小於360度。在一特定的實施例中,第一與第二位置之間的樞轉角度將為在小於或等於145度的弧上。在一些實例中,噴嘴112的位置可限制微電子基板102的流體覆蓋範圍,這可藉由在與樞轉點相反之樞轉臂502的遠端處附加旋轉機構508而增強。在此實施例中,當微電子基板102在用以形成低溫噴霧、或GCJ噴塗流、或其他處理化學成分的噴嘴112下方進行旋轉時,樞轉臂可在第一位置與第二位置之間平移。以此方式,平移及旋轉曝露了微電子基板102的不同部分,且增加了低溫噴霧或GCJ噴塗流的表面區域覆蓋範圍,同時使清洗處理所使用之腔室的尺寸最小化。
在一實施例中,旋轉機構508可結合至樞轉臂502中,或耦接至樞轉臂,以當樞轉臂502於第一與第二位置之間移動時,在處理期間達成微電子基板102的360度旋轉。旋轉機構508可包含表面支撐區域,以在處理期間固定微電子基板。表面支撐區域亦可包含加熱元件,以抵抗由低溫噴霧或GCJ噴塗流所導致的表面冷卻,從而輔助清洗製程,防止已移除之微粒再次沉積回已清洗的表面上,且亦防止處理室(例如,微電子基板102或噴嘴112)內的凝結。
在另一實施例中,樞轉臂502的移動可藉由步進馬達驅動,如圖1中所示,該樞轉臂502來回振盪,以圍繞樞轉點以弧形運動掃描微電子基板102。步進馬達可位於處理室的外側,且係帶接(belt-coupled)至延伸進入處理室106的中空軸。中空軸代表樞轉點506,該樞轉點506係用於與中空軸耦接的樞轉臂502。中空軸係耦接至鐵流體密封旋轉饋通裝置,該鐵流體密封旋轉饋通裝置在鐵流體密封件的大氣壓力側具有機械軸承,以垂直地支撐軸。鐵流體饋通組件然後係鎖付且密封至具有凸緣及O形環之腔室的底部。中空部位可用以鋪設從處理室外側至設置於樞轉臂502上之旋轉機構508的線路。線路將藉由中空軸及樞轉臂502而與製程氣體屏蔽。
如圖1中的實施例所示,樞轉臂502可延伸穿過微電子基板102的直徑,以容許樞轉點506被定位成遠離微電子基板表面,從而使由(在第一與第二位置之間樞轉之)樞轉臂502的摩擦所導致的污染最小化。
弧運動系統的一目的係使得低溫噴霧或GCJ噴塗流能夠覆蓋或處理(用於建立微電子元件之)微電子基板之(在非全部的情況下)盡可能多的表面區域。低溫噴霧或GCJ噴塗流分散至處理室中,從高壓力至低壓力環境。據此,低溫噴霧或GCJ噴塗流分散或覆蓋於相較於噴嘴直徑(例如,<5 mm)之寬廣的區域(例如,100 mm~150 mm),這意味著噴嘴不必為了有效率地處理微電子基板而停留於整個表面區域的正上方。例如,噴嘴112的位置及弧運動系統可最佳化成使平移或弧運動最小化,且達成低溫噴霧或GCJ處理物在微電子基板102之整個表面範圍的表面覆蓋。在一實施例中,當微電子基板在噴嘴下方以弧形移動進行平移時,噴嘴112可固持於固定的位置,但噴嘴不必為靜止。結合弧形移動,微電子基板102亦可圍繞基板的中心點旋轉。弧形移動及旋轉的速度可最佳化,以至少部分地基於低溫噴霧或GCJ噴塗流的微粒移除效率而設置停留時間。停留時間可基於微電子基板的表面條件(例如,膜類型、膜圖案、微粒尺寸等)而改變。廣泛地,樞轉臂的角速度將在每秒5與50弧角度之間改變,且基板支撐件的旋轉速度可在介於10與300 RPM之間的範圍內。如以上所提及,弧形移動可圍繞樞轉點掃描高達145度。
在一特定的實施例中,低溫噴霧或GCJ噴塗流處理可在噴嘴與微電子基板之邊緣偏離些許度數的情況下開始。微電子基板102的弧形移動可藉由將噴嘴定位於微電子基板上方的(相對於微電子基板之中心的)一半徑處而開始,該半徑係小於微電子基板的直徑(例如,距離300 mm直徑微電子基板之中心的115 mm處)。低溫噴霧或GCJ噴塗流可藉由容許製程化學物通過噴嘴流向微電子基板而開啟。在一些實例中,在啟動圍繞樞轉點的弧形移動之前,可容許低溫噴霧或GCJ噴塗流穩定於此半徑處。在一些實施例中,微電子基板可在啟動弧形移動之前或之後,開始圍繞其中心點進行旋轉。
在製程處理期間,微電子基板102可經由設計成使製程處理物在微電子基板上的覆蓋範圍最大化的一或更多移動輪廓進行移動。移動輪廓可改變微電子基板相對於(複數)噴嘴112的位置、速度、及停留時間。
在一實施例中,移動輪廓可包含噴嘴112通過微電子基板102上方,且可短暫停止於微電子基板的中間處,該微電子基板亦可能正圍繞其中心點進行旋轉,以增加自噴嘴分配之低溫噴霧的表面區域覆蓋範圍。或者,微電子基板102可停止於中間處,並再次樞轉回起始位置,以再次處理微電子基板102。然而,本方法不要求微電子基板102精確地返回至其起始位置。例如,噴嘴可越過中間處,並停止於比起始位置更接近微電子基板之相反側的徑向位置處。進一步講,清洗製程可藉由停止於較接近微電子基板之相反側的半徑位置處,且圍繞樞轉點506以弧形移動在相反方向上返回而改善。取決於從噴嘴起之清洗區域的有效尺寸,可使用該等移動輪廓的任何者來清洗微電子基板102的整個表面。
當噴嘴112接近基板102的邊緣且基板102係正旋轉時,有效清洗圈的周長為長的,因此,為達成在清洗噴塗流下方之相同的有效停留時間,噴嘴必須比噴嘴較接近基板102中心時(在此情形中,有效清洗圈的周長較短)位於邊緣區域上方更久。據此,可改變樞轉臂502的角速度,以考量此問題。在一特定的實施例中,樞轉臂的角速度可最佳化成使微電子基板在噴嘴較接近微電子基板102的中心時移動得較快,以提供對於低溫噴霧或GCJ噴塗流之實質上平均的曝露時間。又,由於低溫噴霧非常冷,故如此之可變角速度產生作用,以防止微電子基板102範圍內之可能導致基板翹曲及製程性能問題的過大溫度梯度。
如圖5中所示,樞轉點506可鄰近真空排氣埠510,以使由樞轉臂所產生之微粒到達微電子基板102的可能性最小化。樞轉點506鄰近真空排氣埠510的配置可藉由利用注入腔室中的氣體將微粒從樞轉點吸引至真空埠而減少污染物。理想地,氣體流將防止由樞轉移動所產生的微粒到達微電子基板102。
樞轉臂502的樞轉移動係由雙向箭頭表示,其顯示以上所討論之在第一與第二位置之間移動的一實施例。樞轉臂502係針對解釋且非限制的目的而顯示成三角形。三角形係意圖凸顯圍繞樞轉點的樞轉或擺動運動。樞轉臂502的設計可至少部分地基於氣體流動、真空埠位置、及/或在處理期間達成最佳微粒移除效率的製程條件而改變。樞轉臂502的設計可包含但不限於方形、長方形、或圓形設計。在圖5的實施例中,基板支撐元件可結合至樞轉臂中。當樞轉臂移動且當基板支撐元件同時使微電子基板旋轉時,基板支撐元件可在處理期間固定微電子基板。以此方式使處理期間曝露至噴嘴之微電子基板的表面區域最大化。據此,樞轉臂的長度及樞轉臂之第一與第二位置的定位可最佳化,以使得清洗處理化學成分的表面區域覆蓋範圍能夠使微粒移除效率最大化。
圖6包含支撐臂602之移動圖600的俯視圖,其代表搖擺臂118及樞轉臂502在其分別之處理系統內的移動。圖6繪示本文中所揭露之實施例可如何在清洗處理期間於腔室內的第一與第二位置之間進行樞轉的範例。在其他實施例中,樞轉臂的設計可用以減少移動式卡盤的曝露表面區域,使得樞轉臂對腔室內之流體流動的影響最小化。例如,真空埠可定位於移動式卡盤下方,以防止微粒從移動式卡盤的背面移動至微電子基板。例如,在一特定的實施例中,考量微電子基板及腔室的尺寸,取決於流體流動所達成的表面覆蓋範圍及可用的樞轉點位置(例如,第一及第二位置),樞轉點可設置於微電子基板下方。
儘管以上僅已詳細描述本發明的某些實施例,但熟悉該領域者將輕易察知在實質上不背離本發明之新穎教示及優勢的情況下,可在實施例中作出許多改變。據此,所有如此之改變係意圖包含在本發明的範疇內。例如,上述實施例可結合在一起且可視需要增加或省略實施例的一部分。因此,實施例的數目可不受限於本文中所述之僅有的特定實施例,使得具有通常技術者可利用本文中所述的教示而創造額外的實施例。
102‧‧‧基板106‧‧‧腔室108‧‧‧流體源110‧‧‧低溫冷卻系統112‧‧‧噴嘴114‧‧‧內部容積116‧‧‧控制器118‧‧‧搖擺臂120‧‧‧樞轉桿122‧‧‧支撐元件124‧‧‧旋轉系統130‧‧‧箭頭134‧‧‧箭頭136‧‧‧真空系統138‧‧‧側視圖140‧‧‧記憶體142‧‧‧處理器202‧‧‧側壁204‧‧‧通孔部206‧‧‧真空埠208‧‧‧樞轉元件210‧‧‧支撐元件212‧‧‧擺動元件214‧‧‧旋轉元件300‧‧‧轉移門302‧‧‧裝載位置304‧‧‧第一位置306‧‧‧第二位置400‧‧‧流程圖402‧‧‧方塊404‧‧‧方塊406‧‧‧方塊408‧‧‧方塊410‧‧‧方塊412‧‧‧方塊500‧‧‧處理系統502‧‧‧樞轉臂506‧‧‧樞轉點508‧‧‧旋轉機構510‧‧‧真空排氣埠602‧‧‧支撐臂AA-AA‧‧‧線
併入於本說明書中並構成本說明書之一部分的隨附圖式繪示本發明的實施例,並結合以上提出之本發明的概括性描述及以下提出之實施方式,用來說明本發明。此外,參考數字之最左側的(複數)位數標明該參考數字第一次出現的圖號。
圖1包含根據本揭露內容之至少一實施例的清洗系統的示意圖及該清洗系統之處理室的橫剖面圖。
圖2包含根據本揭露內容之至少一實施例之清洗系統所使用之另一搬運系統實施例的橫剖面側視圖。
圖3包含根據本揭露內容之至少一實施例之搬運系統的俯視圖。
圖4包含根據本揭露內容之至少一實施例之清洗系統之例示性使用方法的流程圖。
圖5包含根據本揭露內容之至少一實施例之另一搬運系統實施例的俯視圖。
圖6包含根據本揭露內容之至少一實施例之搬運系統內之搖擺臂移動的俯視圖。
102‧‧‧基板
112‧‧‧噴嘴
114‧‧‧內部容積
118‧‧‧搖擺臂
120‧‧‧樞轉桿
202‧‧‧側壁
208‧‧‧樞轉元件
300‧‧‧轉移門
302‧‧‧裝載位置
304‧‧‧第一位置
306‧‧‧第二位置

Claims (20)

  1. 一種用以移動微電子基板的設備,包含:一處理腔室,其包含該微電子基板在一處理期間定位於其中的一內部容積,該內部容積能夠維持於一次大氣壓力;一樞轉元件,其係耦接至該處理腔室,該樞轉元件包含:可樞轉之一搖擺臂,其係設置於該內部容積內,可樞轉之該搖擺臂包含位於該搖擺臂之一末端處的一樞轉點,該搖擺臂以一弧形運動之範圍圍繞該樞轉點樞轉;以及一擺動元件,其係耦接至該搖擺臂,該擺動元件在該處理期間能夠使該搖擺臂在該弧形運動之範圍圍繞該樞轉點來回樞轉,該弧形運動具有一角速度;可旋轉的一支撐元件,其係耦接至該搖擺臂的一遠端,該支撐元件包含:一支撐表面,其在該處理期間將該微電子基板固定至可旋轉的該支撐元件;以及一基板旋轉元件,其係耦接至該支撐表面,該基板旋轉元件能夠使該支撐表面旋轉,使得該微電子基板在該處理期間圍繞該微電子基板的一中心點旋轉,該微電子基板的該旋轉具有一角速度,且其中該樞轉點及該中心點係彼此偏離;一流體噴嘴,其係設置於該內部容積內,使得該流體噴嘴與該微電子基板之該中心點之間的相對位置隨著該搖擺臂在該弧形運動之範圍的移動而改變;以及一控制器,其致使該搖擺臂的該弧形運動及該微電子基板的該旋轉同時發生,且其致使樞轉之該搖擺臂之該弧形運動的角速度及該微電子基板之旋 轉的角速度其中至少一者改變,使得該等角速度其中該至少一者隨著該流體噴嘴定位成自該微電子基板之該中心點相對更遠而減小。
  2. 如申請專利範圍第1項之用以移動微電子基板的設備,其中該擺動元件係配置成以小於145度的一旋轉角度圍繞該樞轉點來回樞轉。
  3. 如申請專利範圍第1項之用以移動微電子基板的設備,其中該流體噴嘴係耦接至一流體源及一低溫冷卻系統。
  4. 如申請專利範圍第1項之用以移動微電子基板的設備,其中該支撐表面包含一基板夾持機構,以將該微電子基板固定至該支撐表面。
  5. 如申請專利範圍第1項之用以移動微電子基板的設備,其中該基板旋轉元件包含一步進馬達,以使該支撐表面圍繞該中心點旋轉。
  6. 如申請專利範圍第1項之用以移動微電子基板的設備,其中該基板旋轉元件包含一磁浮系統,以使該支撐表面圍繞該中心點旋轉。
  7. 如申請專利範圍第1項之用以移動微電子基板的設備,其中該搖擺臂包含鄰近該支撐表面而設置的一加熱元件。
  8. 如申請專利範圍第1項之用以移動微電子基板的設備,其中該流體噴嘴係經配置而耦接至一低溫冷卻元件、或係耦接至該低溫冷卻元件。
  9. 如申請專利範圍第8項之用以移動微電子基板的設備,其中該流體噴嘴包含耦接至一隔離氣體管線的一入口,該隔離氣體管線係耦接至一流體冷卻單元。
  10. 一種用以移動微電子基板的設備,包含:一處理腔室,其包含該微電子基板在該微電子基板之一處理期間定位於其中的一內部容積,該微電子基板包含一中心點;可樞轉的一搖擺臂,其係設置於該內部容積內,該搖擺臂係配置成圍繞位於該搖擺臂之一末端處的一樞轉點而在第一及第二位置之間來回振盪,使 得該搖擺臂在該處理期間於該第一及第二位置之間移動上在一弧形運動之範圍內樞轉;以及可旋轉的一基板卡盤,其係耦接至該搖擺臂,該基板卡盤支撐該微電子基板,使得該微電子基板的一中心點與該搖擺臂之該樞轉點在水平方向上偏離,並使得該微電子基板圍繞該中心點旋轉;以及一流體噴嘴,其係設置於該內部容積內,在該處理期間,一處理流體通過該流體噴嘴而分配至該內部容積中,其中該流體噴嘴係定位成使得該流體噴嘴與該微電子基板之該中心點之間的相對位置隨著該搖擺臂在該弧形運動之範圍移動而改變。
  11. 如申請專利範圍第10項之用以移動微電子基板的設備,更包含一搖擺臂樞轉元件,其中該搖擺臂樞轉元件致使該搖擺臂圍繞該樞轉點樞轉,以在該弧形運動之範圍中於該第一及第二位置之間移動。
  12. 如申請專利範圍第11項之用以移動微電子基板的設備,其中該搖擺臂樞轉元件係設置於該內部容積外側,並經由一真空密閉密封件或一通孔部元件耦接至該搖擺臂,且其中該真空密閉密封件包含一鐵流體密封件或一旋轉滑動密封件。
  13. 如申請專利範圍第10項之用以移動微電子基板的設備,更包含一旋轉機構,其耦接至可旋轉之該基板卡盤,以使該基板卡盤旋轉,其中該旋轉機構係設置於該內部容積內。
  14. 如申請專利範圍第10項之用以移動微電子基板的設備,其中該搖擺臂係配置成圍繞該樞轉點旋轉高達145度。
  15. 一種用以移動微電子基板的方法,包含:將可樞轉之一搖擺臂定位成在一處理室內接收一微電子基板,其中該搖擺臂係配置成圍繞位於該搖擺臂之一末端處的一樞轉點而在第一及第二位 置之間來回振盪,使得該搖擺臂在該第一及第二位置之間移動上於一弧形運動之範圍內樞轉;將該微電子基板設置於耦接至可樞轉之該搖擺臂的一旋轉元件上,其中該微電子基板具有一中心點,且其中該旋轉元件支撐該微電子基板,使得該微電子基板的該中心點與該搖擺臂之該樞轉點在水平方向上偏離,並使得該微電子基板圍繞該中心點旋轉;將該微電子基板定位於設置在該處理室內的一或更多噴嘴下方;使該微電子基板曝露至包含從該一或更多噴嘴分配之一低溫冷卻流體的一製程處理物;在使該微電子基板曝露至該製程處理物時,使該微電子基板圍繞該微電子基板的該中心點旋轉;以及在使該微電子基板曝露至該製程處理物時,使該搖擺臂圍繞該樞轉點來回樞轉。
  16. 如申請專利範圍第15項之用以移動微電子基板的方法,其中樞轉步驟包含使該搖擺臂以一角速度樞轉,該角速度至少部分地基於該一或更多噴嘴相對於該微電子基板之該中心點的一相對位置而改變。
  17. 如申請專利範圍第15項之用以移動微電子基板的方法,其中該樞轉步驟包含使該搖擺臂以介於每秒5與50弧角度之間的一角速度樞轉。
  18. 如申請專利範圍第15項之用以移動微電子基板的方法,其中旋轉步驟包含使該微電子基板以一旋轉速度旋轉,該旋轉速度至少部分地基於該一或更多噴嘴相對於該微電子基板之該中心點的一相對位置而改變。
  19. 如申請專利範圍第15項之用以移動微電子基板的方法,其中該旋轉步驟包含介於10RPM與300RPM之間的一旋轉速度。
  20. 如申請專利範圍第15項之用以移動微電子基板的方法,其中該第一位置與該第二位置沿著圍繞該樞轉點的一弧線係分隔小於145度。
TW107103021A 2017-01-27 2018-01-29 用以旋轉及平移處理室中之基板的系統及方法 TWI759412B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201762451499P 2017-01-27 2017-01-27
US62/451,499 2017-01-27

Publications (2)

Publication Number Publication Date
TW201839885A TW201839885A (zh) 2018-11-01
TWI759412B true TWI759412B (zh) 2022-04-01

Family

ID=62977496

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107103021A TWI759412B (zh) 2017-01-27 2018-01-29 用以旋轉及平移處理室中之基板的系統及方法

Country Status (6)

Country Link
US (2) US10843236B2 (zh)
JP (1) JP7177069B2 (zh)
KR (1) KR102493551B1 (zh)
CN (1) CN110268513A (zh)
TW (1) TWI759412B (zh)
WO (1) WO2018140789A1 (zh)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7297664B2 (ja) 2016-11-09 2023-06-26 ティーイーエル マニュファクチュアリング アンド エンジニアリング オブ アメリカ,インコーポレイテッド プロセスチャンバ中でマイクロエレクトロニクス基板を処理するための磁気的な浮上および回転するチャック
TWI765936B (zh) 2016-11-29 2022-06-01 美商東京威力科創Fsi股份有限公司 用以對處理腔室中之微電子基板進行處理的平移與旋轉夾頭
WO2018106952A1 (en) 2016-12-07 2018-06-14 Tel Fsi, Inc. Wafer edge lift pin design for manufacturing a semiconductor device
JP7177069B2 (ja) 2017-01-27 2022-11-22 ティーイーエル マニュファクチュアリング アンド エンジニアリング オブ アメリカ,インコーポレイテッド 基板をプロセスチャンバ内で回転及び並進するためのシステム及び方法
US10903066B2 (en) * 2017-05-08 2021-01-26 Applied Materials, Inc. Heater support kit for bevel etch chamber
WO2019161328A1 (en) 2018-02-19 2019-08-22 Tel Fsi, Inc. Microelectronic treatment system having treatment spray with controllable beam size
US11545387B2 (en) 2018-07-13 2023-01-03 Tel Manufacturing And Engineering Of America, Inc. Magnetic integrated lift pin system for a chemical processing chamber
US11282696B2 (en) * 2019-11-22 2022-03-22 Dangsheng Ni Method and device for wet processing integrated circuit substrates using a mixture of chemical steam vapors and chemical gases
US20230021625A1 (en) * 2021-07-21 2023-01-26 Tel Manufacturing And Engineering Of America, Inc. Substrate scanning apparatus with pendulum and rotatable substrate holder

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060039781A1 (en) * 2004-08-17 2006-02-23 Leszek Niewmierzycki Advanced low cost high throughput processing platform
US20080280453A1 (en) * 2007-05-09 2008-11-13 Applied Materials, Inc. Apparatus and method for supporting, positioning and rotating a substrate in a processing chamber
US20110188974A1 (en) * 2008-07-15 2011-08-04 Ulvac Technologies, Inc. Work-piece transfer systems and methods
TW201426846A (zh) * 2012-10-02 2014-07-01 Kurita Water Ind Ltd 半導體基板的洗淨方法與洗淨系統
US20160096207A1 (en) * 2014-10-06 2016-04-07 TEL FSI, Inc, Systems and Methods for Treating Substrates with Cryogenic Fluid Mixtures

Family Cites Families (100)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3538883A (en) 1967-12-12 1970-11-10 Alco Standard Corp Vacuum chuck with safety device
JPS62173718A (ja) * 1986-01-28 1987-07-30 Toshiba Corp 半導体ウエハの洗浄方法
EP0512516B1 (en) 1991-05-08 1995-12-20 Koyo Seiko Co., Ltd. Magnetic drive device
JP2529637Y2 (ja) 1991-08-30 1997-03-19 大日本スクリーン製造株式会社 基板の回転保持装置
DE4237928C2 (de) 1992-07-09 1995-01-19 Siemens Ag Mikroschalter mit einem Magnetfeld-Sensor
US5598974A (en) 1995-01-13 1997-02-04 Nordson Corporation Reduced cavity module with interchangeable seat
DE19601541A1 (de) 1995-01-27 1996-08-01 Seiko Seiki Kk In einer Vakuumumgebung einsetzbares Vertikaltransfersystem sowie dazugehöriges Absperrventilsystem
US5818137A (en) 1995-10-26 1998-10-06 Satcon Technology, Inc. Integrated magnetic levitation and rotation system
US5730803A (en) 1996-02-23 1998-03-24 Applied Materials, Inc. Apparatus and method for transferring heat from a hot electrostatic chuck to an underlying cold body
KR100187445B1 (ko) 1996-06-05 1999-04-15 김광호 웨이퍼 세정 방법 및 장치
JPH10249692A (ja) 1997-03-11 1998-09-22 Nidek Co Ltd レンズ研削加工装置、レンズ研削加工方法及びそのための部品
US7416611B2 (en) * 1997-05-09 2008-08-26 Semitool, Inc. Process and apparatus for treating a workpiece with gases
US20020157686A1 (en) * 1997-05-09 2002-10-31 Semitool, Inc. Process and apparatus for treating a workpiece such as a semiconductor wafer
US5961732A (en) 1997-06-11 1999-10-05 Fsi International, Inc Treating substrates by producing and controlling a cryogenic aerosol
US5965047A (en) 1997-10-24 1999-10-12 Steag Ast Rapid thermal processing (RTP) system with rotating substrate
TW392226B (en) 1997-11-05 2000-06-01 Tokyo Electron Ltd Apparatus for processing substrate
ES2293670T3 (es) 1997-11-21 2008-03-16 Nidek Co., Ltd. Aparato de pulido de lentes.
JP3909619B2 (ja) 1998-05-19 2007-04-25 独立行政法人理化学研究所 磁気ディスク基板の鏡面加工装置及び方法
US6146463A (en) 1998-06-12 2000-11-14 Applied Materials, Inc. Apparatus and method for aligning a substrate on a support member
US6680253B2 (en) * 1999-01-22 2004-01-20 Semitool, Inc. Apparatus for processing a workpiece
US6136163A (en) 1999-03-05 2000-10-24 Applied Materials, Inc. Apparatus for electro-chemical deposition with thermal anneal chamber
JP3398936B2 (ja) 1999-04-09 2003-04-21 日本エー・エス・エム株式会社 半導体処理装置
JP2001313329A (ja) 2000-04-28 2001-11-09 Applied Materials Inc 半導体製造装置におけるウェハ支持装置
US6483336B1 (en) 2000-05-03 2002-11-19 Cascade Microtech, Inc. Indexing rotatable chuck for a probe station
JP2001079505A (ja) 2000-07-03 2001-03-27 Yokogawa Electric Corp 吸引ノズル装置
JP3816734B2 (ja) 2000-09-13 2006-08-30 東京エレクトロン株式会社 基板洗浄装置
US6749764B1 (en) * 2000-11-14 2004-06-15 Tru-Si Technologies, Inc. Plasma processing comprising three rotational motions of an article being processed
AUPR524901A0 (en) 2001-05-24 2001-06-21 Hills Industries Limited Guide mechanism for power drill
JP3971132B2 (ja) 2001-07-02 2007-09-05 大日本スクリーン製造株式会社 基板処理装置
JP2003179040A (ja) 2001-12-10 2003-06-27 Tokyo Electron Ltd 熱処理装置
US6670807B2 (en) 2002-01-16 2003-12-30 Applied Materials, Inc. Proximity sensor detecting loss of magnetic field complete
US6756751B2 (en) 2002-02-15 2004-06-29 Active Precision, Inc. Multiple degree of freedom substrate manipulator
US20030178145A1 (en) 2002-03-25 2003-09-25 Applied Materials, Inc. Closed hole edge lift pin and susceptor for wafer process chambers
US6800833B2 (en) 2002-03-29 2004-10-05 Mariusch Gregor Electromagnetically levitated substrate support
US20030202865A1 (en) * 2002-04-25 2003-10-30 Applied Materials, Inc. Substrate transfer apparatus
US20030230323A1 (en) 2002-06-14 2003-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for improving scrubber cleaning
US6932558B2 (en) 2002-07-03 2005-08-23 Kung Chris Wu Wafer aligner
KR100460807B1 (ko) 2002-07-08 2004-12-09 삼성전자주식회사 반도체소자 제조설비의 웨이퍼 외관 검사장치와 이를이용하는 세정설비 및 그 검사방법
US6770424B2 (en) * 2002-12-16 2004-08-03 Asml Holding N.V. Wafer track apparatus and methods for dispensing fluids with rotatable dispense arms
KR100532200B1 (ko) 2003-02-21 2005-11-29 삼성전자주식회사 불순물 포집 장치 및 방법
DE102004036435B4 (de) 2003-08-07 2007-08-30 Nanophotonics Ag Haltevorrichtung für scheibenförmige Objekte
JP2005098163A (ja) 2003-09-24 2005-04-14 Aisan Ind Co Ltd 磁気結合ポンプ
JP4370512B2 (ja) 2004-02-13 2009-11-25 株式会社ダイフク 旋回式搬送装置
JP2006005177A (ja) 2004-06-17 2006-01-05 Tokyo Electron Ltd 熱処理装置
JP2006128238A (ja) 2004-10-27 2006-05-18 Aqua Science Kk 対象物処理装置および対象物処理方法
US7730737B2 (en) 2004-12-21 2010-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. Cooling station lifter pins
US8038796B2 (en) 2004-12-30 2011-10-18 Lam Research Corporation Apparatus for spatial and temporal control of temperature on a substrate
US20060162739A1 (en) 2005-01-21 2006-07-27 Nikon Corporation Cleaning chuck in situ
KR100711729B1 (ko) 2005-10-25 2007-04-25 세메스 주식회사 냉각 플레이트 및 베이크 장치
US20070209684A1 (en) 2006-03-07 2007-09-13 Applied Materials, Inc. Copper deposition chamber having integrated bevel clean with edge bevel removal detection
US7800735B2 (en) 2006-04-21 2010-09-21 Kla-Tencor Technologies Corporation Z-stage with dynamically driven stage mirror and chuck assembly
WO2007132609A1 (ja) * 2006-05-15 2007-11-22 Tokyo Electron Limited 基板処理方法、基板処理装置および記録媒体
JP2007311439A (ja) * 2006-05-17 2007-11-29 Dainippon Screen Mfg Co Ltd 基板処理方法および基板処理装置
US8057153B2 (en) 2006-09-05 2011-11-15 Tokyo Electron Limited Substrate transfer device, substrate processing apparatus and substrate transfer method
US20080099705A1 (en) 2006-10-25 2008-05-01 Enfield Technologies, Llc Retaining element for a mechanical component
EP2097790A2 (en) 2006-12-18 2009-09-09 KLA-Tencor Corporation Substrate processing apparatus and method
US7860379B2 (en) 2007-01-15 2010-12-28 Applied Materials, Inc. Temperature measurement and control of wafer support in thermal processing chamber
US7607647B2 (en) 2007-03-20 2009-10-27 Kla-Tencor Technologies Corporation Stabilizing a substrate using a vacuum preload air bearing chuck
JP4917470B2 (ja) 2007-03-30 2012-04-18 大日本スクリーン製造株式会社 基板処理装置
US20090314211A1 (en) 2008-06-24 2009-12-24 Applied Materials, Inc. Big foot lift pin
JP2010021041A (ja) 2008-07-11 2010-01-28 Sumco Corp イオン注入装置のウェーハ保持具
US8414357B2 (en) * 2008-08-22 2013-04-09 Applied Materials, Inc. Chemical mechanical polisher having movable slurry dispensers and method
JP2010087163A (ja) * 2008-09-30 2010-04-15 Toshiba Corp 半導体装置の製造装置、半導体装置の製造方法およびウエハのスピン乾燥方法
US8844546B2 (en) * 2008-10-01 2014-09-30 Applied Materials, Inc. Apparatus and method for cleaning semiconductor substrate using pressurized fluid
US8314371B2 (en) 2008-11-06 2012-11-20 Applied Materials, Inc. Rapid thermal processing chamber with micro-positioning system
EP2372749B1 (de) 2010-03-31 2021-09-29 Levitronix GmbH Behandlungsvorrichtung zur Behandlung einer Oberfläche eines Körpers
JP5608449B2 (ja) 2010-07-12 2014-10-15 裕治 金子 地盤改良工法に使用するモニター機構
US9421617B2 (en) 2011-06-22 2016-08-23 Tel Nexx, Inc. Substrate holder
JP5776397B2 (ja) 2011-07-19 2015-09-09 東京エレクトロン株式会社 洗浄方法、処理装置及び記憶媒体
US10269615B2 (en) 2011-09-09 2019-04-23 Lam Research Ag Apparatus for treating surfaces of wafer-shaped articles
US9385020B2 (en) 2011-12-19 2016-07-05 SCREEN Holdings Co., Ltd. Substrate holding and rotating device, substrate treatment apparatus including the device, and substrate treatment method
JP5606471B2 (ja) 2012-02-20 2014-10-15 株式会社東芝 基板回転保持装置および基板処理装置
US20130233356A1 (en) 2012-03-12 2013-09-12 Lam Research Ag Process and apparatus for treating surfaces of wafer-shaped articles
JP6010398B2 (ja) * 2012-08-31 2016-10-19 株式会社Screenホールディングス 基板処理装置
US9255894B2 (en) 2012-11-09 2016-02-09 Kla-Tencor Corporation System and method for detecting cracks in a wafer
US9691641B2 (en) 2012-12-13 2017-06-27 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method of cleaning wafers
US20160064213A1 (en) 2013-04-18 2016-03-03 Tohoku University Method for treating inner wall surface of micro-vacancy
JP6311236B2 (ja) 2013-08-20 2018-04-18 東京エレクトロン株式会社 基板洗浄装置
KR101530024B1 (ko) * 2013-12-20 2015-06-22 주식회사 유진테크 기판 처리 모듈, 이를 포함하는 기판 처리 장치 및 기판 전달 방법
US9597701B2 (en) 2013-12-31 2017-03-21 Lam Research Ag Apparatus for treating surfaces of wafer-shaped articles
JP6304592B2 (ja) 2014-03-25 2018-04-04 株式会社Screenホールディングス 基板処理方法および基板処理装置
KR20160007946A (ko) 2014-07-10 2016-01-21 주식회사 신성에프에이 스토커 컨베이어용 대차
JP6507516B2 (ja) 2014-08-06 2019-05-08 株式会社島津製作所 真空バルブ
US20180025904A1 (en) * 2014-10-06 2018-01-25 Tel Fsi, Inc. Systems and Methods for Treating Substrates with Cryogenic Fluid Mixtures
US10014191B2 (en) * 2014-10-06 2018-07-03 Tel Fsi, Inc. Systems and methods for treating substrates with cryogenic fluid mixtures
US10625280B2 (en) * 2014-10-06 2020-04-21 Tel Fsi, Inc. Apparatus for spraying cryogenic fluids
US9564378B2 (en) 2014-12-10 2017-02-07 Tel Fsi, Inc. Detection of lost wafer from spinning chuck
JP6500445B2 (ja) 2015-01-07 2019-04-17 株式会社島津製作所 真空バルブ
KR20160108653A (ko) * 2015-03-04 2016-09-20 세메스 주식회사 기판 처리 방법 및 장치
JP2016184662A (ja) * 2015-03-26 2016-10-20 株式会社ディスコ 洗浄装置
JP6670674B2 (ja) 2016-05-18 2020-03-25 株式会社Screenホールディングス 基板処理装置および基板処理方法
JP7297664B2 (ja) 2016-11-09 2023-06-26 ティーイーエル マニュファクチュアリング アンド エンジニアリング オブ アメリカ,インコーポレイテッド プロセスチャンバ中でマイクロエレクトロニクス基板を処理するための磁気的な浮上および回転するチャック
TWI765936B (zh) 2016-11-29 2022-06-01 美商東京威力科創Fsi股份有限公司 用以對處理腔室中之微電子基板進行處理的平移與旋轉夾頭
WO2018106952A1 (en) 2016-12-07 2018-06-14 Tel Fsi, Inc. Wafer edge lift pin design for manufacturing a semiconductor device
JP7177069B2 (ja) 2017-01-27 2022-11-22 ティーイーエル マニュファクチュアリング アンド エンジニアリング オブ アメリカ,インコーポレイテッド 基板をプロセスチャンバ内で回転及び並進するためのシステム及び方法
WO2019161328A1 (en) 2018-02-19 2019-08-22 Tel Fsi, Inc. Microelectronic treatment system having treatment spray with controllable beam size
US11545387B2 (en) 2018-07-13 2023-01-03 Tel Manufacturing And Engineering Of America, Inc. Magnetic integrated lift pin system for a chemical processing chamber
US11268630B2 (en) 2018-08-07 2022-03-08 Persimmon Technologies, Corp. Direct-drive flexure-mechanism vacuum control valve
JP7155999B2 (ja) 2018-12-20 2022-10-19 株式会社島津製作所 真空バルブおよびバルブ制御装置
US20220102188A1 (en) 2020-09-25 2022-03-31 Changxin Memory Technologies, Inc. Wafer processing device and wafer conveying method

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060039781A1 (en) * 2004-08-17 2006-02-23 Leszek Niewmierzycki Advanced low cost high throughput processing platform
US20080280453A1 (en) * 2007-05-09 2008-11-13 Applied Materials, Inc. Apparatus and method for supporting, positioning and rotating a substrate in a processing chamber
US20110188974A1 (en) * 2008-07-15 2011-08-04 Ulvac Technologies, Inc. Work-piece transfer systems and methods
TW201426846A (zh) * 2012-10-02 2014-07-01 Kurita Water Ind Ltd 半導體基板的洗淨方法與洗淨系統
US20160096207A1 (en) * 2014-10-06 2016-04-07 TEL FSI, Inc, Systems and Methods for Treating Substrates with Cryogenic Fluid Mixtures

Also Published As

Publication number Publication date
US20210023591A1 (en) 2021-01-28
US10843236B2 (en) 2020-11-24
KR102493551B1 (ko) 2023-01-30
JP7177069B2 (ja) 2022-11-22
TW201839885A (zh) 2018-11-01
US20180214915A1 (en) 2018-08-02
WO2018140789A1 (en) 2018-08-02
KR20190104073A (ko) 2019-09-05
CN110268513A (zh) 2019-09-20
US11458512B2 (en) 2022-10-04
JP2020505778A (ja) 2020-02-20

Similar Documents

Publication Publication Date Title
TWI759412B (zh) 用以旋轉及平移處理室中之基板的系統及方法
TWI802439B (zh) 具有空間分離的單個晶圓處理環境
US6899111B2 (en) Configurable single substrate wet-dry integrated cluster cleaner
US6589361B2 (en) Configurable single substrate wet-dry integrated cluster cleaner
KR101857874B1 (ko) 기판 처리 장치 및 기판 처리 방법
KR20200011407A (ko) 공정 배출물들의 제거
US10985039B2 (en) Sub-nanometer-level substrate cleaning mechanism
JP2023058481A (ja) 原子層自己整合基板の処理及び統合型ツールセット
JP2000188315A (ja) 被成膜基板の支持機構および支持方法
US10665479B2 (en) Substrate treatment device and substrate treatment method
KR102564512B1 (ko) 기판 처리 장치 및 기판 처리 방법
TWI780369B (zh) 操作空間沉積工具的方法
TWM547751U (zh) 晶圓處理器
JP6353266B2 (ja) 冷却装置、及び、基板処理装置
TW559571B (en) Apparatus and method for processing a workpiece
KR102139613B1 (ko) 기판 반송 장치 및 기판 처리 장치
TWI422695B (zh) 氣相成長裝置
US20220130712A1 (en) Substrate processing apparatus
JP2024052560A (ja) 成膜装置
JP2024052559A (ja) 成膜装置