TWI708322B - 製造用於半導體應用的環繞式水平閘極裝置的奈米線的方法 - Google Patents

製造用於半導體應用的環繞式水平閘極裝置的奈米線的方法 Download PDF

Info

Publication number
TWI708322B
TWI708322B TW106100112A TW106100112A TWI708322B TW I708322 B TWI708322 B TW I708322B TW 106100112 A TW106100112 A TW 106100112A TW 106100112 A TW106100112 A TW 106100112A TW I708322 B TWI708322 B TW I708322B
Authority
TW
Taiwan
Prior art keywords
layer
silicon
substrate
recess
liner
Prior art date
Application number
TW106100112A
Other languages
English (en)
Other versions
TW201735256A (zh
Inventor
冰西 孫
麥克G 沃德
孫世宇
麥克 恰德席克
金男成
華 仲
黃奕樵
正操 殷
穎 張
其農 倪
董琳
楊東青
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW201735256A publication Critical patent/TW201735256A/zh
Application granted granted Critical
Publication of TWI708322B publication Critical patent/TWI708322B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02603Nanowires
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/764Air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/413Nanosized electrodes, e.g. nanowire electrodes comprising one or a plurality of nanowires
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/66772Monocristalline silicon transistors on insulating substrates, e.g. quartz substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Thin Film Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

本揭示案提供用於在半導體晶片的環繞式水平閘極(hGAA)結構中以期望的材料形成用於奈米線結構的奈米線間隔物的方法。在一個實例中,在基板上形成用於奈米線結構的奈米線間隔物的方法包含:在基板上實行橫向蝕刻製程,該基板上設置有多材料層,其中該多材料層包含重複成對的第一層及第二層,該第一層及該第二層各自具有分別在該多材料層中曝露的第一側壁及第二側壁,其中橫向蝕刻製程主要蝕刻該第二層穿過該第二層而在該第二層中形成凹部;以介電質材料填充該凹部;以及從該凹部移除過度填充的介電質層。

Description

製造用於半導體應用的環繞式水平閘極裝置的奈米線的方 法
本發明之實施例大致上關於用於在半導體基板上形成具有期望的材料的垂直堆疊的奈米線的方法,且更特定而言關於用於以期望的材料在半導體基板上形成在三維半導體製造應用的垂直堆疊的奈米線的方法。
可靠地生產次半微米及更小的特徵是半導體裝置之下一代超大型積體電路(VLSI)及極大型積體電路(ULSI)的關鍵技術挑戰之一。然而,隨著電路技術之限制被推進,VLSI及ULSI技術之縮小的尺寸對處理能力提出了額外的要求。在基板上可靠地形成閘極結構對於VLSI及ULSI的成功以及對於持續努力增加個別基板及晶粒之電路密度及品質是重要的。
隨著下一代裝置的電路密度增加,互連(例如介層窗、溝渠、接點、閘極結構及其他特徵)之寬度以及介於其間的介電質材料減小到25nm及20nm尺寸並且超過,而介電質層之厚度則維持實質上定值,帶來的結果為增加特徵之深寬比(aspect ratio)。此外,減小的通 道長度經常導致具有習知平面MOSFET架構的顯著的短通道效應。為了促使下一代裝置及結構之製造,經常採用三維(3D)裝置結構以改良電晶體之效能。特定而言,經常採用鰭式場效電晶體(FinFET)以增進裝置效能。FinFET裝置通常包含具有高深寬比的半導體鰭,其中在半導體鰭上形成電晶體的通道及源極區/汲極區。隨後在鰭裝置之一部分上方及沿側邊形成閘極電極,從而利用通道及源極區/汲極區之增加的表面積的優點以產生更快、更可靠及更佳控制的半導體電晶體裝置。FinFET之進一步優點包含減少短通道效應及提供更高的電流。具有hGAA配置的裝置結構經常藉由環繞閘極以抑制短通道效應及相關的漏電流而提供優異的靜電控制。
在一些應用中,針對下一代半導體裝置應用採用環繞式水平閘極(horizontal gate-all-around;hGAA)結構。hGAA裝置結構包含以堆疊配置懸置且藉由源極區/汲極區連接的數個晶格匹配通道(例如,奈米線)。
在hGAA結構中,經常採用不同材料來形成通道結構(例如,奈米線),此舉可能不欲地增加將全部該等材料整合在奈米線結構中而不惡化裝置效能的製造困難度。舉例而言,與hGAA結構有關的挑戰之一包含在金屬閘極與源極/汲極之間存在大的寄生電容。該寄生電容的不當管理可能造成裝置效能大幅劣化。
因此,需要用於在良好輪廓及尺寸控制下以適當材料在基板上形成用於hGAA裝置結構的通道結構的改良的方法。
本揭示案提供用於在半導體晶片的環繞式水平閘極(hGAA)結構中以期望的材料形成用於奈米線結構的奈米線間隔物的方法。在一個實例中,用於在基板上形成用於奈米線結構的奈米線間隔物的方法包含:在基板上實行橫向蝕刻製程,該基板上設置有多材料層,其中該多材料層包含重複成對的第一層及第二層,該第一層及該第二層各自具有分別在該多材料層中曝露的第一側壁及第二側壁,其中橫向蝕刻製程主要蝕刻該第二層穿過該第二層而在該第二層中形成凹部;以介電質材料填充該凹部;以及移除延伸超過該凹部的介電質層。
100:蝕刻處理腔室
101:腔室容積
105:腔室主體
110:腔室蓋組件
112:側壁
113:出入口
114:噴嘴
115:襯墊
118:底部
121:電極
122:靜電夾盤
124:匹配電路
125:RF電源供應
126:接地
128:隔離器
129:冷卻基座
130:蓋環
135:基板支座
136:陰極襯墊
141:匹配電路
142:天線電源供應
145:泵送口
148:天線
150:電源
151:電極
160:氣體分配盤
161:製程氣源
162:製程氣源
163:製程氣源
164:製程氣源
165:控制器
166:閥
167:氣體接線
200:沉積腔室
201:遠端電漿系統
202:第一通道
204:第二通道
205:氣體入口組件
206:擋板
212:蓋
214:孔
215:第一電漿區域
220:絕緣環
225:噴淋頭
233:第二電漿區域
254:冗餘介電質層
300:處理系統
302:前平臺
304:操作
305:腔室主體
306:串聯處理腔室
309:裝載閘腔室
311:移送腔室
313:基板處理器
314:晶圓傳送盒(FOUP)
318:基板匣
340:控制器
342:記憶體
344:中央處理單元
346:支援電路
400:方法
402:操作
404:操作
405:操作
406:操作
408:操作
410:操作
412:操作
501:膜堆疊
502:基板
504:任選的材料層
512:多材料層
512a:第一層
512b:第二層
516:凹部
517:外表面
518:側壁
520:側壁
522:側壁
523:襯墊層
524:介電質層
525:深度
526:足夠寬度
530:凹部外側壁
532:凹部內側壁
600:方法
602:操作
604:操作
606:操作
608:操作
610:操作
680:操作
702:襯墊層
704:凹部外側壁
706:凹部外側壁
708:足夠寬度
800:方法
802:操作
804:操作
806:操作
902:磊晶矽層
904:氣隙
906:尖端部分
1000:方法
1002:操作
1004:操作
1006:操作
1008:操作
1102:襯墊修改區域
1104:磊晶矽層
1106:尖端部分
1108:氣隙
1200:環繞式水平閘極(hGAA)結構
1202:奈米線間隔物
1204:閘極結構
1206:源極錨/汲極錨
1206a:源極錨
1206b:汲極錨
可藉由參照實施例,該等實施例中之一些實施例繪示於附圖中,可得到以上簡要總結的本發明之更特定敘述,如此可得到詳細地瞭解本發明之上述特徵的方式。然而,應注意到,附圖僅繪示本發明之典型實施例,且因此不應被視為限制本發明之範疇,因為本發明可容許其他等效實施例。
第1圖描繪電漿處理腔室,該電漿處理腔室可用於在基板上實行蝕刻製程; 第2圖描繪電漿處理腔室,該電漿處理腔室可用於在基板上實行沉積製程;第3圖描繪處理系統,該處理系統可包含將併入該處理系統中的第1圖及第2圖之電漿處理腔室;第4圖描繪用於製造形成在基板上的奈米線結構的方法之流程圖;第5A圖~第5F圖描繪在第4圖之製造流程期間用於形成具有期望的材料的奈米線結構的順序之一個實例之剖面圖;及第6圖描繪用於製造形成在基板上的奈米線結構的另一個方法之流程圖;第7A圖~第7D2圖描繪在第6圖之製造流程期間用於形成具有期望的材料的奈米線結構的順序之一個實例之剖面圖;第8圖描繪用於製造形成在基板上的奈米線結構的又另一個方法之流程圖;第9A圖~第9C圖描繪在第8圖之製造流程期間用於形成具有期望的材料的奈米線結構的順序之一個實例之剖面圖;第10圖描繪用於製造形成在基板上的奈米線結構的又另一個方法之流程圖;第11A圖~第11D圖描繪在第10圖之製造流程期間用於形成具有期望的材料的奈米線結構的順序之一個實例之剖面圖;以及 第12圖描繪環繞式水平閘極(hGAA)結構之實例之示意圖。
為了促進瞭解,已儘可能使用相同的元件符號來指稱圖式中共用的相同元件。可以預期一個實施例之元件及特徵在沒有進一步敘述的情況下可有益地併入其他實施例中。
然而,應注意到,附圖僅繪示本發明之示例性實施例,且因此不應被視為限制本發明之範疇,因為本發明可容許其他等效實施例。
提供用於製造針對環繞式水平閘極(hGAA)半導體裝置結構的具有受控的寄生電容的奈米線結構中的奈米線間隔物的方法。在一個實例中,可在基板上形成超晶格結構,該超晶格結構包括以交替堆疊形成方式安置的不同材料(例如,第一材料及第二材料),該超晶格結構稍後將用作為環繞式水平閘極(hGAA)半導體裝置結構的奈米線(例如,通道結構)。可實行一系列的沉積製程及蝕刻製程以在具有低寄生電容的奈米線結構中形成奈米線間隔物。形成在超晶格結構中的第一材料之側壁上的奈米線間隔物為選自具有減少的寄生電容的材料之群組。根據需要可在第一材料與奈米線間隔物之間形成襯墊結構。用於奈米線間隔物的適合的材料包含低介電常數材料、介電材料或甚至氣隙。
第1圖為用於蝕刻金屬層的示例性蝕刻處理腔室100的簡化剖視圖。示例性蝕刻處理腔室100適用於從基板502移除一或更多膜層。可適於受益於本發明的製程腔室之一個實例為可購自位於加利福尼亞州聖克拉拉之應用材料公司的AdvantEdge Mesa Etch處理腔室。預期其他製程腔室,包含來自其他製造商的製程腔室,可適於實踐本發明之實施例。
蝕刻處理腔室100包含腔室主體105,腔室主體105具有界定於該腔室主體105中的腔室容積101。腔室主體105具有側壁112及底部118,側壁112及底部118耦接至接地126。側壁112具有襯墊115以保護側壁112且延長蝕刻處理腔室100之維護週期之間的時間。腔室主體105及蝕刻處理腔室100之相關的部件之尺寸並不受限制且一般而言成比例地大於將在腔室主體105及蝕刻處理腔室100中處理的基板502之大小。基板大小之實例包含200mm直徑、250mm直徑、300mm直徑及450mm直徑以及其他直徑。
腔室主體105支撐腔室蓋組件110以封閉腔室容積101。腔室主體105可由鋁或其他適合的材料所製造。基板出入口113穿過腔室主體105之側壁112而形成,從而促進基板502傳送入蝕刻處理腔室100及傳送出蝕刻處理腔室100。基板出入口113可耦接至基板處理系統(未圖示)之移送腔室及/或其他腔室。
泵送口145穿過腔室主體305之側壁112而形成,且泵送口145連接至腔室容積101。泵送裝置(未圖示)穿過泵送口145耦接至腔室容積101以抽空且控制腔室容積101中的壓力。泵送裝置可包含一或更多個泵及節流閥。
氣體分配盤160藉由氣體接線167耦接至腔室主體105以將製程氣體供應至腔室容積101。氣體分配盤160可包含一或更多個製程氣源161、162、163、164及若需要可額外地包含惰性氣體、非反應性氣體及反應性氣體。可由氣體分配盤160所提供的製程氣體之實例包含但不限於,含烴氣體,包含甲烷(CH4)、六氟化硫(SF6)、四氟化碳(CF4)、溴化氫(HBr)、含烴氣體、氬氣(Ar)、氯(Cl2)、氮(N2)及氧氣(O2)。額外地,製程氣體可包含含氯、氟、氧及氫的氣體,例如BCl3、C4F8、C4F6、CHF3、CH2F2、CH3F、NF3、CO2、SO2、CO及H2以及其他含氯、氟、氧及氫的氣體。
數個閥166控制來自氣體分配盤160的源161、162、163、164的製程氣體之流動,且藉由控制器165管理閥166。從氣體分配盤160供應至腔室主體105的氣體之流動可包含數種氣體之組合。
蓋組件110可包含噴嘴114。噴嘴114具有一或更多個通口用以將來自氣體分配盤160之源161、162、164、163的製程氣體引入腔室容積101。在將製程氣體引入蝕刻處理腔室100之後,將該等氣體激發以形 成電漿。可於鄰近蝕刻處理腔室100處提供天線148,例如一或更多個電感器線圈。天線電源供應142可經由匹配電路141供電給天線148以將能量(例如RF能量)電感式耦合至製程氣體,以維持由蝕刻處理腔室100之腔室容積101中的製程氣體所形成的電漿。替代地或是除了天線電源供應142之外,基板502下方的製程電極及/或基板502上方的製程電極可用以將RF功率電容式耦合至製程氣體以維持腔室容積101內的電漿。可藉由控制器控制天線電源供應142之操作,例如控制器165,該控制器165亦控制蝕刻處理腔室100中其他部件之操作。
基板支座135設置於腔室容積101中,以在處理期間支撐基板502。基板支座135可包含靜電夾盤122,用以在處理期間夾持基板502。靜電夾盤(ESC)122使用靜電吸引以將基板502夾持至基板支座135。ESC 122是藉由與匹配電路124整合的RF電源供應125所供電。ESC 122包括嵌入於介電質主體的電極121。RF電源供應125可提供約200伏特至約2000伏特的RF夾持電壓至電極121。RF電源供應125亦可包含系統控制器,藉由將直流(DC)電流導向電極121以夾持與解除夾持基板502,以控制電極121之操作。
ESC 122亦可包含設置於ESC 122中的電極151。電極151耦接至電源150且提供偏壓至ESC 122及放置於ESC 122上的基板502,該偏壓吸引由腔室容積101中的製程氣體所形成的電漿離子。在基板502之處 理期間電源150可循環開啟及關閉或脈衝。ESC 122具有隔離器128,用於使ESC 122之側壁對於電漿較不具吸引的,以延長ESC 122之維護壽命週期。此外,基板支座135可具有陰極襯墊136,以保護基板支座135之側壁免於電漿氣體影響並且延長電漿蝕刻處理腔室100之維護之間的時間。
ESC 122可包含設置於ESC 122中且連接至電源(未圖示)的加熱器,用以加熱基板,同時支撐ESC 122的冷卻基座129可包含導管,用於循環傳熱流體以維持ESC 122及設置在ESC 122上的基板502之溫度。ESC 122經配置為在基板502上製造的裝置之熱預算所需的溫度範圍內實行。舉例而言,針對某些實施例ESC 122可經配置以將基板502維持在約攝氏約負25度至約攝氏500度的溫度。
提供冷卻基座129以協助控制基板502之溫度。為了減輕製程漂移及時間,在基板502在蝕刻腔室中的整個時間期間,基板502之溫度可藉由冷卻基座129維持實質上恆定。在一個實施例中,基板502之溫度在整個後續蝕刻製程中維持在約攝氏70度至攝氏90度。
蓋環130設置在ESC 122上且沿著基板支座135之周圍。蓋環130經配置以將蝕刻氣體侷限於基板502之曝露的頂表面之期望的部分,同時遮蔽基板支座135之頂表面免受蝕刻處理腔室100內的電漿環境影響。升舉銷(未圖示)選擇性地移動通過基板支座135以 將基板502升舉到基板支座135的上方,以促使藉由傳送機器人(未圖示)或其他適合的傳送機構存取基板502。
可採用控制器165控制製程順序,從而調節從氣體分配盤160進入蝕刻處理腔室100的氣流及其他製程參數。軟體常式當由CPU執行時將CPU轉換成控制蝕刻處理腔室100的專用計算機(控制器),使得根據本發明實行製程。軟體常式亦可由第二控制器(未圖示)所儲存及/或執行,該第二控制器與蝕刻處理腔室100並列配置。
基板502具有設置於基板502上的各種膜層,該等膜層可包含至少一金屬層。各種膜層可能需要對於基板502中其他膜層之不同組成是獨特的蝕刻配方。位於VLSI及ULSI技術之核心的多層互連可能需要製造高深寬比特徵,例如介層窗及其他互連。建構多層互連可能需要一或更多個蝕刻配方以在各種膜層中形成圖案。該等配方可在單一蝕刻處理腔室中或遍及數個蝕刻處理腔室實行。各蝕刻處理腔室可經配置以由該等蝕刻配方中之一或更多者蝕刻。在一個實施例中,蝕刻處理腔室100經配置以至少蝕刻金屬層以形成互連結構。針對本文所提供的處理參數,蝕刻處理腔室100經配置以處理直徑300的基板,亦即,具有約0.0707m2的平面面積的基板。製程參數例如流量及功率通常可隨著腔室容積或基板平面面積的改變而成比例地縮放。
第2圖為具有分區的電漿產生區域的可流動式化學氣相沉積腔室200之一個實施例之剖面圖。可採用可流動式化學氣相沉積腔室200以將襯墊層(例如含SiOC層)沉積到基板上。在膜沉積(氧化矽沉積、氮化矽沉積、氮氧化矽沉積、碳化矽沉積或碳氧化矽沉積)期間,製程氣體可經由氣體入口組件205流入第一電漿區域215。製程氣體可在進入遠端電漿系統(RPS)201內的第一電漿區域215之前被激發。沉積腔室200包含蓋212及噴淋頭225。蓋212被描繪為具有施加的交流(AC)電壓源且噴淋頭225接地,與第一電漿區域215中的電漿產生一致。絕緣環220放置於蓋212與噴淋頭225之間,從而促使電容式耦合電漿(CCP)在第一電漿區域215中形成。蓋212及噴淋頭225圖示為具有介於其間的絕緣環220,此舉允許AC電位相對於噴淋頭225施加至蓋212。
蓋212可為供處理腔室使用的雙源蓋。在氣體入口組件205內可看見兩個不同的氣體供應通道。第一通道202運載通過遠端電漿系統(RPS)201的氣體,而第二通道204旁通(bypass)RPS 201。第一通道202可用於製程氣體且第二通道204可用於處理氣體(treatment gas)。流入第一電漿區域215的氣體可藉由擋板206分散。
流體例如前驅物可經由噴淋頭225流入沉積腔室200之第二電漿區域233。源自第一電漿區域215中的前驅物的被激發物種行進通過噴淋頭225中的孔 214,並且與從噴淋頭225流入第二電漿區域233的前驅物反應。在第二電漿區域233中幾乎不存在或無電漿。前驅物之被激發的衍生物在第二電漿區域233中結合,以在基板上形成可流動的介電質材料。隨著介電質材料生長,最近添加的材料具有比下方的材料更高的遷移率。隨著有機物含量藉由蒸發而降低,遷移率降低。可藉由使用此技術的可流動介電質材料填充間隙,而在沉積完成之後不會在介電質材料內留下傳統密度的有機物含量。仍可使用固化步驟以進一步從沉積的膜中減少或移除有機物含量。
單獨或與遠端電漿系統(RPS)201組合的方式激發第一電漿區域215中的前驅物提供若干益處。由於第一電漿區域215中的電漿,源自前驅物的被激發物種之濃度可在第二電漿區域233內增加。此增加可起因為第一電漿區域215中電漿之位置。第二電漿區域233比遠端電漿系統(RPS)201更靠近第一電漿區域215,從而使被激發物種經由與其他氣體分子、腔室之壁及噴淋頭之表面的碰撞而離開激發態的時間較少。
源自前驅物的被激發物種之濃度之均勻性亦可在第二電漿區域233內增加。此可能起因於第一電漿區域215之形狀,第一電漿區域215之形狀更類似於第二電漿區域233之形狀。相對於通過靠近噴淋頭225之中心的孔214的物種,遠端電漿系統(RPS)201中產生的被激發物種行進更遠距離以通過靠近噴淋頭225之邊緣的孔214。較遠的距離造成被激發物種之激發減少,且舉例而 言,可能造成在基板之邊緣附近較慢的生長率。在第一電漿區域215中激發前驅物減輕此變異。
除了前驅物之外,可為了不同目的在不同時間引入其他氣體。在沉積期間可引入處理氣體以從腔室壁、基板、沉積的膜及/或膜移除不想要的物種。處理氣體可包括來自包括下列的群組的氣體中之至少一者:H2、H2/N2混合物、NH3、NH4OH、O3、O2、H2O2及水蒸氣。處理氣體可在電漿中被激發,且隨後用以從沉積的膜減少或移除殘留有機物含量。在其他實施例中,可在沒有電漿的情況下使用處理氣體。當處理氣體包含水蒸氣時,可使用質量流量計(MFM)及噴射閥或藉由其他適合的水蒸氣產生器來實現輸送。
在該實施例中,可藉由引入介電質材料前驅物(例如,含矽前驅物)及在第二電漿區域233中反應處理前驅物而沉積介電質層。介電質材料前驅物之實例為含矽前驅物,包含矽烷(silane)、乙矽烷(disilane)、甲矽烷(methylsilane)、二甲基矽烷(dimethylsilane)、三甲基矽烷(trimethylsilane)、四甲基矽烷(tetramethylsilane)、四乙氧基矽烷(tetraethoxysilane;TEOS)、三乙氧基矽烷(triethoxysilane;TES)、八甲基環四矽氧烷(octamethylcyclotetrasiloxane;OMCTS)、四甲基二矽氧烷(tetramethyl-disiloxane;TMDSO)、四甲基環四矽氧烷 (tetramethylcyclotetrasiloxane;TMCTS)、四甲基二乙氧基二矽氧烷(tetramethyl-diethoxyl-disiloxane;TMDDSO)、二甲基-二甲氧基-矽烷(dimethyl-dimethoxyl-silane;DMDMS)或該等之組合。用於氮化矽之沉積的另外的前驅物包含含SixNyHz的前驅物,例如矽烷基胺(sillyl-amine)及其衍生物(包含三甲矽烷基胺(TSA)及二矽烷基胺(DSA))、含SixNyHzOzz的前驅物、含SixNyHzClzz的前驅物或該等之組合。
處理前驅物包含含氫化合物、含氧化合物、含氮化合物或該等之組合。適合的處理前驅物之實例包含選自包括下列的群組的化合物中之一或更多者:H2、H2/N2混合物、NH3、NH4OH、O3、O2、H2O2、N2、NxHy化合物(包含N2H4蒸氣)、NO、N2O、NO2、水蒸氣或該等之組合。處理前驅物可為電漿激發的,例如在RPS單元中,以包含含N*及/或H*及/或O*的自由基或電漿,舉例而言,NH3、NH2*、NH*、N*、H*、O*、N*O*或該等之組合。製程前驅物可替代地包含本文所述的前驅物中之一或更多者。
處理前驅物可在第一電漿區域215中被電漿激發,以產生製程氣體電漿及自由基,包含含N*及/或H*及/或O*的自由基或電漿,舉例而言,NH3、NH2*、NH*、N*、H*、O*、N*O*或該等之組合。或者,處 理前驅物可在通過遠端電漿系統之後而在引入第一電漿區域215之前已處於電漿狀態。
隨後將激發的處理前驅物輸送到第二電漿區域233,以經由孔214與前驅物反應。一旦在處理容積中,處理前驅物可混合且反應以沉積介電質材料。
在一個實施例中,在沉積腔室200中實行的可流動式CVD製程可將介電質材料沉積作為基於聚矽氮烷(polysilazane)的含矽膜(PSZ類膜),該膜可為可回流的且可填充在該基於聚矽氮烷的含矽膜所沉積處的基板中界定的溝渠、特徵結構、介層窗或其他孔內。
除了介電質材料前驅物及處理前驅物之外,可為了不同目的在不同時間引入其他氣體。在沉積期間可引入處理氣體以從腔室壁、基板、沉積的膜及/或膜移除不想要的物種,例如氫、碳及氟。處理前驅物及/或處理氣體可包括來自包括下列的群組的氣體中之至少一者:H2、H2/N2混合物、NH3、NH4OH、O3、O2、H2O2、N2、N2H4蒸氣、NO、N2O、NO2、水蒸氣或該等之組合。處理氣體可在電漿中被激發,且隨後用以從沉積的膜減少或移除殘留有機物含量。在其他揭示的實施例中,可在沒有電漿的情況下使用處理氣體。當處理氣體包含水蒸氣時,可使用質量流量計(MFM)及噴射閥或藉由市售水蒸氣產生器來實現輸送。可將處理氣體經由RPS單元或是旁通RPS單元而引入第一處理區域,且該處理氣體可進一步在第一電漿區域中被激發。
矽氮化物材料包含氮化矽SixNy、含氫的矽氮化物SixNyHz、矽氧氮化物(包含含氫的矽氧氮化物SixNyHzOzz)及含鹵素的矽氮化物(包含氯化矽氮化物SixNyHzClzz)。隨後可將沉積的介電質材料轉換成氧化矽類材料。
第3圖描繪本文所述的方法可實踐的半導體處理系統300之平面圖。可適於受益於本發明的一個處理腔室為可購自加利福尼亞州聖克拉拉之應用材料公司的300mm或450mm ProducerTM處理系統。處理系統300大致上包含前平臺302、移送腔室311及一系列串聯處理腔室306,於前平臺302處支撐包含在數個晶圓傳送盒(FOUP)314中的數個基板匣318,且將基板裝載到裝載閘腔室309中與從裝載閘腔室309卸載基板,移送腔室311容納基板處理器313,該系列串聯處理腔室306安裝在移送腔室311上。
串聯處理腔室306中之各者皆包含用以處理基板的兩個製程區域。該兩個製程區域共享共同的氣體供應、共同的壓力控制及共同的製程氣體排氣/泵送系統。系統之模組化設計促使從任何一種配置快速轉換到任何其他配置。腔室之配置及組合可為了實行特定製程步驟之目的而改變。串聯處理腔室306中之任一者可包含根據如以下所述的本發明之態樣的蓋,該蓋包含參照第1圖及/或第2圖中描繪的處理腔室100、200的上述一或更多個腔室配置。應注意到,處理系統300可經配置以實行所需 的沉積製程、蝕刻製程、固化製程或加熱/退火製程。在一個實施例中,圖示為第1圖及第2圖中設計的單一腔室的處理腔室100、200可併入半導體處理系統300。
在一個實施方式中,處理系統300可適於使串聯處理腔室中之一或更多者具有已知用以容納各種其他已知製程的支撐腔室硬體,該等製程例如化學氣相沉積(CVD)、物理氣相沉積(PVD)、蝕刻、固化或加熱/退火及類似者。舉例而言,處理系統300可經配置具有第1圖中的處理腔室100中之一者作為用於沉積(例如介電質膜)的電漿沉積腔室,或第2圖中描繪的處理腔室200中之一者作為用於蝕刻形成在基板上的材料層的電漿蝕刻腔室。該配置可使研究及開發製造利用最大化,且若期望,消除所蝕刻的膜對於大氣的曝露。
控制器340耦接至半導體處理系統300之各種部件以促進本發明之製程之控制,控制器340包含中央處理單元(CPU)344、記憶體342及支援電路346。記憶體342可為在半導體處理系統300或CPU 344的本端或遠端的任何電腦可讀取媒體,例如隨機存取記憶體(RAM)、唯讀記憶體(ROM)、軟碟、硬碟或任何其他形式的數位儲存器。支援電路346耦接至CPU 344,用於以習知的方式支援CPU。該等電路包含快取(cache)、電源供應、時脈電路、輸入/輸出電路系統及子系統以及類似者。當由CPU 344執行儲存在記憶體342中的軟體常式或一系列的程式指令時,執行串聯處理腔室306。
第4圖為以複合材料製造用於環繞式水平閘極(hGAA)半導體裝置結構的奈米線結構(例如,通道結構)中的奈米線間隔物的方法400之一個實例之流程圖。第5A圖~第5F圖為對應至方法400之各階段的複合基板之一部分之剖面圖。可採用方法400以在用於基板上的環繞式水平閘極(hGAA)半導體裝置的奈米線結構中形成奈米線間隔物。或者,方法400可有利地用以製造其他類型的結構。
藉由提供基板,例如第1圖中描繪的基板502,於操作402處方法400開始,如第5A圖所示,該基板具有形成於該基板上的膜堆疊501。基板502可為材料例如結晶矽(例如,Si<100>或Si<111>)、氧化矽、應變矽、矽鍺、鍺、摻雜或未摻雜的多晶矽、摻雜或未摻雜的矽晶圓及圖案化或未圖案化的晶圓絕緣體上覆矽(SOI)、碳摻雜的矽氧化物、氮化矽、摻雜的矽、鍺、砷化鎵、玻璃或藍寶石。基板502可具有各種尺寸,例如200mm、300mm、450mm或其他直徑,以及為矩形或正方形面板。除非另有說明,本文所述的實例是在具有200mm直徑、300mm直徑或450mm直徑基板的基板上進行。
膜堆疊501包含設置在任選的材料層504上的多材料層512。在其中不存在任選的材料層504的實施例中,膜堆疊501可根據需要直接形成在基板502上。在一個實例中,任選的材料層504為絕緣材料。絕緣材料之 適合的實例可包含氧化矽材料、氮化矽材料、氮氧化矽材料或任何適合的絕緣材料。或者,任選的材料層504可為任何適合的材料根據需要包含導電材料或非導電材料。多材料層512包含至少一對層,各對包括第一層512a及第二層512b。儘管第5A圖中描繪的實例圖示四對,各對包含第一層512a及第二層512b(交替的對,各對包括第一層512a及第二層512b)且在頂部上具有額外的第一層512a,應注意到,可基於不同的製程需要改變對的數目,且根據需要具有額外的或無額外的第一層512a或第二層512b。在一個實施方式中,各單一第一層512a之厚度可介於約20Å與約200Å之間,例如約50Å,且各單一第二層512b之厚度可介於約20Å與約200Å之間,例如約50Å。多材料層512可具有介於約10Å與約5000Å之間的總厚度,例如介於約40Å與約4000Å之間。
第一層512a可為結晶矽層,例如由磊晶沉積製程所形成的單結晶(single crystalline)、多晶(polycrystalline)或單晶(monocrystalline)矽層。或者,第一層512a可為摻雜的矽層,包含p型摻雜的矽層或n型摻雜的層。適合的p型摻質包含B摻質、Al摻質、Ga摻質、In摻質或類似者。適合的n型摻質包含N摻質、P摻質、As摻質、Sb摻質或類似者。在又另一個實例中,第一層512a可為III-V族材料,例如GaAs層。
第二層512b可為含Ge層,例如SiGe層、Ge層或其他適合的層。或者,第二層512b可為摻雜的矽層, 包含p型摻雜的矽層或n型摻雜的層。在又另一個實例中,第二層512b可為III-V族材料,例如GaAs層。在又另一個實例中,第一層512a可為矽層,且第二層512b為金屬材料且於該金屬材料之外表面上具有高介電常數材料塗層。高介電常數材料之適合的實例包含二氧化鉿(HfO2)、二氧化鋯(ZrO2)、矽酸鉿氧化物(HfSiO4)、氧化鋁鉿(HfAlO)、矽酸鋯氧化物(ZrSiO4)、二氧化鉭(TaO2)、氧化鋁、鋁摻雜的二氧化鉿、鉍鍶鈦(BST)或鉑鋯鈦(PZT)以及其他高介電常數材料。在一個特定的實施方式中,塗層為二氧化鉿(HfO2)層。
在第5A圖中描繪的特定實例中,第一層512a為結晶矽層,例如單結晶(single crystalline)、多晶(polycrystalline)或單晶(monocrystalline)矽層。第二層512b為SiGe層。
在一些實例中,硬遮罩層(第5A圖中未圖示)及/或圖案化的光阻劑層可設置於多材料層512上,用以圖案化多材料層512。在第5A圖中所示的實例中,多材料層512已經在先前的圖案化製程中被圖案化,其隨後可在多材料層512中形成源極錨(anchor)/汲極錨。
在其中基板502為結晶矽層且任選的材料層504為氧化矽層的實施方式中,第一層512a可為本質磊晶矽層且第二層512b為SiGe層。在另一個實施方式中,第一層512a可為摻雜的含矽層且第二層512b可為本質磊晶矽層。摻雜的含矽層可為p型摻質或n型摻質,或根 據需要為SiGe層。在又另一個實施方式其中基板502為Ge或GaAs基板,第一層512a可為GeSi層且第二層512b可為本質磊晶Ge層或反之亦然。在又另一個實施方式其中基板502為具有主要於<100>的結晶面(crystalline plane)的GaAs層,第一層512a可為本質Ge層,且第二層512b為GaAs層,或反之亦然。應注意到,在多材料層512中基板材料以及第一層512a及第二層512b之選擇可為採用上列材料的不同的組合。
在操作404處,如第5B圖中所示,實行橫向蝕刻製程以從膜堆疊501從第二層512b之側壁520橫向地移除第二層512b之一部分。實行橫向蝕刻製程以從基板502選擇性地移除(部分或整個)一種類型的材料。舉例而言,如第5B圖中描繪可部分地移除第二層512b,從而於第二層512b之各側壁520處形成凹部516,從而形成第二層512b之曝露的側壁522。或者,在選擇性蝕刻製程期間,根據需要可從第一層512a之側壁518部分地移除第一層512a(未圖示),而非第5B圖中描繪的第二層512b。
基於不同製程需求,選擇不同蝕刻前驅物以選擇性地且特定地從基板502蝕刻第一層512a或第二層512b任一者以形成凹部516。由於基板502上的第一層512a及第二層512b具有實質上相同的尺寸且具有曝露用於蝕刻的側壁518、520(第5A圖圖示),所選擇的蝕刻前驅物在第一層512a與第二層512b之間具有高選 擇性,且因此能夠僅將第一層512a或第二層512b任一者為目標且橫向蝕刻(第5B圖中圖示的實例),而不攻擊或損壞另一(亦即,非目標)層。在從基板502移除目標材料之期望的寬度之後,形成用於製造奈米線間隔物的凹部(此將在以下詳細描述),隨後可終止操作404處的橫向蝕刻製程。
在第5B圖中描繪的實例中,蝕刻前驅物經特定選擇以蝕刻第二層512b而不攻擊或損壞第一層512a。在第5B圖中描繪的實例中,蝕刻前驅物經選擇以特定蝕刻第二層512b而不攻擊或損壞第一層512a。在一個實例其中第一層512a為本質磊晶矽層且第二層512b為形成在基板502上的SiGe層,選定以蝕刻第二層512b的蝕刻前驅物包含至少供應至電漿處理腔室(例如第1圖中描繪的處理腔室100)的含碳氟氣體。含碳氟氣體之適合的實例可包含CF4、C4F6、C4F8、C2F2、CF4、C2F6、C5F8及類似者。亦可供應反應氣體(例如O2或N2)與來自遠端電漿源的含碳氟氣體以促進蝕刻製程。此外,可將含鹵素氣體供應至處理腔室100中以藉由RF源功率或偏壓RF功率或兩者來產生電漿,以進一步協助蝕刻製程。 可供應至處理腔室中的適合的含鹵素氣體包含HCl、Cl2、CCl4、CHCl3、CH2Cl2、CH3Cl或類似者。在一個實例中,可從遠端電漿源供應CF4及O2氣體混合物,同時可將Cl2氣體供應至處理腔室中以藉由RF源功率或偏壓RF功率任一者或兩者使Cl2氣體在處理腔室 100中界定的腔室容積101中被解離。CF4及O2可具有介於約100:1與約1:100之間的流量比(flow rate ratio)。
在橫向蝕刻製程期間,亦可在供應蝕刻氣體混合物以實行蝕刻製程的同時控制數個製程參數。可將處理腔室之壓力控制於介於約0.5毫托與約3000毫托之間,例如介於約2毫托與約500毫托之間。將基板溫度維持於介於約攝氏15度至約攝氏300度之間,例如大於攝氏50度,舉例而言介於約攝氏60度與約攝氏90度之間。可於橫向蝕刻氣體混合物處以介於約50瓦(Watt)與約3000瓦之間及介於約400kHz與約13.56MHz之間的頻率下供應RF源功率。亦可根據需要供應RF偏壓功率。可於介於約0瓦與約1500瓦之間下提供RF偏壓功率。
儘管可將製程參數控制在類似的範圍內,但是對於不同的膜層蝕刻要求,可改變在橫向蝕刻混合物中供應的所選定的化學前驅物。舉例而言,當第一層512a為本質磊晶矽層且被蝕刻的第二層512b為並非SiGe的材料時,例如摻雜的矽材料,選定以蝕刻第二層512b(例如,摻雜的矽材料)的蝕刻前驅物為供應至處理腔室中的含鹵素氣體,包含Cl2、HCl或類似者。可將含鹵素氣體(例如Cl2氣體)供應至處理腔室以藉由RF源功率或偏壓RF功率任一者或兩者使該含鹵素氣體在處理腔室100中被解離。
在任選的操作405處,如第5C圖中所示,可將襯墊層523形成在多材料層512之側壁518、522上以及基板502及任選的材料層504之外表面517上。襯墊層523可為該襯墊層523上形成的材料提供具有良好的界面黏著性及平面性的界面保護,具有良好的均勻性、一致性、黏著性及平面性。因此,在其中多材料層512之側壁518、522為具有期望的直線度(straightness)的實質上平面的實施例中,可去除操作405中的襯墊層523,且之後的操作可直接在多材料層512之側壁518、522上實行,如隨後在第5D1圖及第5E1圖中所示。
儘管第5C圖中所示的結構僅包含單一層襯墊層523,但應注意到可將襯墊層523形成為包含多於一個的層,例如複合層、雙層、三層或具有任何適合的層數的任何適合的結構。
在一個實例中,襯墊層523可選自可有助於促進多材料層512之側壁518、522與隨後在該等側壁上形成的材料之間的黏著性、具有在界面處的良好黏著性的材料。此外,襯墊層523可具有足夠的厚度以從多材料層512之側壁518、522填充奈米級粗糙表面,以便提供實質上平坦的表面,該表面允許隨後在該表面上形成具有期望程度的平面性、平坦性及阻障能力的材料,以保護多材料層512在隨後的蝕刻/圖案化製程期間免受攻擊。在一個實例中,襯墊層523可具有介於約0.5nm與約5nm之間的厚度。
在一個實施例中,襯墊層523為含矽介電質層,例如低介電常數材料、含氮化矽層、含碳化矽層、含氧化矽層,舉例而言,SiN、SiON、SiC、SiCN、SiOC或碳氮氧化矽(silicon oxycarbonitride)或具有摻質的矽材料及類似者。在一個實例中,襯墊層523為具有介於約5Å與約50Å之間的厚度(例如約10Å)的氮化矽層、碳化矽或氮氧化矽(SiON)。襯墊層523可藉由CVD製程、ALD製程或在PVD、CVD、ALD或其他適合的電漿處理腔室中的任何適合的沉積技術形成。
在操作406處,在將任選的襯墊層523形成在多材料層512之側壁518、522上之後,如第5D1圖及第5D2中所示,可實行介電質填充沉積製程以形成填充在多材料層512中的基板502上的介電質層524。在其中未實行任選的操作405且襯墊層523不存在於基板502上的實施例中,如參照第5D1圖,介電質層524可形成在基板502上與多材料層512直接接觸。
形成在基板502上的介電質層524可被填充在多材料層512中的任何開口區域中,包含在操作404處實行的橫向蝕刻製程期間界定的凹部516。由於多材料層512可預先被圖案化以在多材料層512中形成開口(在第5A圖~第5F圖中描繪的實施例中未圖示),所實行的介電質填充沉積製程可提供介電質層524以填充在多材料層512中的開口區域中,隨後該填充可用以形成奈米線間隔物結構。
在一個實例中,介電質填充沉積製程可為可流動式CVD製程、循環式(cyclical)層沉積(CLD)、原子層沉積(ALD)、電漿增強化學氣相沉積(PECVD)、物理氣相沉積(PVD)、旋轉塗佈製程或任何適合的沉積製程,以在多材料層512之結構中填充介電質層524,包含界定於該多材料層512中的凹部516。介電質層524可被填充在基板502上的多材料層512中而具有足夠的厚度,以填充在凹部516中以及多材料層512中的開口區域中,包含多材料層512之深度525(舉例而言,總厚度)。
在一個實例中,採用可流動式CVD製程以在可流動式CVD處理腔室(例如第2圖中描繪的處理腔室)中實行介電質填充沉積製程。在沉積腔室200中實行的介電質填充沉積製程為可流動式CVD製程,該製程將介電質層524形成作為基於聚矽氮烷的含矽膜(PSZ類膜),該膜可為可回流的且可填充在該基於聚矽氮烷的含矽膜所沉積處的基板中界定的溝渠、特徵結構、介層窗、凹部或其他孔內。
由於介電質層524稍後將用來形成奈米線間隔物結構,所形成的介電質層524之材料經選擇為可降低hGAA奈米線結構中的閘極與源極/汲極結構之間的寄生電容的含矽材料,例如低介電常數材料,含矽材料,例如氮化矽、氧化矽、氮氧化矽、碳化矽、碳氧化矽、碳氮化矽、摻雜的矽層或其他適合的材料,例如可自應用材料獲得的Black Diamond®材料。
在一個實施例中,介電質層524為形成在凹部516中的具有足夠寬度526的低介電常數材料(例如,介電常數小於4)或含有氧化矽/氮化矽/碳化矽的材料。
在操作408處,實行主蝕刻製程以蝕刻形成在基板502上的冗餘介電質層254,如第5E1圖及第5E2圖中所示,主要將介電質層524留在界定在多材料層512中的凹部516中,該介電質層524可用於在完成裝置結構之後形成作為奈米線間隔物,特別是對於hGAA裝置結構。可連續地實行主蝕刻製程以蝕刻穿過從多材料層512過度填充的介電質層524(例如,從多材料層512之第一層512a從側壁518),以便留下介電質層524主要填充在凹部516中,從而從多材料層512之第一層512a形成與側壁518對準的凹部外側壁530。因此,如第5E1圖中所示,形成在凹部516中的介電質層524具有與多材料層512之第二層512b之側壁522接觸的凹部內側壁532,同時使凹部外側壁530界定垂直平面,該垂直平面與從多材料層512之第一層512a由側壁518所界定的平面對準。在其中襯墊層523存在(由任選的操作405形成)於基板502上且在多材料層512之第一層512a及第二層512b之側壁518、522上內襯(lining)的實例中,如第5E2圖中所示,可連續實行主蝕刻製程,直到襯墊層523被曝露且介電質層524主要形成在多材料層512中界定的凹部516中。在此實例中,可在操作412處實行額外的襯墊殘留物移除製程以選擇性地從基板502移除襯墊層 523(例如,主要保留在多材料層512之第一層512a之側壁518上),如在第5F圖中進一步所示。相反地,當襯墊層523不存在基板502上時,在凹部516中形成奈米線間隔物結構(例如,介電質層524)之後,隨後在操作410中製程被視為完成。
在操作408處的主蝕刻製程期間,可將包含至少含鹵素氣體的主蝕刻氣體混合物供應至蝕刻處理腔室中,例如第1圖之電漿處理腔室100。含鹵素氣體之適合的實例包含CHF3、CH2F2、CF4、C2F、C4F6、C3F8、HCl、C4F8、Cl2、CCl4、CHCl3、CHF3、C2F6、CH2Cl2、CH3Cl、SF6、NF3、HBr、Br2及類似者。在供應主蝕刻氣體混合物的同時,亦可將惰性氣體供應至蝕刻氣體混合物中,以根據需要協助輪廓控制。在氣體混合物中供應的惰性氣體之實例包含Ar、He、Ne、Kr、Xe或類似者。
在將主蝕刻氣體混合物供應至處理腔室混合物之後,供應RF源功率以從處理腔室混合物中的蝕刻氣體混合物形成電漿。可於介於約100瓦與約3000瓦之間且介於約400kHz與約13.56MHz之間的頻率下於蝕刻氣體混合物處供應RF源功率。亦可根據需要供應RF偏壓功率。可於介於約0瓦與約1500瓦之間供應RF偏壓功率。在一個實施方式中,RF源功率可於介於約500Hz與約10MHz之間的RF頻率下以約10%至約95%之間的工作週期來脈衝。
亦可在供應蝕刻氣體混合物以實行蝕刻製程的同時控制數個製程參數。可將處理腔室之壓力控制於介於約0.5毫托與約500毫托之間,例如介於約2毫托與約100毫托之間。可將基板溫度維持於介於約攝氏15度至約攝氏300度之間,例如大於攝氏50度,舉例而言介於約攝氏60度與約攝氏90度之間可實行介於約30秒與約180秒之間的蝕刻製程。
如上所論述的,在操作408處的主蝕刻製程之後,如操作410所示,當襯墊層523不存在於基板上時,可視為完成該製程。反之,當襯墊層523存在於基板上時,如第5F圖中所示,該製程可前進至操作412以移除曝露在基板502上的殘留襯墊層523,該殘留襯墊層523在多材料層512之第一層512a之側壁518上襯裡。襯墊殘留物移除製程可為任何適合的清潔製程,包含乾式清潔或濕式清潔製程,以從基板502移除曝露的襯墊層523(例如,形成在第一層512a之側壁518上的襯墊523)。應注意到,在操作412處的襯墊殘留物移除製程之後,由形成在凹部516中的介電質層524嵌入及覆蓋的襯墊層523保留在基板502上。該襯墊殘留物移除製程對於襯墊層523對介電質層524以及對多材料層512中的矽材料(例如本質磊晶矽層或SiGe材料)可具有高選擇性(舉例而言,對於氮化矽層對氧化矽層及/或亦對本質矽層或摻雜矽材料的高選擇性),以便成功地移除冗餘襯墊層 523及介電質層524,而不會不利地損壞多材料層512(包含第一層512a及第二層512b)。
在一個實例中,可藉由供應包含至少氫氣(H2)及NF3氣體的襯墊殘留物移除氣體混合物實行襯墊殘留物移除製程。在襯墊殘留物移除氣體混合物中供應的氫氣及NF3氣體可具有介於約0.5:1與約15:1之間的比例(H2氣體:NF3氣體),例如介於約2:1與約9:1之間。在此種氣體比例控制下,襯墊殘留物移除製程可具有介於約0.7與約2.5之間的氧化矽對氮化矽選擇性(SiO2:SiN)。可將製程壓力控制於介於約0.1托與約10托之間,例如約1托與約5托之間。在一些實例中,在襯墊殘留物移除氣體混合物中亦可供應惰性氣體,例如He氣體或Ar氣體。在一個實例中,可於介於約400sccm與約1200sccm之間供應惰性氣體,例如He氣體。可採用介於15瓦與約45瓦之間的遠端電漿功率以實行襯墊殘留物移除製程。
據信,但不受理論束縛,H2氣體對NF3氣體(H2氣體:NF3氣體)的比例越高,獲得氧化矽層對氮化矽層的選擇性更高。因此,藉由調整H2氣體對NF3氣體之間的比例,可根據需要獲得氧化矽層與氮化矽層之間的期望的選擇性。
第6圖為以複合材料製造用於環繞式水平閘極(hGAA)半導體裝置結構的奈米線結構(例如,通道結構)中的奈米線間隔物的方法600之另一個實例之流程 圖。第7A圖~第7D2圖為對應至方法600之各階段的複合基板之一部分之剖面圖。類似地,可採用方法600以在基板上形成用於環繞式水平閘極(hGAA)半導體裝置的奈米線結構中的奈米線間隔物。或者,方法600可有利地用以製造其他類型的結構。應注意到,第7A圖~第7D2圖中描繪的在此所採用的得到的結構可與第5A圖~第5F圖中描繪的得到的結構類似。
藉由提供基板,例如第1圖及第5A圖中描繪的基板502,於操作602處方法600開始,如第7A圖中所示,該基板502具有形成於該基板502上的膜堆疊501。在此所述的操作602及604類似於第4圖中描繪的操作402及404。在操作604處的橫向蝕刻製程之後,如第7B圖中描繪,在多材料層512中界定凹部516且具有凹部內側壁532。隨後,類似於操作406,可於操作606處實行襯墊填充製程,以在多材料層512中界定的凹部516中填充襯墊層702。由於在操作606中襯墊層702需要被填充在凹部516內,因此經選擇以實行襯墊填充製程的製程可採用可被槓桿化(leveraged)或回流到凹部516中用於沉積的某些液體型前驅物。舉例而言,可採用基於液體的沉積製程,例如可流動式CVD製程或旋塗式沉積製程。其他適合的沉積製程包含循環式層沉積(CLD)、原子層沉積(ALD)、電漿增強化學氣相沉積(PECVD)、物理氣相沉積(PVD)或任何適合的沉積製程,以在多材料層512之結構中填充襯墊層702,包含界定於多材料層512 中的凹部516。類似地,如第7C圖中所示,襯墊層702可被填充在基板502上的多材料層512中且具有足夠的厚度以填充凹部516以及多材料層512中的開口區域,包含多材料層512之深度525(例如,如第5D1圖及第5D2圖中所示的總厚度)。
在一個實例中,採用可流動式CVD製程以在例如第2圖中描繪的處理腔室的可流動式CVD處理腔室中實行襯墊填充沉積製程。在沉積腔室200中實行的襯墊填充沉積製程為可流動式CVD製程,該製程形成襯墊層702作為基於聚矽氮烷的含矽膜(PSZ類膜),該膜可為可回流的且可填充在該基於聚矽氮烷的含矽膜所沉積處的基板中界定的溝渠、特徵結構、介層窗、凹部或其他孔內。
由於稍後將採用襯墊層702來形成奈米線間隔物結構,所形成的襯墊層702之材料經選擇為可降低hGAA奈米線結構中的閘極與源極/汲極結構之間的寄生電容的含矽材料,例如低介電常數材料,含矽材料,例如氮化矽、氧化矽、氮氧化矽、碳化矽、碳氧化矽、碳化矽氮化物或其他適合的材料,例如可自應用材料獲得的Black Diamond®材料。
在一個實施例中,襯墊層702為形成在凹部516中具有足夠寬度708的低介電常數材料(例如,介電常數小於4)或含有氧化矽/氮化矽/碳化矽的材料。
在操作608及操作610處,在襯墊層702填充在凹部中之後,可實行蝕刻製程(在操作610處的等向性蝕刻製程或在操作608處的非等向性蝕刻製程)以蝕刻冗餘襯墊層702(例如,形成在凹部516上方的襯墊層702),如第7D1圖及第7D2圖所示,從而主要將襯墊層702留在多材料層512中界定的凹部516中,該襯墊層702可用以在裝置結構完成之後形成作為奈米線間隔物,特別是用於hGAA裝置結構。
可連續地實行在操作610及680的蝕刻製程(等向性蝕刻製程或非等向性蝕刻製程任一者),以蝕刻穿過從多材料層512過度填充的襯墊層702(例如,從多材料層512之第一層512a從側壁518),以便留下襯墊層702主要填充在凹部516中,從而形成凹部外側壁704、706(在第7D1圖及第7D2圖中分別在操作610處的等向性蝕刻或在操作608處的非等向性蝕刻之後),該等凹部外側壁704、706與從多材料層512之第一層512a的側壁518實質上對準。由於利用沒有任何特定方向性的蝕刻劑來實行在操作610處的等向性蝕刻製程,蝕刻劑傾向於到處攻擊襯墊層702,因此,如第7D1圖所示,產生相對圓形、彎曲或非直線的凹部外側壁704。反之,由於利用具特定方向性的蝕刻劑來實行在操作608處的非等向性蝕刻製程,例如在蝕刻期間垂直地朝向基板表面,蝕刻劑傾向於以特定垂直方向攻擊襯墊層702,因此,如第7D2圖所示,產生相對直、平坦及平滑的凹部外 側壁706。應注意到,可基於不同的製程及裝置結構需求來採用操作608及610處的蝕刻製程兩者。
應注意到,於操作608處的非等向性蝕刻製程可類似於上述的於操作408處的主蝕刻製程。對於操作610處的等向性蝕刻製程,在等向性蝕刻製程期間可消除RF偏壓功率,以便使蝕刻劑遍及基板表面隨機地、到處地或等向性地分佈。
第8圖為以複合材料製造用於環繞式水平閘極(hGAA)半導體裝置結構的奈米線結構(例如,通道結構)中的奈米線間隔物的方法800之另一個實例之流程圖。第9A圖~第9C圖為對應至方法800之各階段的複合基板之一部分之剖面圖。類似地,可採用方法800以在基板上形成用於環繞式水平閘極(hGAA)半導體裝置的奈米線結構中的奈米線間隔物。或者,方法800可有利地用以製造其他類型的結構。應注意到,第9A圖~第9C圖中描繪的在此所採用的得到的結構可與第5A圖~第5F圖或第7A圖~第7D2圖中描繪的得到的結構類似。
在操作412處實行襯墊移除製程而具有第5F圖中所示的得到的結構之後,藉由繼續操作412處的製程,於操作802處方法800開始。因此,為了便於解釋第8圖中描繪的方法800,第9A圖描繪的結構為第5F圖之結構的複製品。如先前所論述,第9A圖之結構(與第5F圖之結構相同)包含填充在多材料層512中界定的凹部 516中的介電質層524,從而界定與多材料層512之第一層512a之側壁518實質上對準的凹部外側壁530。
在操作804處,實行介電質填充移除處理以從凹部516移除介電質層524,如第9B圖中所示,從而留下在多材料層512中界定的凹部516中曝露出的襯墊層523。由於介電質層524經配置以在此特定實例中被移除,因此,用於方法800的此介電質層524之品質要求可能不如上述方法400所要求的介電質層524一般高。舉例而言,經配置為在用於方法800的第9A圖~第9C圖中描繪的實例中所採用的介電質層524可為虛擬(dummy)材料(例如,低品質介電質層),例如有機聚合物層、非晶形碳層、用低成本製程(例如旋塗製程或任何適合的低溫製程)所製造的氧化矽層。在用於方法800的第9A圖~第9C圖中描繪的一個特定實例中,介電質層524為非晶形碳層。
在一個實例中,介電質填充移除製程可為可容易地從基板移除介電質層524的蝕刻製程、灰化製程或剝離製程。在其中介電質層524為第9A圖中描繪的非晶形碳層的實例中,於操作804處實行的灰化製程或剝離製程可採用含氧氣體。或者,任何適合的蝕刻製程,包含乾式或濕式蝕刻製程(例如反應性離子蝕刻製程)亦可用以從基板502選擇性地移除介電質層524而如所需的不損壞襯墊層523或基板502之其他部分。
在操作806處,在移除介電質層524之後,如第9C圖中所示,實行磊晶沉積製程以從多材料層512之第一層512a選擇性地生長磊晶矽層902。由於在此實例中第一層512a經選擇為從本質矽材料製造,因此於操作806處實行的磊晶沉積製程可從第一層512a之側壁518生長(例如,矽相容材料),而非在凹部516中曝露的襯墊層523(例如,矽介電質層或類似者而非本質矽材料)。從第一層512a之側壁518所生長的磊晶矽層902僅包含尖端部分906,尖端部分906稍微突出朝向在多材料層512中界定的凹部516,從而在凹部516中形成氣隙904,氣隙904佔據除了由尖端部分906所佔據的區域以外的凹部516中的大部分的空間。在凹部516中形成的氣隙904可稍後用以在基板上形成用於環繞式水平閘極(hGAA)半導體裝置的奈米線結構的奈米線間隔物(例如,氣隙間隔物)。
第10圖為以複合材料製造用於環繞式水平閘極(hGAA)半導體裝置結構的奈米線結構(例如,通道結構)中的奈米線間隔物的方法1000之另一個實例之流程圖。第11A圖~第11D圖為對應至方法1000之各階段的複合基板之一部分之剖面圖。類似地,可採用方法1000以在基板上形成用於環繞式水平閘極(hGAA)半導體裝置的奈米線結構中的奈米線間隔物。或者,方法1000可有利地用以製造其他類型的結構。應注意到,第11A圖~第11D圖中描繪的在此所採用的得到的結構可與第5A圖 ~第5F圖或第7A圖~第7D2圖或第9A圖~第9C圖中描繪的得到的結構類似。
在實行操作405處的襯墊層沉積製程而具有第5C圖中所示得到的結構之後,藉由繼續操作405處的製程,於操作1002處方法1000開始。因此,為了便於解釋第10圖中描繪的方法1000,第11A圖中描繪的結構為第5C圖之結構的複製品。如先前所論述,第11A圖之結構(與第5C圖之結構相同)包含覆蓋多材料層512之表面以及基板502的襯墊層523。襯墊層523可為襯墊層523上形成的材料提供具有良好的界面黏著性及平面性的界面保護,而具有良好的均勻性、一致性、黏著性及平面性。
在操作1004處,實行氧化處理製程以主要處理第一層512a之側壁518上的襯墊層523,如第11B圖中所示,從而形成主要位於第一層512a之側壁518上的襯墊修改區域1102。由於襯墊層被第一層512a從多材料層512實質上屏蔽,因此位於凹部516之內表面內及/或第二層512b之側壁522上的襯墊層523保持未被修改/未改變。藉由選擇性氧化處理,僅處理襯墊層523之一部分而轉換為襯墊修改區域1102,襯墊修改區域1102可稍後藉由選擇性蝕刻製程容易地從基板502被移除。
在一個實例中,藉由選擇性處理主要位於第一層512a之側壁518上實行氧化處理製程。氧化處理製程可為任何具有氧物種的適合的電漿製程。根據需要,氧物 種之適合的實例可來自由含氧氣體(例如O2、H2O、H2O2及O3)所形成的電漿。
在一個實施方式中,氧化處理製程可在含電漿環境(例如去耦合電漿氧化或快速熱氧化)、熱環境(例如爐)或熱電漿環境(例如APCVD、SACVD、LPCVD或任何適合的CVD製程)中實行。可藉由在處理環境中使用含氧氣體混合物來實行氧化處理製程,以主要使在第一層512a之側壁518上的襯墊層523反應。在一個實施方式中,含氧氣體混合物包含具有惰性氣體或不具有惰性氣體的含氧氣體中之至少一者。含氧氣體之適合的實例包含O2、O3、H2O、NO2、N2O、蒸氣、濕氣及類似者。與氣體混合物一起供應的惰性氣體之適合的實例包含Ar、He、Kr及類似者中之至少一者。在示例性的實施例中,在含氧氣體混合物中供應的含氧氣體為O2氣體。
在氧化處理製程期間,可調節數個製程參數以控制氧化製程。在一個示例性的實施方式中,將製程壓力調節在介於約0.1托與約大氣壓(例如,760托)之間。在一個實例中,在操作304處實行的氧化製程經配置為具有相對高的沉積壓力,例如大於100托的壓力,例如在介於約300托與大氣壓之間。可用以於操作1004處實行選擇性氧化處理製程的適合的技術可包含去耦電漿氧化物製程(decoupled plasma oxide process;DPO)、電漿增強化學氣相沉積製程(PECVD)、低壓化學氣相沉積製程(LPCVD)、低於大氣壓的化學氣相沉積製程 (sub-atmospheric chemical vapor deposition process;SACVD)、大氣化學氣相沉積製程(APCVD)、熱爐製程、氧退火製程、電漿浸沒製程或根據需要的任何適合的製程。在一個實施方式中,可在紫外(UV)光照射下實行氧化製程。
在操作1006處,實行選擇性襯墊移除製程以選擇性地從基板502移除襯墊修改區域1102,如第11C圖中所示,僅留下襯墊層523之一部分保留在多材料層512之凹部516中。隨著襯墊修改區域1102從基板502被移除,第一層512a之側壁518被曝露。選擇性襯墊移除製程可為任何適合的蝕刻製程,包含根據需要的濕式蝕刻或乾式蝕刻,該蝕刻可提供高選擇性以主要移除襯墊修改區域1102而不攻擊保留在基板502上的襯墊層523。
在操作1008處,與操作806類似,如第11D圖中所示,實行磊晶沉積製程以從多材料層512之第一層512a選擇性地生長磊晶矽層1104。由於在此實例中的第一層512a經選擇為從本質矽材料製造並且在操作1006處的選擇性襯墊移除製程之後被曝露,因此在操作1008處實行的磊晶沉積製程可從第一層512a之側壁518生長(例如,矽相容材料),而非在凹部516中的剩餘襯墊層523(例如,矽介電質層或類似者而非本質矽材料)。從第一層512a之側壁518生長的磊晶矽層1104僅包含尖端部分1106,尖端部分1106稍微突出朝向在多材料層512中界定的凹部516,因此在凹部516中形成氣隙 1108,氣隙1108佔據除了由尖端部分1106所佔據的區域以外的凹部516中的大部分的空間。在凹部516中形成的氣隙1108可稍後用以在基板上形成用於環繞式水平閘極(hGAA)半導體裝置的奈米線結構的奈米線間隔物(例如,氣隙間隔物)。
在又另一個實例中,在操作1002處第11A圖中襯墊523形成於基板上之後(或從操作405處第5C圖),當期望在凹部516中形成氣隙時,如第11C圖所示,可跳過該製程並且跳至操作1006以選擇性地移除主要在第一層512a之側壁518上形成的襯墊層523。藉由如此,可消除在操作802處的虛擬介電質層形成製程或在操作1004處的氧化處理製程,以節省製造成本。隨後,如第11D圖中所示,實行類似於操作1008及806的磊晶沉積製程,以從多材料層512之第一層512a選擇性地生長磊晶矽層1104。
第12圖描繪多材料層512之示意圖,該多層材料層512具有成對的第一層512a及第二層512b,且具有在環繞式水平閘極(hGAA)結構1200中採用的在第一層512a及第二層512b中形成的奈米線間隔物1202。環繞式水平閘極(hGAA)結構1200採用多材料層512作為源極錨/汲極錨1206(針對源極錨及汲極錨亦分別圖示為1206a、1206b)與閘極結構1204之間的奈米線(例如,通道)。如第12圖中的多材料層512之剖面圖所示,形成在第二層512b之底部(例如,或端部)的奈米線間隔 物1202(例如第5E1圖、第7D1圖及第7D2圖中描繪的介電質層524、702,或第9C圖及第11D圖中描繪的氣隙904、1108)可協助管理其中第二層512b與閘極結構1204及/或源極錨/汲極錨1206a、1206b接觸的界面,以便減小寄生電容並且維持最小的裝置洩漏。
因此,提供用於形成用於環繞式水平閘極(hGAA)結構的具有減小的寄生電容及最小裝置洩漏的奈米線結構的方法。該方法採用介電質層或氣隙以形成作為奈米線結構中的奈米線間隔物而於界面處具有減小的寄生電容及最小裝置洩漏,該等奈米線間隔物可稍後用以形成環繞式水平閘極(hGAA)結構。因此,可獲得具有期望的類型的材料及裝置電性效能的環繞式水平閘極(hGAA)結構,特別是對於環繞式水平閘極場效電晶體(hGAA FET)中的應用。
儘管前述是針對本發明之實施例,在不脫離本發明之基本範疇的情況下,可設計本發明之其他及進一步實施例,且本發明之範疇由以下的申請專利範圍所決定。
501:膜堆疊
502:基板
504:任選的材料層
512:多材料層
512a:第一層
512b:第二層
518:側壁
523:襯墊層
524:介電質層
530:凹部外側壁

Claims (14)

  1. 一種在一基板上形成用於奈米線結構的奈米線間隔物之方法,該方法包括以下步驟:在設置於一基板上的一奈米線結構上實行一橫向蝕刻製程,該基板上設置有一多材料層,其中該多材料層包括重複成對的一第一層及一第二層,該第一層及該第二層各具有分別在該多材料層中曝露的一第一側壁及一第二側壁,其中該橫向蝕刻製程主要經由該第二側壁蝕刻該第二層,從而在該第二層中形成部分地由一第三側壁界定的一凹部;藉由一第一沉積製程形成一襯墊層,其中該襯墊層形成在該第一層之該第一側壁及該第二層之該第三側壁上,以便部分地界定該凹部;及在該多材料層中的該第一層之該第一側壁上及該凹部上方形成一磊晶矽層,以形成環繞式水平閘極(hGAA)結構中的一奈米線氣隙間隔物,該奈米線氣隙間隔物由該磊晶矽層、該第一層以及該第二層之該第三側壁界定。
  2. 如請求項1所述之方法,進一步包括以下步驟:藉由一第二沉積製程以一介電質材料填充該凹部。
  3. 如請求項2所述之方法,進一步包括以下步 驟:在形成該磊晶矽層之前,移除形成在該第一層之該第一側壁上的該襯墊層及該凹部中的該介電質材料。
  4. 如請求項2所述之方法,其中該襯墊層包含多於一個層。
  5. 如請求項2所述之方法,其中該襯墊層為氮化矽(silicon nitride)、氮氧化矽(silicon oxynitride)、碳氧化矽(silicon oxycarbide)、氮碳化矽(silicon carbonitride)或碳氮氧化矽(silicon oxycarbonitride)或具有摻質的矽材料。
  6. 如請求項2所述之方法,其中該襯墊層是由一ALD製程所製造。
  7. 如請求項2所述之方法,其中該襯墊層具有介於約0.5nm與約5nm之間的一厚度。
  8. 如請求項1所述之方法,其中該多材料層之該第一層為一本質矽層且該多材料層之該第二層為一SiGe層,同時該基板為一矽基板。
  9. 如請求項2所述之方法,其中該介電質材料選自由以下所組成的一群組:氮化矽、氧化矽、氮氧化矽、碳化矽、碳氧化矽、碳氮化矽及摻雜的矽層。
  10. 如請求項2所述之方法,其中以該介電質 材料填充該凹部的步驟包括以下步驟:從該基板填充一非晶形碳。
  11. 如請求項3所述之方法,其中移除該介電質材料的步驟進一步包括以下步驟:藉由一等向性蝕刻製程或藉由一異向性蝕刻製程蝕刻填充超過該凹部的該介電質材料。
  12. 如請求項3所述之方法,進一步包括以下步驟:在該襯墊層上實行一氧化物處理製程,以形成主要形成在該第一層之該第一側壁上的一氧化修改層。
  13. 如請求項12所述之方法,進一步包括以下步驟:將該襯墊層維持在該凹部內不受該氧化物處理製程而改變。
  14. 如請求項13所述之方法,進一步包括以下步驟:從該第一層之該第一側壁選擇性地移除該氧化修改層,同時維持該襯墊層保留在該凹部中。
TW106100112A 2016-01-05 2017-01-04 製造用於半導體應用的環繞式水平閘極裝置的奈米線的方法 TWI708322B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201662275083P 2016-01-05 2016-01-05
US62/275,083 2016-01-05

Publications (2)

Publication Number Publication Date
TW201735256A TW201735256A (zh) 2017-10-01
TWI708322B true TWI708322B (zh) 2020-10-21

Family

ID=59235858

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106100112A TWI708322B (zh) 2016-01-05 2017-01-04 製造用於半導體應用的環繞式水平閘極裝置的奈米線的方法

Country Status (6)

Country Link
US (1) US20170194430A1 (zh)
JP (1) JP6856651B2 (zh)
KR (1) KR102577628B1 (zh)
CN (1) CN108475695B (zh)
TW (1) TWI708322B (zh)
WO (1) WO2017120102A1 (zh)

Families Citing this family (73)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10056265B2 (en) * 2016-03-18 2018-08-21 Taiwan Semiconductor Manufacturing Co., Ltd. Directed self-assembly process with size-restricted guiding patterns
JP6780015B2 (ja) 2016-04-25 2020-11-04 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 水平ゲートオールアラウンドデバイスのナノワイヤの空隙スペーサ形成
KR102564325B1 (ko) * 2017-01-04 2023-08-07 삼성전자주식회사 다수의 채널 영역을 가지는 반도체 장치
US10224224B2 (en) 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
US10269983B2 (en) * 2017-05-09 2019-04-23 Globalfoundries Inc. Stacked nanosheet field-effect transistor with air gap spacers
US10847360B2 (en) 2017-05-25 2020-11-24 Applied Materials, Inc. High pressure treatment of silicon nitride film
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
JP7190450B2 (ja) 2017-06-02 2022-12-15 アプライド マテリアルズ インコーポレイテッド 炭化ホウ素ハードマスクのドライストリッピング
US9954058B1 (en) 2017-06-12 2018-04-24 International Business Machines Corporation Self-aligned air gap spacer for nanosheet CMOS devices
CN109216454A (zh) * 2017-07-07 2019-01-15 中芯国际集成电路制造(北京)有限公司 纳米线晶体管及其形成方法
US10269571B2 (en) * 2017-07-12 2019-04-23 Applied Materials, Inc. Methods for fabricating nanowire for semiconductor applications
US10234630B2 (en) 2017-07-12 2019-03-19 Applied Materials, Inc. Method for creating a high refractive index wave guide
US10179941B1 (en) 2017-07-14 2019-01-15 Applied Materials, Inc. Gas delivery system for high pressure processing chamber
US10103238B1 (en) * 2017-07-18 2018-10-16 Globalfoundries Inc. Nanosheet field-effect transistor with full dielectric isolation
FR3070089B1 (fr) * 2017-08-11 2019-12-20 Commissariat A L'energie Atomique Et Aux Energies Alternatives Procede de formation de regions d'extension dopees dans une structure a nanofils superposes
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
CN111095513B (zh) 2017-08-18 2023-10-31 应用材料公司 高压高温退火腔室
CN109427582B (zh) 2017-08-22 2021-09-07 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US10177227B1 (en) * 2017-08-28 2019-01-08 Applied Materials, Inc. Method for fabricating junctions and spacers for horizontal gate all around devices
DE102017124637A1 (de) * 2017-08-30 2019-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. Herstellungsverfahren für ein Halbleiter-Bauelement und ein Halbleiter-Bauelement
US10361278B2 (en) * 2017-08-30 2019-07-23 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
WO2019055415A1 (en) 2017-09-12 2019-03-21 Applied Materials, Inc. APPARATUS AND METHODS FOR MANUFACTURING SEMICONDUCTOR STRUCTURES USING A PROTECTIVE BARRIER LAYER
KR102353931B1 (ko) * 2017-09-13 2022-01-21 삼성전자주식회사 반도체 소자 및 그 제조 방법
US10468311B2 (en) 2017-10-06 2019-11-05 International Business Machines Corporation Nanosheet substrate isolated source/drain epitaxy by nitrogen implantation
US10818777B2 (en) * 2017-10-30 2020-10-27 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US10643867B2 (en) 2017-11-03 2020-05-05 Applied Materials, Inc. Annealing system and method
JP7112490B2 (ja) 2017-11-11 2022-08-03 マイクロマテリアルズ エルエルシー 高圧処理チャンバのためのガス供給システム
JP7330181B2 (ja) 2017-11-16 2023-08-21 アプライド マテリアルズ インコーポレイテッド 高圧蒸気アニール処理装置
WO2019099255A2 (en) 2017-11-17 2019-05-23 Applied Materials, Inc. Condenser system for high pressure processing system
KR102399071B1 (ko) * 2017-11-17 2022-05-17 삼성전자주식회사 반도체 장치
US10553696B2 (en) * 2017-11-21 2020-02-04 International Business Machines Corporation Full air-gap spacers for gate-all-around nanosheet field effect transistors
US10903109B2 (en) 2017-12-29 2021-01-26 Micron Technology, Inc. Methods of forming high aspect ratio openings and methods of forming high aspect ratio features
SG11202006867QA (en) 2018-01-24 2020-08-28 Applied Materials Inc Seam healing using high pressure anneal
SG11202008256WA (en) 2018-03-09 2020-09-29 Applied Materials Inc High pressure annealing process for metal containing materials
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10566188B2 (en) 2018-05-17 2020-02-18 Applied Materials, Inc. Method to improve film stability
US10704141B2 (en) 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
WO2019246574A1 (en) * 2018-06-22 2019-12-26 Tokyo Electron Limited Method for forming a nanowire device
US11342411B2 (en) 2018-06-29 2022-05-24 Intel Corporation Cavity spacer for nanowire transistors
US20200035513A1 (en) * 2018-07-25 2020-01-30 Applied Materials, Inc. Processing apparatus
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
US11239359B2 (en) * 2018-09-29 2022-02-01 International Business Machines Corporation Fabricating a gate-all-around (GAA) field effect transistor having threshold voltage asymmetry by thinning source side lateral end portion of the nanosheet layer
CN111081546B (zh) * 2018-10-19 2024-01-26 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
KR102528076B1 (ko) 2018-10-30 2023-05-03 어플라이드 머티어리얼스, 인코포레이티드 반도체 응용들을 위한 구조를 식각하기 위한 방법들
US10861722B2 (en) * 2018-11-13 2020-12-08 Applied Materials, Inc. Integrated semiconductor processing
KR20210077779A (ko) 2018-11-16 2021-06-25 어플라이드 머티어리얼스, 인코포레이티드 강화된 확산 프로세스를 사용한 막 증착
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
CN111477548B (zh) * 2019-01-23 2023-09-22 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管的形成方法
WO2020172208A1 (en) * 2019-02-20 2020-08-27 Tokyo Electron Limited Method for selective etching at an interface between materials
US10665669B1 (en) 2019-02-26 2020-05-26 Globalfoundries Inc. Insulative structure with diffusion break integral with isolation layer and methods to form same
US11165032B2 (en) * 2019-09-05 2021-11-02 Taiwan Semiconductor Manufacturing Co., Ltd. Field effect transistor using carbon nanotubes
TW202129061A (zh) * 2019-10-02 2021-08-01 美商應用材料股份有限公司 環繞式閘極輸入/輸出工程
TWI838260B (zh) * 2019-10-21 2024-04-01 美商應用材料股份有限公司 水平gaa奈米線及奈米平板電晶體
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
US11233149B2 (en) 2020-03-03 2022-01-25 Taiwan Semiconductor Manufacturing Co., . Ltd. Spacer structures for semiconductor devices
JP7414593B2 (ja) * 2020-03-10 2024-01-16 東京エレクトロン株式会社 基板処理方法及び基板処理装置
US11437492B2 (en) * 2020-05-20 2022-09-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacture
DE102020127567A1 (de) 2020-05-20 2021-11-25 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleitervorrichtung und verfahren zu ihrer herstellung
DE102021108179A1 (de) * 2020-05-28 2021-12-02 Taiwan Semiconductor Manufacturing Co., Ltd. Multigate-bauelemente mit mehrschichtigen inneren abstandshaltern und verfahren zu deren fertigung
US11908919B2 (en) 2020-05-28 2024-02-20 Taiwan Semiconductor Manufacturing Co., Ltd Multi-gate devices with multi-layer inner spacers and fabrication methods thereof
US11508828B2 (en) * 2020-07-06 2022-11-22 Applied Materials, Inc. Selective silicon etch for gate all around transistors
US11545397B2 (en) * 2020-07-15 2023-01-03 Taiwan Semiconductor Manufacturing Co., Ltd. Spacer structure for semiconductor device and method for forming the same
US11843033B2 (en) 2021-01-28 2023-12-12 Applied Materials, Inc. Selective low temperature epitaxial deposition process
JP7305700B2 (ja) * 2021-04-19 2023-07-10 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
US12027374B2 (en) 2021-04-30 2024-07-02 Applied Materials, Inc. Processes to deposit amorphous-silicon etch protection liner
US20230030436A1 (en) * 2021-07-30 2023-02-02 Applied Materials, Inc. Plasma treatment process to densify oxide layers
US20230037320A1 (en) * 2021-08-06 2023-02-09 Applied Materials, Inc. Anisotropic sige:b epitaxial film growth for gate all around transistor
EP4199099A1 (en) * 2021-12-17 2023-06-21 Imec VZW A method for forming a semiconductor device
US11908693B2 (en) 2022-02-11 2024-02-20 Nanya Technology Corporation Method for preparing semiconductor device structure with lining layer
WO2024091478A1 (en) * 2022-10-26 2024-05-02 Applied Materials, Inc. Surface modifiers for enhanced epitaxial nucleation and wetting
US20240194757A1 (en) * 2022-12-07 2024-06-13 Applied Materials, Inc. Multilayer inner spacer for gate-all-around device

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100295024A1 (en) * 2009-05-19 2010-11-25 Commissariat a 1'Energie Atomique et aux Energies Alternatives Semiconductor structure and method for producing a semiconductor structure
TW201327688A (zh) * 2011-12-28 2013-07-01 Univ Nat Chiao Tung 具有奈米線通道之半導體元件的製程及藉此形成之半導體元件
TW201519442A (zh) * 2013-11-01 2015-05-16 Samsung Electronics Co Ltd 結晶多奈米片iii-v族通道場效電晶體及其製造方法
US20150333162A1 (en) * 2014-05-16 2015-11-19 Globalfoundries Inc. Methods of forming nanowire devices with metal-insulator-semiconductor source/drain contacts and the resulting devices
TW201547016A (zh) * 2014-03-24 2015-12-16 Intel Corp 用於奈米線裝置的製造內部間隔物的整合方法

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR970013116A (ko) * 1995-08-28 1997-03-29 김주용 반도체소자의 제조방법
KR100481209B1 (ko) * 2002-10-01 2005-04-08 삼성전자주식회사 다중 채널을 갖는 모스 트랜지스터 및 그 제조방법
FR2884648B1 (fr) * 2005-04-13 2007-09-07 Commissariat Energie Atomique Structure et procede de realisation d'un dispositif microelectronique dote d'un ou plusieurs fils quantiques aptes a former un canal ou plusieurs canaux de transistors
US9484462B2 (en) * 2009-09-24 2016-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of fin field effect transistor
CN106847875B (zh) * 2011-12-23 2021-04-20 索尼公司 非平面栅极全包围器件及其制造方法
US9484447B2 (en) * 2012-06-29 2016-11-01 Intel Corporation Integration methods to fabricate internal spacers for nanowire devices
CN103779226B (zh) * 2012-10-23 2016-08-10 中国科学院微电子研究所 准纳米线晶体管及其制造方法
US8969149B2 (en) * 2013-05-14 2015-03-03 International Business Machines Corporation Stacked semiconductor nanowires with tunnel spacers
US9490340B2 (en) * 2014-06-18 2016-11-08 Globalfoundries Inc. Methods of forming nanowire devices with doped extension regions and the resulting devices
US9508831B2 (en) * 2014-06-19 2016-11-29 Applied Materials, Inc. Method for fabricating vertically stacked nanowires for semiconductor applications
US9293523B2 (en) * 2014-06-24 2016-03-22 Applied Materials, Inc. Method of forming III-V channel
US9306067B2 (en) * 2014-08-05 2016-04-05 Taiwan Semiconductor Manufacturing Company, Ltd. Nonplanar device and strain-generating channel dielectric
US9276064B1 (en) * 2014-11-07 2016-03-01 Globalfoundries Inc. Fabricating stacked nanowire, field-effect transistors
US9647139B2 (en) * 2015-09-04 2017-05-09 International Business Machines Corporation Atomic layer deposition sealing integration for nanosheet complementary metal oxide semiconductor with replacement spacer
US10096712B2 (en) * 2015-10-20 2018-10-09 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of forming and monitoring quality of the same

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100295024A1 (en) * 2009-05-19 2010-11-25 Commissariat a 1'Energie Atomique et aux Energies Alternatives Semiconductor structure and method for producing a semiconductor structure
TW201327688A (zh) * 2011-12-28 2013-07-01 Univ Nat Chiao Tung 具有奈米線通道之半導體元件的製程及藉此形成之半導體元件
TW201519442A (zh) * 2013-11-01 2015-05-16 Samsung Electronics Co Ltd 結晶多奈米片iii-v族通道場效電晶體及其製造方法
TW201547016A (zh) * 2014-03-24 2015-12-16 Intel Corp 用於奈米線裝置的製造內部間隔物的整合方法
US20150333162A1 (en) * 2014-05-16 2015-11-19 Globalfoundries Inc. Methods of forming nanowire devices with metal-insulator-semiconductor source/drain contacts and the resulting devices

Also Published As

Publication number Publication date
US20170194430A1 (en) 2017-07-06
JP2019500756A (ja) 2019-01-10
KR102577628B1 (ko) 2023-09-13
WO2017120102A1 (en) 2017-07-13
CN108475695B (zh) 2021-10-15
JP6856651B2 (ja) 2021-04-07
TW201735256A (zh) 2017-10-01
KR20180091939A (ko) 2018-08-16
CN108475695A (zh) 2018-08-31

Similar Documents

Publication Publication Date Title
TWI708322B (zh) 製造用於半導體應用的環繞式水平閘極裝置的奈米線的方法
TWI774793B (zh) 用於製造半導體應用的奈米線之選擇性氧化
US9214377B2 (en) Methods for silicon recess structures in a substrate by utilizing a doping layer
TWI773634B (zh) 水平環繞式閘極元件的奈米線氣隙間隔之形成
KR101274960B1 (ko) 붕소 질화물 및 붕소-질화물 유도 물질들 증착 방법
KR102483741B1 (ko) 진보된 패터닝 프로세스에서의 스페이서 퇴적 및 선택적 제거를 위한 장치 및 방법들
TWI492298B (zh) 雙重圖案化蝕刻製程
US7972968B2 (en) High density plasma gapfill deposition-etch-deposition process etchant
KR20180085807A (ko) 세정 방법
US9640385B2 (en) Gate electrode material residual removal process
TWI773850B (zh) 用於形成鰭式場效電晶體的單電漿室中之原子層沉積及蝕刻
US11264460B2 (en) Vertical transistor fabrication for memory applications
JP7176106B2 (ja) 誘電体材料の堆積方法
JP2022549243A (ja) 誘電体材料を堆積する方法及び装置
KR102092760B1 (ko) 층간 폴리실리콘 유전체 캡 및 그것을 형성하는 방법
TWI716441B (zh) 用於製造對於半導體應用的水平環繞式閘極裝置的奈米線的方法
JP7331236B2 (ja) 誘電体材料を硬化させる方法及び装置
CN116670802A (zh) 用于半导体装置形成的底层膜
US9355820B2 (en) Methods for removing carbon containing films

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees