TWI669353B - 金屬硬遮罩組合物及在半導體基板上形成精細圖案之方法 - Google Patents

金屬硬遮罩組合物及在半導體基板上形成精細圖案之方法 Download PDF

Info

Publication number
TWI669353B
TWI669353B TW105104156A TW105104156A TWI669353B TW I669353 B TWI669353 B TW I669353B TW 105104156 A TW105104156 A TW 105104156A TW 105104156 A TW105104156 A TW 105104156A TW I669353 B TWI669353 B TW I669353B
Authority
TW
Taiwan
Prior art keywords
moiety
alkyl
group
aryl
substituted
Prior art date
Application number
TW105104156A
Other languages
English (en)
Other versions
TW201639927A (zh
Inventor
暉蓉 姚
伊莉莎貝斯 沃佛
賽勒門K 穆勒
艾爾伯托D 戴爾西斯
趙俊衍
Original Assignee
盧森堡商Az電子材料(盧森堡)股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 盧森堡商Az電子材料(盧森堡)股份有限公司 filed Critical 盧森堡商Az電子材料(盧森堡)股份有限公司
Publication of TW201639927A publication Critical patent/TW201639927A/zh
Application granted granted Critical
Publication of TWI669353B publication Critical patent/TWI669353B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D5/00Coating compositions, e.g. paints, varnishes or lacquers, characterised by their physical nature or the effects produced; Filling pastes
    • C09D5/006Anti-reflective coatings
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D4/00Coating compositions, e.g. paints, varnishes or lacquers, based on organic non-macromolecular compounds having at least one polymerisable carbon-to-carbon unsaturated bond ; Coating compositions, based on monomers of macromolecular compounds of groups C09D183/00 - C09D183/16
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/02Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition
    • C23C18/12Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition characterised by the deposition of inorganic material other than metallic material
    • C23C18/1204Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition characterised by the deposition of inorganic material other than metallic material inorganic material, e.g. non-oxide and non-metallic such as sulfides, nitrides based compounds
    • C23C18/1208Oxides, e.g. ceramics
    • C23C18/1212Zeolites, glasses
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/02Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition
    • C23C18/12Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition characterised by the deposition of inorganic material other than metallic material
    • C23C18/1204Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition characterised by the deposition of inorganic material other than metallic material inorganic material, e.g. non-oxide and non-metallic such as sulfides, nitrides based compounds
    • C23C18/1208Oxides, e.g. ceramics
    • C23C18/1216Metal oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/02Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition
    • C23C18/12Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition characterised by the deposition of inorganic material other than metallic material
    • C23C18/1229Composition of the substrate
    • C23C18/1245Inorganic substrates other than metallic
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/02Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition
    • C23C18/12Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition characterised by the deposition of inorganic material other than metallic material
    • C23C18/125Process of deposition of the inorganic material
    • C23C18/1295Process of deposition of the inorganic material with after-treatment of the deposited inorganic material
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Thermal Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Ceramic Engineering (AREA)
  • Architecture (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Wood Science & Technology (AREA)
  • Structural Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Organic Low-Molecular-Weight Compounds And Preparation Thereof (AREA)
  • Materials For Photolithography (AREA)
  • Compositions Of Macromolecular Compounds (AREA)

Abstract

本發明係關於具有經改良穩定性之新穎組合物,其含有可溶性多配體取代之金屬化合物、多元醇化合物及溶劑,其用於光阻劑圖案上之具有微影特徵之良好溝槽或通孔填充性質之填充材料,其中經填充圖案在基於氧之電漿中具有良好抗電漿蝕刻性並在半導體基板上形成精細圖案中用作硬遮罩,該等精細圖案係藉由此硬遮罩之圖案轉移形成。本發明進一步係關於將該新穎組合物用於製造電子裝置之方法中。

Description

金屬硬遮罩組合物及在半導體基板上形成精細圖案之方法
本發明係關於包含多元醇添加劑及可溶性多配體取代之金屬化合物之組合物,其用作金屬硬遮罩,其顯示針對溝槽或通孔微影特徵之經改良空隙填充能力以及在基於氧之電漿中之良好抗電漿蝕刻性;該等組合物用於藉由硬遮罩之圖案轉移在半導體基板上形成精細圖案之製程。
金屬氧化物膜可用於半導體工業中之各種應用,例如微影硬遮罩、抗反射塗層之底層及電光裝置。
舉例而言,光阻劑組合物用於微影術製程中用以製作小型化電子組件,例如用於製造電腦晶片及積體電路。通常,將光阻劑組合物之薄塗層施加至基板,例如用於製作積體電路之矽晶圓。然後烘烤經塗覆基板以自光阻劑移除期望量之溶劑。然後使基板上之光阻劑膜成影像曝光至光化輻射,例如可見光、紫外線、極紫外線、電子束、粒子束及X射線輻射並顯影以形成圖案。輻射使光阻劑之經曝光區域中發生化學轉化。利用顯影劑溶液處理經曝光塗層以溶解並移除光阻劑之輻射曝光或未經曝光區域。
半導體裝置之小型化之趨勢已使得使用對愈來愈短之輻射波長 敏感之新光阻劑,且亦已使得使用複雜多級系統以克服與該小型化相關之困難。
在光微影術中吸收抗反射塗層及底層可用於減少由於自通常高度反射之基板反射之輻射導致的問題。經反射輻射導致薄膜干涉效應及反射刻痕。薄膜干涉或駐波導致因光阻劑膜中總光強度隨光阻劑厚度變化而變化所造成之臨界線寬尺寸變化。經反射及入射曝光輻射之干涉可造成使輻射貫穿該厚度之均勻性扭曲之駐波效應。當光阻劑在含有形貌特徵之反射基板上圖案化時,反射性刻痕變嚴重,該等特徵散射穿過光阻劑膜之光,從而導致線寬變化,並在極端情形下形成完全損失期望尺寸之區域。塗覆在光阻劑下方及反射基板上方之抗反射塗層膜可顯著改良光阻劑之微影性能。通常,將底部抗反射塗層施加於基板上並固化,然後施加光阻劑層。將光阻劑成影像曝光並顯影。然後,通常使用各種蝕刻氣體乾蝕刻經曝光區域中之抗反射塗層,並由此將光阻劑圖案轉移至基板。
含有大量耐火元素之底層可用作硬遮罩以及抗反射塗層。當上覆光阻劑不能提供對用於將影像轉移至下伏半導體基板中之乾蝕刻之足夠高的抗性時,可使用硬遮罩。在此等情形下,使用稱為硬遮罩之材料,其抗蝕刻性足夠高以將在其上產生之任何圖案轉移至下伏半導體基板中。此因有機光阻劑與下伏硬遮罩不同而成為可能,且可能發現將允許光阻劑中之影像轉移至下伏硬遮罩中之蝕刻氣體混合物。然後此圖案化之硬遮罩可與適當蝕刻條件及氣體混合物一起使用以將影像自硬遮罩轉移至半導體基板中,光阻劑自身及單一蝕刻製程無法完成此任務。
多個抗反射層及底層正用於新微影技術中。在光阻劑不提供足夠抗乾蝕刻性之情形下,用作硬遮罩且在基板蝕刻期間具有高抗蝕刻性之光阻劑之底層及/或抗反射塗層較佳。一種方法已將矽、鈦或其 他金屬材料併入有機光阻劑層下方之層中。另外,可將另一高碳含量抗反射或遮罩層置於含金屬抗反射層下方,例如使用高碳膜/硬遮罩膜/光阻劑之三層改良成像製程之微影性能。習用硬遮罩可藉由化學氣相沈積(例如濺鍍)來施加。然而,旋塗相對於前述習用方法之相對簡單性使得非常期望形成在膜中具有高濃度之金屬材料之新旋塗式(spin-on)硬遮罩或抗反射塗層。
已顯示含有金屬氧化物之用於半導體應用之底層組合物提供抗乾蝕刻性以及抗反射性質。然而,已發現形成金屬氧化物膜之習用可溶性金屬化合物(例如金屬醇鹽)對空氣中之水分極不穩定,從而產生各種問題,包括貨架壽命穩定性、塗覆問題及性能缺點。金屬氧化物在半導體工業中通常使用並接受之溶劑中具有溶解性問題。因此,非常需要製備旋塗式硬遮罩及含有暴露於空氣後穩定之有機溶劑可溶性金屬化合物之其他底層。亦需要此等底層調配物,其另外可用作顯示極低空隙形成之良好通孔及溝槽填充材料,此可利用本發明組合物來完成。然後,可採用此等具有低空隙形成之經填充之微影特徵作為負性色調硬遮罩以在電漿蝕刻後獲得原始光阻劑圖案之反色調影像。當圖案化基板係電子裝置之部件時,新穎組合物亦可用作填充材料,其在使膜固化後在化學溶液中部分剝離以形成金屬氧化物。然後,特徵中之剩餘金屬氧化物膜可用作閘極介電質之高K(介電)材料以改良電流洩漏。通常,該等材料總是使用昂貴並需要專門設備之CVD(化學氣相沈積)製程來沈積。在任一應用中,金屬氧化物硬遮罩材料在固化後在化學溶液中可剝離。化學剝離劑可係酸或鹼水溶液,例如SC1(H2O:H2O2:NH4OH=20:4:1)、Piranha(H2SO4:30% H2O2=2:3)、經稀釋之HF、NH4F、磷酸、300 MIF顯影劑或僅溶劑或溶劑混合物。
本發明係關於新穎組合物,其包含可溶性多配體取代之金屬化 合物、多元醇化合物及溶劑。
本發明係關於組合物,其包含;a)結構(I)之可溶性多配體取代之金屬化合物
其中M係(4)價金屬且n係1至20,且其中R1、R2、R3及R4係獨立選自1)、2)、3)或其混合物之部分,其中1)係有機部分(II),
其中R8選自由C2-C10伸烷基、C3-C12具支鏈伸烷基、C5-C12伸環烷基、含有C=C雙鍵之C2-C10伸烷基、含有C=C雙鍵之C3-C12具支鏈伸烷基及含有C=C雙鍵之C5-C12伸環烷基組成之群,且另外其中R9係氫或烷氧基羰基部分(IV),其中R10係C1-C8烷基, 2)係結構為(III)之具有至少2個碳之含矽有機部分
其中R5及R6獨立選自C1-C8烷基、C3-C12具支鏈烷基或芳基,R7獨立選自C1-C8烷基、芳基、羥基或結構(V)之矽氧烷,其中R11係氫、C1-C8烷基部分、羥基取代之C1-C8烷基部分或芳基部分,且R12及R13獨立選自C1-C8烷基部分、C3-C12具支鏈烷基部分或芳基部分且其中p表示矽氧烷部分(V)中之重複單元數;且
3)係選自由以下組成之群之有機部分:C2-C8烷基、C6-C16芳基或經取代芳基、C2-C8烷基羧基、C6-C16芳基羧基或經取代芳基羧基、經氟化C2-C8烷基羧基、經氟化C6-C16芳基羧基或經取代芳基羧基、C2-C8烷基磺醯基、C6-C16芳基磺醯基或經取代芳基磺醯基、經氟化C2-C8烷基磺醯基、經氟化C6-C16芳基磺醯基或經取代芳基磺醯基及其混合物;b)結構為(VI)之多元醇化合物
其中X係C或N,其中r係至少2且q在0-2範圍內,且其中當X係C時q及r之總和係4且當X係N時q及r之總和係3,且另外其中R14係氫、C1至C8烷基部分或C2至C8羥基伸烷基部分;且當X係N時,Y係C2-C8伸烷基部分;當X係C時,Y獨立選自直接價鍵、C2-C8伸烷基部分或含有結構為(VII)之烷氧基伸烷基鏈之部分,其中R15係C2至C8伸烷基部分,t係0至2且t’係1至2;
及c)溶劑。
本發明進一步係關於將新穎組合物用於製造電子裝置之方法。本發明進一步係關於將該等新穎組合物用於塗覆圖案化光阻劑以形成具有低空隙形成之經填充光阻劑圖案,且係關於將該等經填充光阻劑圖案用作負性色調硬遮罩,其中使用適當電漿(例如氧電漿)來移除光阻劑之未經填充區域以影響影像色調反轉。本發明亦係關於使用剝離 劑來移除組合物。
除非另有所示,否則如本文所用連接詞「及」意欲為具有囊括性且連接詞「或」並不意欲具有排他性。例如,片語「或,另一選擇為」意欲具有排他性。
如本文所用,術語「及/或」係指前述元素之任何組合,包括使用單一元素。
如本文所用,術語「烷基」係指直鏈或環狀鏈烷基取代基以及其具支鏈異構物中之任一者。
如本文所用,術語「伸烷基」係指直鏈二官能化伸烷基取代基,其具有通式-CH2-(CH2)n-CH2-。
如本文所用,術語「具支鏈伸烷基」係指亦有烷基取代基存在之伸烷基取代基。
如本文所用,術語「環狀伸烷基」係指含有環狀烴之二取代烴部分,附接點可於環狀烴自身上或於環狀烴上之側接烴取代基上。
如本文所用,術語「芳基」係指衍生自芳族環之任何官能基或取代基,例如苯基、萘基、噻吩基、吲哚基等。
如本文所用,術語「二酮」係指具有2個酮基團之任何溶劑,非限制性實例為二乙醯、乙醯丙酮及己烷-2,5-二酮。
如本文所用,術語「基於矽之聚合物」係指矽聚合物以及有機矽聚合物且包括低聚物材料,例如二聚物、三聚物及諸如此類。
如本文所用,術語「組合物」及「調配物」可互換使用並意指相同事物。
本發明係關於新穎組合物,其包含可溶性多配體取代之金屬化 合物、多元醇化合物及溶劑。
本發明係關於組合物,其包含;a)結構(I)之可溶性多配體取代之金屬化合物
其中M係4價金屬且n係1至20,且其中R1、R2、R3及R4係獨立選自1)、2)、3)或其混合物之部分,其中1)係有機部分(II),
其中R8選自由C2-C10伸烷基、C3-C12具支鏈伸烷基、C5-C12伸環烷基、含有C=C雙鍵之C2-C10伸烷基、含有C=C雙鍵之C3-C12具支鏈伸烷基及含有C=C雙鍵之C5-C12伸環烷基組成之群,且另外其中R9係氫或烷氧基羰基部分(IV),其中R10係C1-C8烷基;
2)係結構為(III)之具有至少2個碳之含矽有機部分
其中R5及R6獨立選自C1-C8烷基、C3-C12具支鏈烷基或芳基,R7獨立選自C1-C8烷基、芳基、羥基或結構(V)之矽氧烷,其中R11係氫、C1-C8烷基部分、羥基取代之C1-C8烷基部分或芳基部分,且R12及R13獨立選自C1-C8烷基部分、C3-C12具支鏈烷基部分或芳基部分且其中p表示矽氧烷部分(V)中之重複單元數;且
3)係選自由以下組成之群之有機部分:C2-C8烷基、C6-C16芳基或經取代芳基、C2-C8烷基羧基、C6-C16芳基羧基或經取代芳基羧基、經氟化C2-C8烷基羧基、經氟化C6-C16芳基羧基或經取代芳基羧基、C2-C8烷基磺醯基、C6-C16芳基磺醯基或經取代芳基磺醯基、經氟化C2-C8烷基磺醯基、經氟化C6-C16芳基磺醯基或經取代芳基磺醯基及其混合物;b)結構為(VI)之多元醇化合物
其中X係C或N,其中r係至少2且q在0-2範圍內,且其中當X係C時q及r之總和係4且當X係N時q及r之總和係3,且另外其中R14係氫、C1至C8烷基部分或C2至C8羥基伸烷基部分;且當X係N時,Y係C2-C8伸烷基部分;當X係C時,Y獨立選自直接價鍵、C2-C8伸烷基部分或含有結構為(VII)之烷氧基伸烷基鏈之部分,其中R15係C2至C8伸烷基部分,t係0至2且t’係1至2;
及c)溶劑。
新穎組合物中之可溶性多配體取代之金屬化合物結構為(I)
其中M係4價金屬且n係1至20,且其中R1、R2、R3及R4係獨立選自1)、2)、3)或其混合物之部分,其中1)係有機部分(II),
其中R8選自由C2-C10伸烷基、C3-C12具支鏈伸烷基、C5-C12伸環烷基、含有C=C雙鍵之C2-C10伸烷基、含有C=C雙鍵之C3-C12具支鏈伸烷基及含有C=C雙鍵之C5-C12伸環烷基組成之群,且另外其中R9係氫或烷氧基羰基部分(IV),其中R10係C1-C8烷基;
2)係結構為(III)之具有至少2個碳之含矽有機部分
其中R5及R6獨立選自C1-C8烷基、C3-C12具支鏈烷基或芳基,R7獨立選自C1-C8烷基、芳基、羥基或結構(V)之矽氧烷,其中R11係氫、C1-C8烷基部分、羥基取代之C1-C8烷基部分或芳基部分,且R12及R13獨立選自C1-C8烷基部分、C3-C12具支鏈烷基部分或芳基部分且其中p表示矽氧烷部分(V)中之重複單元數;且
3)係選自由以下組成之群之有機部分:C2-C8烷基、C6-C16芳基或經取代芳基、C2-C8烷基羧基、C6-C16芳基羧基或經取代芳基羧基、經氟化C2-C8烷基羧基、經氟化C6-C16芳基羧基或經取代芳基羧基、C2-C8烷基磺醯基、C6-C16芳基磺醯基或經取代芳基磺醯基、經氟化C2-C8 烷基磺醯基、經氟化C6-C16芳基磺醯基或經取代芳基磺醯基及其混合物;如以下所述,本發明多配體取代之金屬化合物之製備係自其醇鹽或乙醯丙酮酸鹽(acac)來製備。使烷氧基或acac金屬化合物與含SiOH化合物(例如三甲基矽醇)、寡聚物或聚合物(例如聚二甲基矽氧烷(羥基為端基))反應,此獲得具有至少2個碳之含矽有機部分2);然後接著與酸酐、環狀酸酐或羧酸反應以獲得有機部分(II)。可構成多配體取代之金屬化合物上之R1、R2、R3、R4基團中之一些之可選C1-C8烷基取代基可自來自醇鹽金屬前體之殘餘醇鹽或藉由使用C1-C8醇作為多配體取代之金屬化合物製備中之額外試劑來產生。反應在可溶解醇鹽或acac前體二者之溶劑及其他試劑中進行。用於該反應之典型溶劑或溶劑混合物含有酯、醚及醇官能基,例如以體積計丙二醇甲醚乙酸酯(PGMEA)及丙二醇甲醚(PGME)之70/30混合物。其他可用溶劑為烴,例如環己烷、苯、甲苯等。
應注意,如期望,可使用多於2種配體與烷氧基金屬反應。
本發明之一實施例為,其中在組合物中結構為(I)之可溶性多配體取代之金屬化合物具有選自由部分1)、2)及其混合物組成之群之R1、R2、R3及R4
組合物之另一實施例為,其中在結構為(I)之可溶性多配體取代之金屬化合物中,部分2),即結構(III)之含有具有至少2個碳之有機部分之含矽部分的含量在結構(I)中之部分R1、R2、R3及R4之總莫耳之30莫耳%至60莫耳%範圍內,且另外其中結構(II)之有機部分1)的含量在結構(I)中之部分R1、R2、R3及R4之總莫耳之30莫耳%至60莫耳%範圍內。
本發明之另一實施例為,其中在結構(I)中,(4)價金屬可選自選自以下之群:矽(4)、鈦(4)、鋯(4)、鉭(4)、鉛(4)、鉿(4)、鉬(4)、鍺 (4)、錫(4)、鎢(4)及其他(4)價過渡金屬。金屬化合物可係單原子的,n係約1。金屬化合物亦可係多原子的,n在約2至約20範圍內,從而產生交替金屬-氧區段之鏈。多原子化合物可僅含有一種類型之金屬原子,例如鈦,或其可具有分散入金屬-側氧基主鏈中之其他金屬,例如矽及鋯。混合金屬多原子金屬化合物中之每一金屬之量可在0.001%至99.999%範圍內,此取決於最終所固化之金屬氧化物層之期望性質。該等新穎金屬化合物即使在暴露於空氣後亦係穩定的,其具有良好填充性質且亦可在化學溶液中剝離。
在此新穎組合物之一實施例中,組份a),即結構為(I)之可溶性多配體取代之金屬化合物的n在2至20範圍內。本發明另一態樣為,其中結構為(I)之可溶性多配體取代之金屬化合物當n係2至20時可具有一種以上金屬。
在此新穎組合物之另一實施例中,組份a),即結構為(I)之可溶性多配體取代之金屬化合物的n等於1。
可能用於結構(I)之多配體取代之金屬化合物之金屬為Ti、Zr及Hf。
在另一實施例中,結構為(III)之具有至少2個碳之含矽有機部分2)可選自由以下組成之群:
在本發明另一實施例中,新穎組合物包含結構(I)之可溶性多配體取代之金屬化合物,其中結構為(III)之具有至少2個碳之含矽有機部分具有結構為(V)之R7基團。
在此新穎組合物之另一較佳實施例中,結構為(I)之可溶性多配體取代之金屬化合物中有機部分II)係選自由以下組成之群:
在本發明之另一態樣中,結構(I)之可溶性多配體取代之金屬化合物具有選自部分3)有機部分之R1、R2、R3及R4中之至少一者,其中此部分另外選自由C1-C8烷基部分組成之群,其以基團R1、R2、R3及R4之總量之0莫耳%至50莫耳%存在。
在本發明之另一態樣中,結構為(I)之可溶性多配體取代之金屬化合物具有選自由部分1)及2)組成之群之R1、R2、R3及R4,其中部分1)以全部部分之30-60莫耳%存在且部分2)以全部部分之30-60莫耳%存在。
新穎組合物中之多元醇化合物結構為(VI),
其中X係C或N,且其中q及r分別係附接至X部分R14-及-Y-OH之數目;其中r係至少2且q在0-2範圍內且其中當X係C時q及r之總和係4且當X係N時q及r之總和係3;且另外其中R14係氫、C1至C8烷基部分或C2至C8羥基伸烷基部分;且另外,當X係N時,Y係C2-C8伸烷基部分,當X係C時,Y獨立選自直接價鍵、C2-C8伸烷基部分或含有結構為(VII)之烷氧基伸烷基鏈之部分,其中R15係C2至C8伸烷基部分,且t表示存於烷氧基伸烷基鏈中之烷氧基伸烷基單元數,t係0至2且t’係1至2。
在此新穎組合物之另一態樣中,結構為(VI)之多元醇添加劑具有結構為(VII)之Y,且另外其中此多元醇添加劑亦具有小於500之重量平均分子量及高於250℃之沸點。在本發明調配物之此態樣之一實施 例中,多元醇添加劑具有結構(VI),具有結構為(VII)之Y且亦具有小於300之重量平均分子量及高於300℃之沸點。
此新穎組合物之另一態樣為,其中結構為(VI)之多元醇添加劑(其中Y結構為(VII))選自由以下組成之群:四甘醇、三甘醇、丙三醇、三乙醇胺、二乙醇胺、新戊二醇、甘油丙氧雜酸及新戊四醇乙氧雜酸。
此新穎組合物之另一態樣為,其中結構為(VI)之多元醇添加劑(其中Y結構為(VII))選自由結構為VIII、IX、X、XI及XII之化合物組成之群
其中n=1-2
其中a+b+c+d=3-4
HOCH2CH2NHCH2CH2OH (XI)。
本文亦揭示新穎組合物,其中溶劑可選自含有醇、酯、酮、羧酸、醯胺、芳族部分或二酮之溶劑或溶劑混合物。適宜溶劑之特定實例係低級醇(C1-C6),例如異丙醇、正丁醇、第三丁醇、1-戊醇及4-甲基-2-戊醇;二醇,例如乙二醇及丙二醇;二酮,例如二乙醯、乙醯丙酮及己烷-2,5-二酮;二醇醚衍生物,例如乙基賽珞蘇(ethyl cellosolve)、甲基賽珞蘇(methyl cellosolve)、丙二醇單甲醚、二乙二醇單甲醚、二乙二醇單***、二丙二醇二甲醚、丙二醇正丙醚或二乙二醇二甲醚;二醇醚酯衍生物,例如乙基賽珞蘇乙酸酯、甲基賽珞蘇乙酸酯或丙二醇單甲醚乙酸酯;羧酸酯,例如乙酸乙酯、乙酸正丁酯及乙酸戊酯;二元酸之羧酸酯,例如二乙氧基化物及丙二酸二乙酯;二醇之二羧酸酯,例如乙二醇二乙酸酯及丙二醇二乙酸酯;及羥基羧酸酯,例如乳酸甲酯、乳酸乙酯、乙醇酸乙酯及3-羥基丙酸乙酯;酮酯,例如丙酮酸甲酯或丙酮酸乙酯;烷氧基醇,例如1-甲氧基-2-丙醇、2-甲氧基乙醇、乙氧基乙醇;烷氧基羧酸酯,例如3-甲氧基丙酸甲酯、3-乙氧基丙酸乙酯、2-羥基-2-甲基丙酸乙酯或乙氧基丙酸甲酯;酮衍生物,例如甲基乙基酮、乙醯丙酮、環戊酮、環己酮或2-庚酮;酮醚衍生物,例如二丙酮醇甲醚;酮醇衍生物,例如丙酮醇或二丙酮醇;內酯,例如丁內酯及γ-戊內酯;醯胺衍生物,例如二甲基乙醯胺或二甲基甲醯胺;芳族溶劑,例如苯甲醚;及其混合物。
本發明新穎組合物可含有其他組份,例如表面活性劑、調平劑、交聯添加劑及增強塗層性能之熱活化觸媒,例如低級醇(C1-C6醇)、烷氧基醇、內酯、C1-C20烷基羧酸、表面調平劑(總固體之<5重量%)、二烷氧基雙(β二酮酯)(總固體之1-20重量%或5-10重量%)、二烷氧基雙(β二酮)(總固體之1-20重量%或5-10重量%)、熱酸生成劑、光酸生成劑、熱鹼生成劑或熱自由基生成劑。二烷氧基雙(β二酮酯)及二烷氧基雙(β二酮)可係乙醯丙酮、苯甲醯丙酮、4,4,4-三氟-1-苯基-1,3-丁二酮及乙醯乙酸乙酯。
表面調平劑或表面活性劑可為聚乙二醇十二烷基醚、聚氧乙烯油基醚、聚乙二醇十八烷基醚、聚乙二醇第三辛基苯基醚、基於氟之表面活性劑及基於矽之表面活性劑。可使用具有以下商標名之表面活性劑:Brij30、Brij52、Triton X-100、FC4430、KP341等。
為使新穎組合物進一步交聯,可添加交聯添加劑,包括(例如)基於雙酚A之環氧化合物、基於雙酚F之環氧化合物、基於雙酚S之環氧化合物、基於酚醛清漆樹脂之環氧樹脂、基於聚(羥基苯乙烯)之環氧化合物、三聚氰胺化合物、苯并胍胺化合物及脲化合物。
亦可將熱活化觸媒(例如熱酸生成劑)添加至新穎組合物中以有助於在熱固化經塗覆組合物期間交聯。熱酸生成劑可在高於90℃(例如高於120℃或高於150℃)下活化。熱酸生成劑之實例包括不含金屬之鋶鹽及錪鹽,例如非親核強酸之三芳基鋶鹽、二烷基芳基鋶鹽及二芳基烷基鋶鹽,非親核強酸之烷基芳基錪鹽、二芳基錪鹽;及非親核強酸之銨鹽、烷基銨鹽、二烷基銨鹽、三烷基銨鹽、四烷基銨鹽。烷基磺酸或芳基磺酸之2-硝基苄基酯及熱分解從而得到游離磺酸之其他磺酸酯。其他實例包括全氟烷基磺酸二芳基錪、叁(氟烷基磺醯基)甲基化二芳基錪、雙(氟烷基磺醯基)甲基化二芳基錪、雙(氟烷基磺醯基)醯亞胺二芳基錪、全氟烷基磺酸二芳基錪或全氟烷基磺酸四級銨。不穩定酯之實例:甲苯磺酸2-硝基苄基酯、甲苯磺酸2,4-二硝基苄基酯、甲苯磺酸2,6-二硝基苄基酯、甲苯磺酸4-硝基苄基酯;苯磺酸酯,例如4-氯苯磺酸2-三氟甲基-6-硝基苄基酯、4-硝基苯磺酸2-三氟甲基-6-硝基苄基酯;酚類磺酸酯,例如4-甲氧基苯磺酸苯基酯;叁(氟烷基磺醯基)甲基化四級銨及雙(氟烷基磺醯基)醯亞胺四級烷基銨;有機酸之烷基銨鹽,例如10-樟腦磺酸之三乙基銨鹽。各種芳族(蒽、萘或苯衍生物)磺酸胺鹽可用作TAG,包括美國專利第3,474,054號、第4,200,729號、第4,251,665號及第5,187,019號中所揭示之彼等。在介於170℃至220℃之間之溫度下TAG可具有極低揮發性。
熱活化過氧化物亦可用於本發明組合物中,該等熱活化過氧化物例如過氧化苯甲醯、3,5-二氯苯并過氧化物及諸如此類。
在新穎組合物之一態樣中,多元醇含量小於組合物中之總固體 之60wt%且大於總固體之3wt%。在本發明之另一態樣中,多元醇含量小於組合物中之總固體之40wt%且大於5wt%。
此新穎組合物之另一態樣為,其中溶液中之金屬組份及多元醇組份之總固體含量wt%在總固體之0.5-50wt%範圍內。本發明之此態樣之較佳實施例為,其中溶液中之金屬組份及多元醇組份之總固體含量wt%在1-35%範圍內。
兩種或更多種具有相同或不同金屬之金屬化合物可用於調配物中。當使用時,總金屬化合物在基於總固體含量之40-97wt%或60-95wt%範圍內。
交聯添加劑可以基於固體之介於2重量%至15重量%之間存在。可添加其他添加劑,例如濕潤劑、表面活性劑、消泡劑、觸變劑及諸如此類。
當暴露於空氣且然後存儲時,新穎組合物係穩定的。該等材料可暴露於空氣長達24小時且然後存儲至少1週,而微影性質無任何劣化,例如塗層缺陷。此外,新穎材料可藉由濕式剝離溶液(例如移除經固化膜之化學溶液)來移除。
該等新穎組合物可塗覆於諸如以下基板之表面上:低介電常數材料、矽、塗覆有金屬表面之矽基板、銅塗覆矽晶圓、銅、鋁、聚合樹脂、二氧化矽、金屬、經摻雜二氧化矽、氮化矽、鉭、多晶矽、陶瓷、鋁/銅混合物、金屬氮化物中之任一者(例如AlN);砷化鎵及其他該等第III/V族化合物。該基板亦可為其他抗反射塗層或底層,例如塗覆於上文所提及基板上之高碳底層。該基板可包含任一數目之自上述材料所製得之層。
使用熟習此項技術者熟知之技術將新穎組合物塗覆於基板上,例如浸塗、旋塗或噴塗。組合物之膜厚度在約3nm至約600nm、例如約5nm至約500nm範圍內。
組合物可塗覆於圖案化由通孔或溝槽之光阻劑上。塗層之膜厚度在約20nm至約900nm、例如約40nm至約700nm範圍內,此取決於光阻劑中之通孔或溝槽特徵之深度。該塗層可進一步在熱板或對流烘箱上加熱足夠長之時間以移除大部分溶劑並誘導固化。固化溫度可係約150℃至約400℃持續約30秒至約5分鐘,例如約200℃至約300℃持續約1分鐘至約2分鐘。
在一應用中,首先將光阻劑塗覆於新穎塗層自身上,而在另一應用中,將新穎塗層施加至含有通孔及/或溝槽之經成像光阻劑膜以用作填充化合物。
光阻劑可係半導體工業中所用類型中之任一者,前提係光阻劑中之光活性化合物實質上吸收用於成像製程之曝光波長。可使用用於浸潤式微影術之光阻劑。通常,可使用適於利用浸潤式微影術成像之光阻劑,其中該等光阻劑具有高於1.85之折射率且亦為疏水的,具有在75°至95°之範圍內之水接觸角。
迄今為止,有若干種主要深紫外(uv)曝光技術已在小型化方面提供顯著進展,並具有250nm至10nm、例如248nm、193nm、157nm及13.5nm之光化輻射。通常使用化學增強之光阻劑。用於248nm之光阻劑通常係基於經取代聚羥基苯乙烯及其共聚物/鎓鹽,例如US 4,491,628及US 5,350,660中所述之彼等。另一方面,用於在193nm及157nm下曝光之光阻劑需要非芳族聚合物,此乃因芳族化合物在此波長下不透明。US 5,843,624及US 6,866,984揭示可用於193nm曝光之光阻劑。通常,含有脂環族烴之聚合物用於在200nm以下曝光之光阻劑。脂環族烴併入聚合物中係出於許多原因,主要由於其具有相對高之碳氫比率,此可改良抗蝕刻性,其亦提供在低波長下之透明度且其具有相對高之玻璃轉變溫度。US 5,843,624揭示用於光阻劑之聚合物,其藉由馬來酸酐與不飽和環狀單體之自由基聚合來獲得。可使用 已知類型之193nm光阻劑中之任一者,例如US 6,447,980及US 6,723,488中所述之彼等,且該等專利以引用方式併入本文中。已知兩個在157nm下敏感且基於具有側接氟代醇基團之經氟化聚合物之光阻劑基礎類別在彼波長下實質上透明。一類157nm氟代醇光阻劑衍生自含有諸如氟化之降莰烯等基團之聚合物,且使用金屬催化聚合或自由基聚合來均聚或與諸如四氟乙烯等其他透明單體共聚(US 6,790,587及US 6,849,377)。通常,該等材料給出較高吸光度,但因其高脂環基含量而具有良好抗電漿蝕刻性。最近,闡述一類157nm氟代醇聚合物,其中聚合物主鏈衍生自諸如1,1,2,3,3-五氟-4-三氟甲基-4-羥基-1,6-庚二烯等非對稱二烯之環化聚合(US 6,818,258)或氟二烯與烯烴之共聚合(US 6,916,590)。該等材料給出在157nm下可接受之吸光度,但由於其與氟-降莰烯聚合物相比脂環基含量較低,故其具有較低抗電漿蝕刻性。該兩類聚合物通常可摻和以在第一類聚合物之高抗蝕刻性與第二類聚合物在157nm下之高透明度之間提供平衡。吸收13.5nm極紫外線輻射(EUV)之光阻劑亦可使用且為業內已知。因此,可用在約12nm至約250nm之範圍內吸收之光阻劑。新穎塗層亦可用於利用奈米壓印及電子束抗蝕劑之製程。
在塗覆製程後,光阻劑成影像曝光。曝光可使用典型曝光設備進行。然後於顯影劑水溶液中顯影經曝光光阻劑以移除未經處理之光阻劑。顯影劑較佳為鹼性水溶液,其包含(例如)四甲基氫氧化銨(TMAH),通常2.38重量% TMAH。顯影劑可進一步包含表面活性劑。可於顯影前及曝光後將可選加熱步驟併入製程中。
在採用新穎組合物之本發明方法之一態樣中為其中基板係圖案化基板之方法,例如圖案化有機聚合物(例如圖案化光阻劑),該基板包含通孔、溝槽、孔或其他中空形貌特徵,其中在烘烤膜後,該方法進一步包含使用適宜電漿或使用化學剝離劑來移除覆蓋圖案化光阻劑 頂部之組合物之步驟。適宜電漿之實例係包含經氟化烴(例如CF4)或無機經氟化化合物(例如SF6)之彼等。
在其中圖案化基板係圖案化有機聚合物層之本發明製程之一態樣中,此方法可進一步包含使用氧電漿來移除圖案化有機聚合物之步驟,由此形成原始圖案化光阻劑之負性色調影像。在本發明方法之一實施例中,圖案化有機聚合物係圖案化光阻劑。
在一實施例中,當圖案化基板為電子裝置之部件時,新穎組合物亦可用作填充材料,其在膜固化後在化學溶液中部分剝離以形成金屬氧化物。然後,特徵中之剩餘金屬氧化物膜可用於高K(介電)材料作為閘極介電質以改良電流洩漏。
有利地,如本文所述,新穎金屬層可使用剝離劑(其為化學溶液)來移除。金屬氧化物之濕剝離性提供與乾蝕刻程序相比簡單且低成本之重加工製程。
如在比較實例中所述,包含多元醇之組合物具有良好填充性能係重要的。在掃描電子顯微鏡下檢驗經塗覆特徵。意外地,在無多元醇之情形下,在類似處理條件下觀察到極大空隙。自包含多元醇之新穎組合物之經塗覆膜無空隙形成,同時保留其他期望的塗層性質,例如塗層均勻性、塗層厚度、塗層缺陷或塗層空隙形成。
添加有多元醇之調配物亦具有良好貨架壽命穩定性,其中該等調配物可在室溫下穩定若干個月或可在40℃之強制老化下穩定一週,並顯示塗層性質無變化。
出於所有目的,上文所提及之各文獻均以引用方式全部併入本文中。以下特定實例將提供產生及利用本發明組合物之方法之詳細說明。然而,該等實例並不意欲以任何方式限制或約束本發明之範圍,且不應理解為提供必須排他性地用於實踐本發明之條件、參數或數值。
實例
於J.A.Woollam VASE32橢圓偏光儀上量測下文實例金屬氧化物塗層之折射率(n)及消光係數(k)值。
聚合物之分子量係於凝膠滲透層析儀上,使用Water 2695 Alliance分離模塊、或配備有Waters雙波長UV檢測器2487型之同等儀器、或同等儀器及同等檢測器Waters差示折射計2414型來量測,Shodex管柱組設置如下:1個Shodex GPC KF-801(1.5×103)管柱,2個Shodex GPC KF-802(5×103)管柱及1個Shodex GPC KF-804(4×105)管柱。流動相為UV穩定之HPLC級THF且分子量標準品為由American Standards公司及Millipore公司所供應之一組聚苯乙烯標準品或同等物。
AZ®ArF稀釋劑自EMD Performance Materials公司(70,Meister Ave.,Somerville,NJ)獲得。除非另外指示,否則丁氧鈦(IV)聚合物(BTP)、新戊四醇乙氧雜酸(3/4 EO/OH)及其他化學品均自Sigma-Aldrich公司(St.Louis,MO,USA)購得。
合成實例1
將40g Ti(IV)BTP(丁氧化物聚合物)聚合物溶解於52g ArF稀釋劑溶劑(70% PGMEA 30% PGME)中並在N2下傾倒至反應容器中。在攪拌下將溫度升高至50℃,同時將12g三甲基矽醇逐滴添加於以上TiBTP中。反應在60℃下保持2小時。然後,將20g 1,2-環己烷二甲酸酐及20g ArF稀釋劑溶劑與以上反應混合物混合且在60℃下繼續反應約1小時。在冷卻至室溫過夜後,將產物存儲於褐色瓶中並仔細密封。於環己烷中獲取產物溶液之FT-IR光譜。將在970cm-1處之FT-IR共振指定為Ti-O-Si伸縮頻率。
合成實例2
將40g Ti(IV)BTP聚合物溶解於58g ArF稀釋劑溶劑中並在N2下 傾倒至反應容器中。在攪拌下將溫度升高至50℃,同時將18g三甲基矽醇逐滴添加於以上TiBTP溶液中。反應在60℃下保持2小時。然後,將30g 1,2-環己烷二甲酸酐及30g ArF稀釋劑溶劑與以上反應混合物混合且在60℃下繼續反應約1小時。在冷卻至室溫過夜後,將產物存儲於褐色瓶子中並仔細密封。在環己烷中獲取產物溶液之FT-IR光譜。將在970cm-1處之FT-IR共振指定為Ti-O-Si伸縮頻率。
合成實例3
將40g Ti(IV)BTP聚合物溶解於58g ArF稀釋劑溶劑中並在N2下傾倒至反應容器中。在攪拌的同時將溫度升高至50℃,同時將18g三甲基矽醇逐滴添加至以上TiBTP溶液中。將反應在60℃下保持2小時。然後,將22g檸康酐及22g ArF稀釋劑溶劑與以上反應混合物混合且在60℃下繼續反應約1小時。在冷卻至室溫過夜後,將產物存儲於褐色瓶子中並仔細密封。在環己烷中獲取產物溶液之FT-IR光譜。將在970cm-1處之FT-IR共振指定為Ti-O-Si伸縮頻率。
合成實例4
將450g檸康酐、600g丁氧Ti(IV)聚合物及1050g ArF稀釋劑藉由攪拌混合並在燒瓶中在氮氣下加熱。將反應在50℃下維持約4小時。在冷卻至室溫過夜後,將具有50%固體含量之產物存儲於褐色瓶子中。質子NMR顯示產物中無酸酐羰基。環己烷中之FT-IR光譜顯示由於Ti-OBu共振在約1100cm-1處之峰降低及由於Ti-OC(=O)R共振在1570cm-1處之新寬峰。
合成實例5
藉由攪拌混合40g Ti(IV)BTP聚合物、22.5g檸康酐及50g PGMEA/PGME 70:30並在氮氣下在燒瓶中加熱。將反應在50℃下維持約2小時。將於15g PGMEA/PGME 70:30中之2.5g九氟丁基-1-磺酸緩慢添加於反應容器中。使反應在50℃下再繼續2小時。冷卻至室溫 後,將具有50%固體含量之產物存儲於褐色瓶子中。在環己烷中獲取之FT-IR光譜顯示由於Ti-OBu共振在約1100cm-1處之峰降低及由於Ti-OC(=O)R共振在1570cm-1處之新寬峰。
合成實例6
藉由攪拌混合15g檸康酐、40g丁氧Ti(IV)聚合物及55g ArF稀釋劑並在燒瓶中在氮氣下加熱。將反應在50℃下維持約4小時。在冷卻至室溫過夜後,將具有50%固體含量之產物存儲於褐色瓶子中。質子NMR顯示產物中無酸酐。在環己烷中獲取之FT-IR光譜顯示由於Ti-OBu共振在約1100cm-1處之峰降低及由於Ti-OC(=O)R共振在1570cm-1處之新寬峰。
合成實例7
將200g四正丁氧Hf(IV)溶解於276g ArF稀釋劑溶劑中並在N2下傾倒至反應容器中。在攪拌下將溫度升高至50℃,同時將76g三甲基矽醇逐滴添加於以上溶液中。將反應在60℃下保持2小時。然後,將127g 1,2-環己烷二甲酸酐及127g ArF稀釋劑溶劑與以上反應混合物混合且在60℃下繼續反應約1小時。在冷卻至室溫過夜後,將產物存儲於褐色瓶子中並仔細密封。
合成實例8
將200g四正丁氧Zr溶解於246.5g ArF稀釋劑溶劑中並在N2下傾倒至反應容器中。在攪拌下將溫度升高至50℃,同時將77.5g三甲基矽醇逐滴添加於以上溶液中。將反應在60℃下保持2小時。然後,將103g 1,2-環己烷二甲酸酐及103g ArF稀釋劑溶劑與以上反應混合物混合且在60℃下繼續反應約1小時。在冷卻至室溫過夜後,將產物存儲於褐色瓶子中並仔細密封。
合成實例9
將200g四第三丁氧Zr溶解於262g ArF稀釋劑溶劑中並在N2下傾 倒至反應容器中。在攪拌下將溫度升高至50℃,同時將62g三甲基矽醇逐滴添加於以上溶液中。將反應在60℃下保持2小時。然後,將103g 1,2-環己烷二甲酸酐及103g ArF稀釋劑溶劑與以上反應混合物混合且在60℃下繼續反應約1小時。在冷卻至室溫過夜後,將產物存儲於褐色瓶子中並仔細密封。
合成實例10
將40g四正丁氧Hf(IV)溶解於56g AZ®ArF稀釋劑溶劑中並在N2下傾倒至反應容器中。在攪拌的同時將溫度升高至50℃,並在攪拌下在N2下將15.4g三甲基矽醇逐滴添加於以上溶液中。將反應混合物在60℃下保持2h。此時間後,將於75.6g AZ®ArF稀釋劑溶劑中之32.4g對甲苯磺酸一水合物添加至反應混合物中且在60℃下繼續反應1小時。在冷卻至室溫過夜後,將產物存儲於褐色瓶子中並仔細密封。
調配物及塗覆實例1
於PGMEA/PGME 70:30溶劑中製備含有14.6wt%之自合成實例1(或合成實例2-6中之任一者)之金屬聚合物及5.4wt%三乙醇胺之20% wt/wt溶液。在充分混合後,將該溶液旋塗於矽晶圓上並在250℃下烘烤60秒。經塗覆晶圓藉由XSEM照片顯示良好塗層品質。
調配物及塗覆實例2
於PGMEA/PGME 70:30溶劑中製備含有12wt%之自合成實例1之金屬聚合物及8wt%新戊四醇乙氧雜酸(3/4 EO/OH)之20% wt/wt溶液。在充分混合後,將該溶液旋塗於矽晶圓上並在250℃下烘烤60秒。經塗覆晶圓藉由XSEM照片顯示良好塗層品質。
調配物及塗覆實例3
於PGMEA/PGME 70:30溶劑中製備含有10wt%之自合成實例4之金屬聚合物及10wt%新戊四醇乙氧雜酸(3/4 EO/OH)之20% wt/wt溶液。在充分混合後,將該溶液旋塗於矽晶圓上並在250℃下烘烤60 秒。經塗覆晶圓藉由XSEM照片顯示良好塗層品質。
調配物及塗覆實例4
於PGMEA/PGME 70:30溶劑中製備含有22.0wt%之自合成實例7(或合成實例7中之任一者)之金屬聚合物及3.0wt%三乙醇胺之25% wt/wt溶液。在充分混合後,將該溶液旋塗於矽晶圓上並在250℃下烘烤60秒。經塗覆晶圓藉由XSEM照片顯示良好塗層品質。
調配物及塗覆實例5
於PGMEA/PGME 70:30溶劑中製備含有21.8wt%之自合成實例8(或合成實例9)之金屬聚合物及3.2wt%三乙醇胺之25wt%溶液。在充分混合後,將該溶液旋塗於矽晶圓上並在250℃下烘烤60秒。經塗覆晶圓藉由XSEM照片顯示良好塗層品質。
調配物及塗覆實例6
於PGMEA/PGME 70:30溶劑中製備含有20wt%之自合成實例8之金屬聚合物及5wt%三乙醇胺之25wt%溶液。在充分混合後,將該溶液旋塗於矽晶圓上並在250℃下烘烤60秒。經塗覆晶圓藉由XSEM照片顯示良好塗層品質。
調配物及塗覆實例7
於PGMEA/PGME 70:30溶劑中製備含有14.6wt%之自合成實例10之金屬聚合物及5.4wt%三乙醇胺之20wt%溶液。在充分混合後,將該溶液旋塗於矽晶圓上並在250℃下烘烤60秒。經塗覆晶圓藉由XSEM照片顯示良好塗層品質。
烘烤後膜中之金屬wt%之測定
調配物實例1、2或3係於矽晶圓上分開塗覆並在適當溫度下下烘烤,通常在250℃下/60s-300℃下/60s。膜中之金屬wt%藉由元素分析及TGA減重量測來量測。2種方法之結果一致。在250℃/60s-300℃/60s烘烤條件下,膜中所量測之總金屬氧化物含量在20wt%至40wt% 範圍內。在所有情形下基於元素分析,該膜主要包含鈦化合物及極少矽含量。
金屬氧化物膜之介電常數之量測實例
於矽晶圓上塗覆調配物實例4及6並在250℃/60s下烘烤以獲得目標為200nm膜厚度之金屬氧化物膜。基於使用外接HP3890A量計在100kHz下之電容量測來計算金屬氧化物膜之介電常數K。
調配物實例4獲得K=4.5之膜且調配物實例6獲得K=5.1之膜,其高於有機化合物之K值(<4)。
通孔填充性能評估實例1
於矽晶圓上個別塗覆調配物實例1-7並在250℃/60s下烘烤,該等矽晶圓具有70nm、80nm、90nm及100nm大小的深度為650nm之通孔,其中該等通孔以半密集且孤立之圖案間隔且孔對間隔之比率分別為1:1、1:1.4及1:6。在掃描電子顯微鏡下檢查經塗覆晶圓且發現在所有情形下皆觀察到良好填充性能,且無任何空隙。
比較通孔填充性能評估實例1
於PGMEA/PGME 70:30溶劑中製備含有自合成實例1至10之金屬聚合物之個別20wt%溶液。在混合以影響金屬聚合物之完全溶解後,於矽晶圓上個別旋塗該等溶液並在250℃/60s下烘烤,該等矽晶圓具有70nm、80nm、90nm及100nm大小的深度為650nm之通孔,其中該等通孔以半密集且孤立之圖案間隔,且孔對間隔之比率分別為1:1、1:1.4及1:6。在掃描電子顯微鏡下檢查個別經塗覆晶圓。在所有情形下,皆觀察到顯著空隙。該等比較實例顯示因新穎組合物中存在多元醇而產生的意外結果,其如同「通孔填充性能評估實例1」中所顯示一般,在類似條件下賦予良好通孔填充性能。

Claims (20)

  1. 一種組合物,其包含:a)結構(I)之可溶性多配體取代之金屬化合物 其中M係(4)價金屬且n係1至20,且其中R1、R2、R3及R4係獨立選自1)、2)、3)或其混合物之部分,條件係R1、R2、R3及R4中至少一者係選自1)和2),其中1)係有機部分(II), 其中R8選自由C2-C10伸烷基、C3-C12具支鏈伸烷基、C5-C12伸環烷基、含有C=C雙鍵之C2-C10伸烷基、含有C=C雙鍵之C3-C12具支鏈伸烷基及含有C=C雙鍵之C5-C12伸環烷基組成之群,且另外其中R9係氫或烷氧基羰基部分(IV),其中R10係C1-C8烷基, 2)係結構為(III)之具有至少2個碳之含矽有機部分 其中R5及R6獨立選自C1-C8烷基、C3-C12具支鏈烷基或芳基,R7獨立選自C1-C8烷基、芳基、羥基或結構(V)之矽氧烷,其中R11係氫、C1-C8烷基部分、羥基取代之C1-C8烷基部分或芳基部 分,且R12及R13獨立選自C1-C8烷基部分、C3-C12具支鏈烷基部分或芳基部分且其中p表示該矽氧烷部分(V)中之重複單元數;且 3)係選自由以下組成之群之有機部分:C2-C8烷基、C6-C16芳基或經取代芳基、C2-C8烷基羧基、C6-C16芳基羧基或經取代芳基羧基、經氟化C2-C8烷基羧基、經氟化C6-C16芳基羧基或經取代芳基羧基、C2-C8烷基磺醯基、C6-C16芳基磺醯基或經取代芳基磺醯基、經氟化C2-C8烷基磺醯基、經氟化C6-C16芳基磺醯基或經取代芳基磺醯基及其混合物;b)結構為(VI)之多元醇化合物 其中X係C或N,其中r係至少2且q在0-2範圍內,且其中當X係C時q及r之總和係4且當X係N時q及r之總和係3,且另外其中R14係氫、C1至C8烷基部分或C2至C8羥基伸烷基部分;且當X係N時,Y係C2-C8伸烷基部分;當X係C時,Y獨立選自直接價鍵、C2-C8伸烷基部分或含有結構為(VII)之烷氧基伸烷基鏈之部分,其中R15係C2至C8伸烷基部分,t係0至2且t’係1至2; 及c)溶劑。
  2. 一種組合物,其包含:a)結構(I)之可溶性多配體取代之金屬化合物 其中M係(4)價金屬且n係1至20,且其中R1、R2、R3及R4係獨立選自1)、2)、3)或其混合物之部分,其中1)係有機部分(II), 其中R8選自由C2-C10伸烷基、C3-C12具支鏈伸烷基、C5-C12伸環烷基、含有C=C雙鍵之C2-C10伸烷基、含有C=C雙鍵之C3-C12具支鏈伸烷基及含有C=C雙鍵之C5-C12伸環烷基組成之群,且另外其中R9係氫或烷氧基羰基部分(IV),其中R10係C1-C8烷基, 2)係結構為(III)之具有至少2個碳之含矽有機部分 其中R5及R6獨立選自C1-C8烷基、C3-C12具支鏈烷基或芳基,R7獨立選自C1-C8烷基、芳基、羥基或結構(V)之矽氧烷,其中R11係氫、C1-C8烷基部分、羥基取代之C1-C8烷基部分或芳基部分,且R12及R13獨立選自C1-C8烷基部分、C3-C12具支鏈烷基部分或芳基部分且其中p表示該矽氧烷部分(V)中之重複單元數;且 3)係選自由以下組成之群之有機部分:C2-C8烷基、C6-C16芳基或經取代芳基、C2-C8烷基羧基、C6-C16芳基羧基或經取代芳基羧基、經氟化C2-C8烷基羧基、經氟化C6-C16芳基羧基或經取代芳基羧基、C2-C8烷基磺醯基、C6-C16芳基磺醯基或經取代芳基磺醯基、經氟化C2-C8烷基磺醯基、經氟化C6-C16芳基磺醯基或經取代芳基磺醯基及其混合物,且其中R1、R2、R3及R4選自由部分1)、部分2)及其混合物組成之群;b)結構為(VI)之多元醇化合物 其中X係C或N,其中r係至少2且q在0-2範圍內,且其中當X係C時q及r之總和係4且當X係N時q及r之總和係3,且另外其中R14係氫、C1至C8烷基部分或C2至C8羥基伸烷基部分;且當X係N時,Y係C2-C8伸烷基部分;當X係C時,Y獨立選自直接價鍵、C2-C8伸烷基部分或含有結構為(VII)之烷氧基伸烷基鏈之部分,其中R15係C2至C8伸烷基部分,t係0至2且t’係1至2; 及c)溶劑。
  3. 一種組合物,其包含:a)結構(I)之可溶性多配體取代之金屬化合物 其中M係(4)價金屬且n係1至20,且其中R1、R2、R3及R4係獨 立選自1)、2)、3)或其混合物之部分,其中1)係有機部分(II), 其中R8選自由C2-C10伸烷基、C3-C12具支鏈伸烷基、C5-C12伸環烷基、含有C=C雙鍵之C2-C10伸烷基、含有C=C雙鍵之C3-C12具支鏈伸烷基及含有C=C雙鍵之C5-C12伸環烷基組成之群,且另外其中R9係氫或烷氧基羰基部分(IV),其中R10係C1-C8烷基, 2)係結構為(III)之具有至少2個碳之含矽有機部分 其中R5及R6獨立選自C1-C8烷基、C3-C12具支鏈烷基或芳基,R7獨立選自C1-C8烷基、芳基、羥基或結構(V)之矽氧烷,其中R11係氫、C1-C8烷基部分、羥基取代之C1-C8烷基部分或芳基部分,且R12及R13獨立選自C1-C8烷基部分、C3-C12具支鏈烷基部分或芳基部分且其中p表示該矽氧烷部分(V)中之重複單元數;且 其中該部分2)在結構(I)中之部分R1、R2、R3及R4之總莫耳之30莫耳%至60莫耳%範圍內,且另外其中該結構(II)之有機部分1)在結構(I)中之部分R1、R2、R3及R4之總莫耳之30莫耳%至60莫耳%範圍內;且3)係選自由以下組成之群之有機部分:C2-C8烷基、C6-C16芳 基或經取代芳基、C2-C8烷基羧基、C6-C16芳基羧基或經取代芳基羧基、經氟化C2-C8烷基羧基、經氟化C6-C16芳基羧基或經取代芳基羧基、C2-C8烷基磺醯基、C6-C16芳基磺醯基或經取代芳基磺醯基、經氟化C2-C8烷基磺醯基、經氟化C6-C16芳基磺醯基或經取代芳基磺醯基及其混合物;b)結構為(VI)之多元醇化合物 其中X係C或N,其中r係至少2且q在0-2範圍內,且其中當X係C時q及r之總和係4且當X係N時q及r之總和係3,且另外其中R14係氫、C1至C8烷基部分或C2至C8羥基伸烷基部分;且當X係N時,Y係C2-C8伸烷基部分;當X係C時,Y獨立選自直接價鍵、C2-C8伸烷基部分或含有結構為(VII)之烷氧基伸烷基鏈之部分,其中R15係C2至C8伸烷基部分,t係0至2且t’係1至2; 及c)溶劑。
  4. 如請求項1之組合物,其中該金屬選自由矽(4)、鈦(4)、鋯(4)、鉭(4)、鉛(4)、鉿(4)、鉬(4)、鍺(4)、錫(IV)及鎢(4)組成之群。
  5. 如請求項1之組合物,其中該含矽有機部分2)選自由以下組成之群:
  6. 如請求項1之組合物,其中R7具有結構(V)。
  7. 如請求項1之組合物,其中該有機部分3)選自由以下組成之群:
  8. 如請求項1之組合物,其中該結構為(VI)之多元醇具有結構為(VII)之Y且另外其中該多元醇之分子量小於500且沸點高於250℃。
  9. 如請求項1之組合物,其中該結構為(VI)之多元醇選自由四甘醇、三甘醇、丙三醇、三乙醇胺、二乙醇胺、新戊二醇、甘油丙氧雜酸及新戊四醇乙氧雜酸組成之群。
  10. 如請求項1之組合物,其中該多元醇選自由結構為VIII、IX、X、XI、XII及XIII之化合物組成之群 其中n=1-2 其中a+b+c+d=3-4 HOCH2CH2NHCH2CH2OH (XI)
  11. 如請求項1之組合物,其中該多元醇之含量小於該組合物中之總固體之60wt%但大於5wt%。
  12. 如請求項1之組合物,其中該溶劑選自醇、酯、酮、內酯、二酮、芳族部分、羧酸、醯胺或其混合物。
  13. 如請求項1之組合物,其進一步包含至少一種其他組份,其選自 由熱酸生成劑、熱鹼生成劑及熱活化過氧化物組成之群。
  14. 如請求項1之組合物,其進一步包含至少一種其他組份,其選自由表面活性劑、調平劑、交聯添加劑及熱活化觸媒組成之群。
  15. 如請求項1之組合物,其中在結構(I)中n為2至20。
  16. 如請求項1之組合物,其中在結構(I)中n為1。
  17. 一種製造電子裝置之方法,其包含:a.將如請求項1之組合物施加至基板上;及b.在介於150℃至400℃之溫度下烘烤該膜。
  18. 如請求項17之方法,其中該基板係包含形貌特徵之圖案化基板,其中在烘烤該膜後該方法進一步包含以下步驟:c.使用化學剝離劑或使用經氟化電漿蝕刻來移除覆蓋該圖案化基板頂部之該組合物。
  19. 如請求項18之方法,其中該圖案化基板係圖案化有機聚合物層且其中該方法進一步包含以下步驟:d.利用氧電漿來移除該圖案化有機聚合物,由此形成初始圖案化光阻劑之負性色調影像。
  20. 如請求項17之方法,其中該基板係包含中空形貌特徵之圖案化基板,其中在烘烤該膜後,該方法進一步包含以下步驟:c.使用化學剝離劑或使用經氟化電漿蝕刻來移除該組合物,使得該等中空形貌特徵經金屬氧化物材料部分填充。
TW105104156A 2015-02-11 2016-02-05 金屬硬遮罩組合物及在半導體基板上形成精細圖案之方法 TWI669353B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/619,603 2015-02-11
US14/619,603 US9499698B2 (en) 2015-02-11 2015-02-11 Metal hardmask composition and processes for forming fine patterns on semiconductor substrates

Publications (2)

Publication Number Publication Date
TW201639927A TW201639927A (zh) 2016-11-16
TWI669353B true TWI669353B (zh) 2019-08-21

Family

ID=55275101

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105104156A TWI669353B (zh) 2015-02-11 2016-02-05 金屬硬遮罩組合物及在半導體基板上形成精細圖案之方法

Country Status (8)

Country Link
US (1) US9499698B2 (zh)
EP (1) EP3257069B1 (zh)
JP (1) JP6810696B2 (zh)
KR (1) KR102367238B1 (zh)
CN (1) CN107251203B (zh)
SG (1) SG11201705487QA (zh)
TW (1) TWI669353B (zh)
WO (1) WO2016128252A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI776738B (zh) * 2020-12-18 2022-09-01 南韓商三星Sdi股份有限公司 半導體光阻組成物及使用所述組成物形成圖案的方法

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120282177A1 (en) 2009-11-02 2012-11-08 Christian Rohlff ROR1 as Therapeutic and Diagnostic Target
TWI592760B (zh) * 2014-12-30 2017-07-21 羅門哈斯電子材料韓國有限公司 與經外塗佈之光致抗蝕劑一起使用之塗層組合物
WO2018167112A1 (en) 2017-03-16 2018-09-20 Merck Patent Gmbh Lithographic compositions and methods of use thereof
JP6978594B2 (ja) * 2017-09-06 2021-12-08 メルク パテント ゲゼルシャフト ミット ベシュレンクテル ハフツングMerck Patent Gesellschaft mit beschraenkter Haftung 向上した熱安定性を有する、ハードマスク及び充填材料として有用なスピンオン無機酸化物含有組成物
KR102296793B1 (ko) * 2018-07-06 2021-08-31 삼성에스디아이 주식회사 반도체 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
JP6865794B2 (ja) * 2018-07-31 2021-04-28 三星エスディアイ株式会社Samsung SDI Co., Ltd. 半導体レジスト用組成物およびこれを用いたパターン形成方法
US11092890B2 (en) 2018-07-31 2021-08-17 Samsung Sdi Co., Ltd. Semiconductor resist composition, and method of forming patterns using the composition
US11092889B2 (en) * 2018-07-31 2021-08-17 Samsung Sdi Co., Ltd. Semiconductor resist composition, and method of forming patterns using the composition
KR102307977B1 (ko) 2018-07-31 2021-09-30 삼성에스디아이 주식회사 반도체 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
US10615037B2 (en) * 2018-08-17 2020-04-07 International Business Machines Corporation Tone reversal during EUV pattern transfer using surface active layer assisted selective deposition
KR20200091526A (ko) 2019-01-22 2020-07-31 삼성전자주식회사 마스크 패턴의 형성 방법 및 이를 이용한 반도체 소자의 제조 방법
SG11202106923XA (en) 2019-02-22 2021-07-29 Merck Patent Gmbh Spin-on compositions comprising an inorganic oxide component and an alkynyloxy substituted spin-on carbon component useful as hard masks and filling materials with improved shelf life
WO2021004985A1 (en) 2019-07-08 2021-01-14 Merck Patent Gmbh Rinse and method of use thereof for removing edge protection layers and residual metal hardmask components
KR102446362B1 (ko) 2019-10-15 2022-09-21 삼성에스디아이 주식회사 반도체 포토 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
US20220372345A1 (en) * 2019-12-20 2022-11-24 3M Innovative Properties Company Adhesive primers and articles including the same
KR102555497B1 (ko) * 2020-01-21 2023-07-12 삼성에스디아이 주식회사 반도체 포토 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
US20220365427A1 (en) * 2021-04-30 2022-11-17 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist composition and method for manufacturing a semiconductor device
JP2024068637A (ja) * 2022-11-08 2024-05-20 信越化学工業株式会社 金属含有膜形成用化合物、金属含有膜形成用組成物、及びパターン形成方法
JP2024097388A (ja) * 2023-01-06 2024-07-19 信越化学工業株式会社 金属含有膜形成用化合物、金属含有膜形成用組成物、及びパターン形成方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201312281A (zh) * 2011-06-21 2013-03-16 Az Electronic Materials Usa 底層組合物及其方法
JP5555956B2 (ja) * 2008-10-23 2014-07-23 国立大学法人三重大学 ポリオルガノシロキサン組成物およびその硬化体
WO2014207142A1 (en) * 2013-06-28 2014-12-31 AZ Electronic Materials (Luxembourg) S.à.r.l. Spin-on compositions of soluble metal oxide carboxylates and methods of their use

Family Cites Families (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2888367A (en) * 1958-02-14 1959-05-26 Hitemp Wires Inc Process for promoting adhesion to difficultly wettable polymer surface
US3014826A (en) * 1960-12-29 1961-12-26 Gen Electric Electrical core structures
US3474054A (en) 1966-09-13 1969-10-21 Permalac Corp The Surface coating compositions containing pyridine salts or aromatic sulfonic acids
US4251665A (en) 1978-05-22 1981-02-17 King Industries, Inc. Aromatic sulfonic acid oxa-azacyclopentane adducts
US4200729A (en) 1978-05-22 1980-04-29 King Industries, Inc Curing amino resins with aromatic sulfonic acid oxa-azacyclopentane adducts
US4491628A (en) 1982-08-23 1985-01-01 International Business Machines Corporation Positive- and negative-working resist compositions with acid generating photoinitiator and polymer with acid labile groups pendant from polymer backbone
JPS6356529A (ja) 1986-08-27 1988-03-11 Nissan Chem Ind Ltd 新規チタノシロキサン重合体の製造法
EP0440374B1 (en) 1990-01-30 1997-04-16 Wako Pure Chemical Industries Ltd Chemical amplified resist material
US5187019A (en) 1991-09-06 1993-02-16 King Industries, Inc. Latent catalysts
JPH073211A (ja) * 1993-06-18 1995-01-06 Nippon Soda Co Ltd 表面処理剤
US5843624A (en) 1996-03-08 1998-12-01 Lucent Technologies Inc. Energy-sensitive resist material and a process for device fabrication using an energy-sensitive resist material
US6808859B1 (en) 1996-12-31 2004-10-26 Hyundai Electronics Industries Co., Ltd. ArF photoresist copolymers
US5879859A (en) 1997-07-16 1999-03-09 International Business Machines Corporation Strippable photoimageable compositions
US6849377B2 (en) 1998-09-23 2005-02-01 E. I. Du Pont De Nemours And Company Photoresists, polymers and processes for microlithography
US6790587B1 (en) 1999-05-04 2004-09-14 E. I. Du Pont De Nemours And Company Fluorinated polymers, photoresists and processes for microlithography
IL133969A0 (en) 2000-01-10 2001-04-30 Thixo Ltd Thixotropic compositions containing unsaturated oils and food products containing the same
KR20030076228A (ko) 2000-06-21 2003-09-26 아사히 가라스 가부시키가이샤 레지스트 조성물
US6447980B1 (en) 2000-07-19 2002-09-10 Clariant Finance (Bvi) Limited Photoresist composition for deep UV and process thereof
US20020155389A1 (en) 2000-10-24 2002-10-24 Bharath Rangarajan Inverse resist coating process
JP3800538B2 (ja) 2001-02-09 2006-07-26 旭硝子株式会社 レジスト組成物
US6723488B2 (en) 2001-11-07 2004-04-20 Clariant Finance (Bvi) Ltd Photoresist composition for deep UV radiation containing an additive
JP2003165841A (ja) * 2001-11-29 2003-06-10 Dow Corning Toray Silicone Co Ltd ポリオルガノメタロシロキサンおよびその製造方法
US6872506B2 (en) 2002-06-25 2005-03-29 Brewer Science Inc. Wet-developable anti-reflective compositions
US6740469B2 (en) 2002-06-25 2004-05-25 Brewer Science Inc. Developer-soluble metal alkoxide coatings for microelectronic applications
JP2004277621A (ja) * 2003-03-18 2004-10-07 Sanyo Chem Ind Ltd ウレタン化反応用触媒及びポリウレタン樹脂の製造法
EP1493761A1 (en) 2003-07-02 2005-01-05 3M Innovative Properties Company Fluoropolymer of fluorinated short chain acrylates or methacrylates and oil- and water repellent compositions based thereon
JP4461901B2 (ja) * 2004-05-11 2010-05-12 Tdk株式会社 ホログラム記録材料及びホログラム記録媒体
US7563549B2 (en) 2005-05-20 2009-07-21 Xerox Corporation Imaging member
AU2006253520B2 (en) 2005-06-03 2010-09-23 Kuraray Co., Ltd. Gas barrier laminate, method for producing same and package body using same
JP4553835B2 (ja) 2005-12-14 2010-09-29 信越化学工業株式会社 反射防止膜材料、及びこれを用いたパターン形成方法、基板
US8168372B2 (en) 2006-09-25 2012-05-01 Brewer Science Inc. Method of creating photolithographic structures with developer-trimmed hard mask
US7416834B2 (en) 2006-09-27 2008-08-26 Az Electronic Materials Usa Corp. Antireflective coating compositions
FR2916199B1 (fr) 2007-05-14 2012-10-19 Inst Francais Du Petrole Procede d'oligomerisation des olefines utilisant une composition catalytique comprenant un complexe organometallique contenant un ligand phenoxy fonctionnalise par un hetero-atome
US8202573B2 (en) 2007-09-07 2012-06-19 3M Innovative Properties Company Self-assembling antireflective coating comprising surface modified high refractive index nanoparticles
JP5101541B2 (ja) 2008-05-15 2012-12-19 信越化学工業株式会社 パターン形成方法
JP5503916B2 (ja) 2008-08-04 2014-05-28 富士フイルム株式会社 レジスト組成物及びそれを用いたパターン形成方法
ES2720595T3 (es) 2009-09-15 2019-07-23 Basf Se Catalizadores quelantes de titanio fotolatentes
CN102498440B (zh) 2009-09-16 2016-11-16 日产化学工业株式会社 含有具有磺酰胺基的硅的形成抗蚀剂下层膜的组合物
JP5721992B2 (ja) 2009-10-14 2015-05-20 富士フイルム株式会社 着色硬化性組成物、レジスト液、インクジェット用インク、カラーフィルタ、カラーフィルタの製造方法、固体撮像素子、液晶ディスプレイ、有機elディスプレイ、画像表示デバイス、及び色素化合物
EP2363428B1 (en) 2010-02-23 2014-03-05 Dow Global Technologies LLC Rheology modifier for cement slurries
US9281207B2 (en) 2011-02-28 2016-03-08 Inpria Corporation Solution processible hardmasks for high resolution lithography
JP2012237823A (ja) 2011-05-10 2012-12-06 Konica Minolta Business Technologies Inc 電子写真感光体、それを含むプロセスカートリッジおよび画像形成装置
US8795774B2 (en) * 2012-09-23 2014-08-05 Rohm And Haas Electronic Materials Llc Hardmask
US9315636B2 (en) 2012-12-07 2016-04-19 Az Electronic Materials (Luxembourg) S.A.R.L. Stable metal compounds, their compositions and methods
EP2988891B1 (en) 2013-04-24 2019-12-18 United Technologies Corporation Method for elimination of powder segregation during can filling
US9296922B2 (en) 2013-08-30 2016-03-29 Az Electronic Materials (Luxembourg) S.A.R.L. Stable metal compounds as hardmasks and filling materials, their compositions and methods of use

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5555956B2 (ja) * 2008-10-23 2014-07-23 国立大学法人三重大学 ポリオルガノシロキサン組成物およびその硬化体
TW201312281A (zh) * 2011-06-21 2013-03-16 Az Electronic Materials Usa 底層組合物及其方法
WO2014207142A1 (en) * 2013-06-28 2014-12-31 AZ Electronic Materials (Luxembourg) S.à.r.l. Spin-on compositions of soluble metal oxide carboxylates and methods of their use

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI776738B (zh) * 2020-12-18 2022-09-01 南韓商三星Sdi股份有限公司 半導體光阻組成物及使用所述組成物形成圖案的方法
US12013635B2 (en) 2020-12-18 2024-06-18 Samsung Sdi Co., Ltd. Semiconductor photoresist composition and method of forming patterns using the composition

Also Published As

Publication number Publication date
JP6810696B2 (ja) 2021-01-06
TW201639927A (zh) 2016-11-16
JP2018507933A (ja) 2018-03-22
EP3257069A1 (en) 2017-12-20
US9499698B2 (en) 2016-11-22
KR20170116134A (ko) 2017-10-18
US20160230019A1 (en) 2016-08-11
CN107251203B (zh) 2019-05-17
CN107251203A (zh) 2017-10-13
KR102367238B1 (ko) 2022-02-23
SG11201705487QA (en) 2017-08-30
EP3257069B1 (en) 2018-11-21
WO2016128252A1 (en) 2016-08-18

Similar Documents

Publication Publication Date Title
TWI669353B (zh) 金屬硬遮罩組合物及在半導體基板上形成精細圖案之方法
JP7050137B2 (ja) ハードマスクおよび充填材料として安定な金属化合物、その組成物、およびその使用方法
KR100816735B1 (ko) 반사방지 하드마스크 조성물, 이를 이용한 패턴화된 재료형상의 제조방법 및 반도체 집적회로 디바이스
US9274426B2 (en) Antireflective coating compositions and processes thereof
KR100826104B1 (ko) 고 내에칭성 반사방지 하드마스크 조성물 및 이를 이용한패턴화된 재료 형상의 제조방법
US8486609B2 (en) Antireflective coating composition and process thereof
US8906590B2 (en) Antireflective coating composition and process thereof
TW200842499A (en) Antireflective coating composition based on silicon polymer
US20100316949A1 (en) Spin On Organic Antireflective Coating Composition Comprising Polymer with Fused Aromatic Rings
KR20110053136A (ko) 레지스트 하층막용 고분자, 이를 포함하는 레지스트 하층막 조성물 및소자의 패턴 형성 방법
US20150309403A1 (en) Antireflective coating compositions and processes thereof
KR20210127712A (ko) 개선된 저장 수명을 갖는, 하드 마스크 및 충전 물질로서 유용한, 무기 산화물 성분 및 알키닐옥시 치환된 스핀-온 탄소 성분을 포함하는 스핀-온 조성물
KR20190072019A (ko) 신규한 레지스트 하층막 형성용 중합체, 이를 포함하는 레지스트 하층막 형성용 조성물 및 이를 이용한 반도체 소자의 제조방법
TWI443121B (zh) 用於光阻底層之含芳香環的化合物、含有其之光阻底層組成物及使用其圖案化裝置之方法
KR100836675B1 (ko) 반사방지 하드마스크 조성물, 이를 이용한 패턴화된 재료형상의 제조방법 및 반도체 집적회로 디바이스
WO2019022855A1 (en) SILSESQUIOXANE COMPOSITION HAVING POSITIVE AND NEGATIVE PHOTORESIN CHARACTERISTICS
KR20230009399A (ko) 화합물 및 그의 제조방법, 산발생제, 조성물, 레지스트막, 하층막, 패턴 형성방법, 및 광학물품