TWI667368B - 基板處理裝置、半導體裝置之製造方法及程式 - Google Patents

基板處理裝置、半導體裝置之製造方法及程式 Download PDF

Info

Publication number
TWI667368B
TWI667368B TW106144861A TW106144861A TWI667368B TW I667368 B TWI667368 B TW I667368B TW 106144861 A TW106144861 A TW 106144861A TW 106144861 A TW106144861 A TW 106144861A TW I667368 B TWI667368 B TW I667368B
Authority
TW
Taiwan
Prior art keywords
substrate
gas
processing
gas nozzle
inert gas
Prior art date
Application number
TW106144861A
Other languages
English (en)
Other versions
TW201843339A (zh
Inventor
三村英俊
佐佐木隆史
吉田秀成
岡嶋優作
Original Assignee
日商國際電氣股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商國際電氣股份有限公司 filed Critical 日商國際電氣股份有限公司
Publication of TW201843339A publication Critical patent/TW201843339A/zh
Application granted granted Critical
Publication of TWI667368B publication Critical patent/TWI667368B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本發明提供一種使形成於晶圓上之膜之面內膜厚均勻性提高之技術。本發明之裝置具有:處理室,其對基板進行處理;處理氣體噴嘴,其將處理氣體供給至處理室內;惰性氣體噴嘴,其以基板之中心部之惰性氣體濃度低於基板之端部之惰性氣體濃度的方式,僅將惰性氣體供給至處理室內;及排氣管,其將處理室內之環境氣體排出。於基板之中心部測得之處理氣體噴嘴與惰性氣體噴嘴之間之角較理想為鈍角。

Description

基板處理裝置、半導體裝置之製造方法及程式
本發明係關於一種基板處理裝置、半導體裝置之製造方法及程式。
作為半導體裝置(器件)之製造步驟之一個步驟,進行對處理室內之基板(晶圓)供給處理氣體而於基板上形成膜之處理。於處理室內之晶圓為圖案晶圓之情形時,存在如下情況:於晶圓之中心附近,處理氣體之供給量不足,故而形成於晶圓上之膜之面內膜厚均勻性變差。對此,存在藉由減小排氣壓力以謀求處理氣體之擴散速度與成膜速度之調整,而使晶圓上之面內膜厚均勻性提高之情況(例如參照專利文獻1及2)。
[先前技術文獻] [專利文獻]
專利文獻1:日本專利特開2010-226092號公報
專利文獻2:國際特開第2016/157401號公報
專利文獻3:國際特開第2016/110956號公報
於上述方法中,存在難以進行處理氣體之擴散速度與成膜速度之調整之情況。本發明之目的在於提供一種可使形成於晶圓上之膜之面內膜厚均勻性提高之技術。
本發明提供一種技術,其具有:處理室,其對基板進行處理;處理氣體噴嘴,其將處理氣體供給至上述處理室內;惰性氣體噴嘴,其以上述基板之中心部之惰性氣體濃度低於上述基板之端部之惰性氣體濃度的方式,僅將惰性氣體供給至上述處理室內;及排氣管,其將上述處理室內之環境氣體排出。
根據本發明,可提供一種能夠使形成於晶圓上之膜之面內膜厚均勻性提高之技術。
115‧‧‧晶舟升降機
121‧‧‧控制器
121a‧‧‧CPU
121b‧‧‧RAM
121c‧‧‧記憶裝置
121d‧‧‧I/O埠
121e‧‧‧內部匯流排
122‧‧‧輸入輸出裝置
123‧‧‧外部記憶裝置
200‧‧‧晶圓(基板)
201‧‧‧處理室
202‧‧‧處理爐
203‧‧‧反應管
207‧‧‧加熱器
209‧‧‧歧管
217‧‧‧晶舟
218‧‧‧隔熱板
219‧‧‧密封蓋
220a、220b、220c‧‧‧O形環
221‧‧‧擋板
222‧‧‧擋板開閉機構
231‧‧‧排氣管
232a、232b、232c、232d、232e‧‧‧氣體供給管
233‧‧‧排氣口
234‧‧‧排氣緩衝器
241a、241b、241c、241d、241e‧‧‧MFC
243a、243b、243c、243d、243e‧‧‧閥
244‧‧‧APC閥
245‧‧‧壓力感測器
246‧‧‧真空泵
249‧‧‧氣體噴嘴
249a‧‧‧噴嘴(第1氣體噴嘴)
249b‧‧‧噴嘴(第2氣體噴嘴)
249c‧‧‧噴嘴(第3氣體噴嘴)
249d‧‧‧噴嘴(第4氣體噴嘴)
250a、250b、250c‧‧‧氣體供給孔
255‧‧‧旋轉軸
263‧‧‧溫度感測器
267‧‧‧旋轉機構
300‧‧‧第1直線(交界線)
301‧‧‧第2直線
302‧‧‧第1突出部
303‧‧‧第2突出部
304‧‧‧內壁
305‧‧‧第1內壁突出部
306‧‧‧第2內壁突出部
θ‧‧‧角度
圖1係實施形態之基板處理裝置之概略構成圖,且係以縱剖面圖表示處理爐部分之圖。
圖2係以圖1之A-A線剖面圖表示實施形態之基板處理裝置之處理爐之一部分的圖。
圖3係實施形態之基板處理裝置之控制器之概略構成圖,且係以方塊圖表示控制器之控制系統之圖。
圖4係表示實施形態之成膜順序之圖。
圖5係說明實施形態之變形例1之圖。
圖6係說明實施形態之變形例2之圖。
圖7係說明實施形態之變形例3之圖。
圖8係表示實施形態之變形例1中之設為θ=40°之情形時的圖案晶圓模型之解析結果之圖。
圖9係表示變形例1中之設為θ=120°之情形時的圖案晶圓模型之解析結果之圖。
圖10係表示變形例1中之設為θ=40°、120°之情形時之處理氣體之分佈的圖。
圖11係表示變形例1中之晶圓上之處理氣體分壓之θ依存性的圖。
圖12係表示變形例2中之配置1個惰性氣體噴嘴之情形時的處理氣體分壓之排氣壓力依存性之圖。
實施形態抑制因惰性氣體引起之處理氣體之稀釋,使欲向晶圓端部擴散之處理氣體之流動集中於晶圓中心附近,藉此使形成於晶圓上之膜之面內膜厚均勻性提高。下述惰性氣體噴嘴之設置個數可為複數個,又,只要滿足所需成膜速度,則惰性氣體噴嘴之設置角度θ(於下文敍述)可根據自惰性氣體噴嘴噴射之惰性氣體之流量而任意改變。以下,一面參照圖1至圖3,一面對一實施形態進行說明。
(1)基板處理裝置之構成
如圖1所示,處理爐202具有作為加熱手段(加熱機構)之加熱器207。加熱器207為圓筒形狀,藉由支撐於保持板而垂直地固定。 加熱器207亦作為藉由熱而使氣體活化(激發)之活化機構(激發部)發揮功能。
於加熱器207之內側,與加熱器207同心圓狀地配設有反應管203。反應管203例如包含石英(SiO2)或碳化矽(SiC)等耐熱性材料,形成為上端封閉且下端開口之圓筒形狀。於反應管203之下方,與反應管203同心圓狀地配設有歧管209。歧管209例如包含鎳合金等金屬,形成為上端及下端開口之較短之圓筒形。歧管209之上端部卡合於反應管203之下端部,而支撐反應管203。於歧管209與反應管203之間,設置有作為密封構件之O形環220a。反應管203與加熱器207同樣垂直地固定。處理容器(反應容器)主要由反應管203及歧管209構成。於處理容器之筒中空部形成處理室201。處理室201構成為可收容作為基板之晶圓200。
於處理室201內,以貫通歧管209之側壁之方式設置有作為第1氣體噴嘴之供給成膜氣體(處理氣體)之噴嘴249a、作為第2氣體噴嘴之供給成膜氣體(處理氣體)之噴嘴249b、作為第3氣體噴嘴之僅供給惰性氣體之噴嘴249c。於噴嘴249a~249c,分別連接有氣體供給管232a~232c。
於氣體供給管232a~232c,自氣流之上游側依序分別設置有作為流量控制器(流量控制部)之質量流量控制器(MFC)241a~241c及作為開閉閥之閥243a~243c。於氣體供給管232a、232b之較閥243a、243b更靠下游側,分別連接有供給惰性氣體之氣體供給管232e、232d。於氣體供給管232e、232d,自氣流之上游側依序分別設置有MFC241e、241d及閥243e、243d。
如圖2所示,噴嘴249a~249c自反應管203之下方 朝向上方沿著晶圓200之排列方向分別設置於反應管203之內壁與晶圓200之間之圓環狀之空間。即,噴嘴249a~249c以沿著晶圓排列區域之方式分別設置於供晶圓200排列之晶圓排列區域之側方之水平地包圍晶圓排列區域的區域。第1氣體噴嘴249a及第2氣體噴嘴249b以隔著搬入至處理室201內之晶圓200之中心而與下述之排氣口233對向之方式配置。又,第1氣體噴嘴與第2氣體噴嘴鄰接而配置。
作為惰性氣體噴嘴之噴嘴249c設置於與作為處理氣體噴嘴之噴嘴249a、噴嘴249b於晶圓200之周向上相隔既定距離之位置。該情形時之既定距離係例如至少不與噴嘴249a、249b鄰接之距離。藉由設為此種距離,可抑制處理氣體向晶圓200與反應管203之內表面之間之空間擴散,從而可提高晶圓整個面之處理氣體濃度(空間濃度)。於既定距離為鄰接之距離之情形(噴嘴249c與噴嘴249a、噴嘴249b鄰接之情形)時,處理氣體與惰性氣體混合而處理氣體濃度下降,或處理氣體擴散至反應管203之內表面與晶圓200之間之空間,由此促進排氣而有可能使於晶圓整個面之平均之處理氣體濃度下降。更佳為,既定距離為惰性氣體噴嘴與處理氣體噴嘴相隔1根噴嘴程度以上之距離。更佳為,既定距離為如下距離,即該距離係於將連結處理氣體噴嘴之中心(於本實施例中例如為噴嘴249a之中心與噴嘴249b之中心之中間地點)與排氣管231(排氣口233)之中心之第1直線300、和連結惰性氣體噴嘴與基板200之中心之第2直線301所成之角設為θ時,角θ為90°以上且180°以下時的圓弧之距離。藉由將既定距離設為此種距離,可於反應管203之內壁與晶圓之間之空間及晶圓之端部形成惰性氣體之壁,從而可 提高晶圓中心之處理氣體濃度。進而較佳為,既定距離係角θ為100°以上且140°以下時之圓弧之距離。藉由將既定距離設為此種距離,可提高晶圓中心之處理氣體濃度,並且亦提高晶圓整個面之處理氣體濃度。
於噴嘴249a~249c之側面,分別設置有供給氣體之氣體供給孔250a~250c。氣體供給孔250a~250c可分別朝向晶圓200之中心方向供給氣體。氣體供給孔250a~250c較佳為以自反應管203之下部遍及至上部朝向各晶圓200之中心開口之方式設置複數個。
自氣體供給管232a,將例如包含作為既定元素(主元素)之Si及鹵元素之鹵矽烷系氣體作為原料(處理氣體),經由MFC241a、閥243a、噴嘴249a朝處理室201內供給。原料氣體中亦包括於常溫常壓下將液體之原料氣化而獲得之氣體。所謂鹵矽烷係具有鹵基之矽烷。鹵基中包括氯基、氟基、溴基、碘基等。即,鹵基中包括氯(Cl)、氟(F)、溴(Br)、碘(I)等鹵元素。作為鹵矽烷系氣體,例如可使用如六氯乙矽烷(Si2Cl6;簡稱:HCDS)之包含Si及Cl之氯矽烷系氣體。氯矽烷系氣體作為Si源而發揮作用。
自氣體供給管232b,將例如作為氮化氣體之氮化氫系氣體作為處理氣體且化學結構(分子結構)與上述原料不同之反應物(reactant),經由MFC241b、閥243b、噴嘴249b朝處理室201內供給。氮化氫系氣體作為N源而發揮作用。作為氮化氫系氣體,例如可使用氨氣(NH3)。
自氣體供給管232c~232e,例如將氮氣(N2)作為惰性氣體分別經由MFC241c~241e、閥243c~243e、氣體供給管232c ~232a、噴嘴249c~249a朝處理室201內供給。N2氣體作為沖洗氣體、載體氣體而發揮作用,進而,作為控制形成於晶圓200上之膜之面內膜厚分佈的膜厚分佈控制氣體而發揮作用。
處理氣體供給系統主要由氣體供給管232a、232b、MFC241a、241b、閥243a、243b構成。又,惰性氣體供給系統主要由氣體供給管232c~232e、MFC241c~241e、閥243c~243e構成。
於反應管203,設置有將處理室201內之環境氣體排出之排氣口233。如圖2所示之水平剖視般,排氣口233設置於隔著晶圓200而與噴嘴249a、249b(氣體供給孔250a、250b)對向(面對)之位置。於排氣口233連接排氣管231。排氣管231具備檢測處理室201內之壓力之作為壓力檢測器之壓力感測器245,又,經由作為壓力調整器之APC(Auto Pressure Controller,自動壓力控制器)閥244,連接於真空泵(真空排氣裝置)246。APC閥244構成為可藉由於使真空泵246作動之狀態下將閥開啟關閉,而進行處理室201內之真空排氣及真空排氣停止,進而,可藉由於使真空泵246之作動之狀態下,基於由壓力感測器245檢測出之壓力資訊而調節開度,從而調整處理室201內之壓力。排氣系統主要由排氣管231、APC閥244、壓力感測器245構成。真空泵246亦可包含於排氣系統。
於歧管209之下方,設置有可將歧管209之下端開口氣密地封閉之作為爐口蓋體之密封蓋219。密封蓋219例如為金屬製且形成為圓盤狀。於密封蓋219之上表面,設置有與歧管209之下端抵接之作為密封構件之O形環220b。於密封蓋219之下方, 設置有使下述晶舟217旋轉之旋轉機構267。旋轉機構267之旋轉軸255貫通密封蓋219而連接於晶舟217。旋轉機構267藉由使晶舟217旋轉,而使晶圓200旋轉。
密封蓋219構成為藉由設置於反應管203之外部之作為升降機構之晶舟升降機115而於垂直方向升降。晶舟升降機115構成為可藉由使密封蓋219升降而將晶圓200搬入及搬出(搬送)至處理室201內外之搬送裝置(搬送機構)。又,於歧管209之下方或側方,設置有於使密封蓋219下降而將晶舟217完全自處理室201內搬出之期間將歧管209之下端開口氣密地封閉的作為爐口蓋體之擋板221。擋板221與密封蓋219同樣地形成為圓盤狀,於其上表面,設置有與歧管209之下端抵接之O形環220c。擋板221之開閉動作(升降動作或旋動動作等)由擋板開閉機構222控制。
作為基板支撐具之晶舟217構成為將複數片、例如25~200片晶圓200以水平姿勢且以相互對齊中心之狀態於垂直方向整齊排列且多段地支撐,即,隔開間隔進行排列。晶舟217例如包含石英或SiC等耐熱性材料。於晶舟217之下部,例如多段地支撐有包含石英或SiC等耐熱性材料之隔熱板218。
於反應管203內,設置有作為溫度檢測器之溫度感測器263。藉由基於由溫度感測器263檢測出之溫度資訊調整對加熱器207之通電情況,處理室201內之溫度成為所需溫度分佈。溫度感測器263沿著反應管203之內壁而設置。
如圖3所示,作為控制部(控制手段)之控制器121作為具備CPU(Central Processing Unit,中央處理單元)121a、RAM(Random Access Memory,隨機存取記憶體)121b、記憶裝置121c、 I/O(Input/Out)埠121d之電腦而構成。RAM121b、記憶裝置121c、1/O埠121d構成為可經由內部匯流排121e而與CPU121a進行資料交換。於控制器121,例如連接有作為觸控面板等構成之輸入輸出裝置122。
記憶裝置121c係由例如快閃記憶體、HDD(Hard Disk Drive,硬式磁碟機)等構成。於記憶裝置121c內,可讀出地存儲有控制基板處理裝置之動作之控制程式、或者記載有下述基板處理之程序或條件等之製程配方等。製程配方係以可使控制器121執行下述基板處理中之各程序而獲得既定結果之方式組合而成者,作為程式而發揮功能。以下,亦將製程配方或控制程式等簡單地統稱為程式。又,亦將製程配方簡稱為配方。於本說明書中使用「程式」一詞之情形包括僅包含配方單體之情形、僅包含控制程式單體之情形、或包含該等兩者之情形。RAM121b構成為暫時地保持由CPU121a讀出之程式或資料等之記憶體區域(工作區)。
I/O埠121d連接於上述MFC241a~241e、閥243a~243e、壓力感測器245、APC閥244、真空泵246、溫度感測器263、加熱器207、旋轉機構267、晶舟升降機115、擋板開閉機構222等。
CPU121a構成為自記憶裝置121c讀出並執行控制程式並且根據來自輸入輸出裝置122之操作命令之輸入等自記憶裝置121c讀出配方。CPU121a構成為以按照所讀出之配方之內容之方式,控制利用MFC241a~241e所進行之各種氣體之流量調整動作、閥243a~243e之開閉動作、APC閥244之開閉動作及APC閥244基於壓力感測器245所進行之壓力調整動作、真空泵246之啟動及 停止、加熱器207基於溫度感測器263之溫度調整動作、利用旋轉機構267所進行之晶舟217之旋轉及旋轉速度調節動作、利用晶舟升降機115所進行之晶舟217之升降動作、利用擋板開閉機構222所進行之擋板221之開閉動作等。
控制器121可藉由將存儲於外部記憶裝置(例如硬碟等磁碟、CD(Compact Disc,高密度光碟)等光碟、MO(Magneto-Optical)等之光磁碟、USB(Universal Serial Bus,通用串列匯流排)記憶體等半導體記憶體)123之上述程式安裝於電腦而構成。記憶裝置121c或外部記憶裝置123作為電腦可讀取之記錄媒體而構成。以下,亦將其等簡單地統稱為記錄媒體。本說明書中使用「記錄媒體」一詞之情形包括僅包含記憶裝置121c單體之情形、僅包含單個外部記憶裝置123單體之情形、或包含該等兩者之情形。再者,對電腦提供程式亦可不使用外部記憶裝置123,而使用網際網路或專用線路等通信手段。
(2)成膜處理
使用圖4,對使用上述基板處理裝置於作為基板之晶圓200上形成氮化矽膜(SiN膜)作為半導體裝置之製造步驟之一個步驟之順序例進行說明。於以下說明中,構成基板處理裝置之各部之動作由控制器121控制。
圖4所示之成膜順序係藉由將不同時地執行步驟A及步驟B之循環進行n次(n為既定數),而於晶圓200上形成含有Si及N之膜、即SiN膜,上述步驟A係藉由對晶圓200自噴嘴249a供給HCDS氣體,而形成作為第1層之含Si層,上述步驟B係藉 由對晶圓200自噴嘴249b供給NH3氣體而形成作為第2層之氮化矽層(SiN層)。
於本說明書中,為了方便起見,有時將圖4所示之成膜順序以如下方式表示。
(HCDS→NH3)×nSiN
於本說明書中使用「晶圓」一詞之情形包括意指晶圓本身之情形、或意指晶圓與形成於其表面之既定之層或膜之層積體之情形。於本說明書中使用「晶圓之表面」一詞之情形包括意指晶圓本身之表面之情形、或意指形成於晶圓上之既定之層等之表面之情形。於本說明書中,「基板」包含「晶圓」之含義。
(晶圓裝填及晶舟負載)
若將複數片晶圓200裝填(晶圓裝填)於晶舟217,則藉由擋板開閉機構222使擋板221移動,而將歧管209之下端開口打開(擋板開啟)。其後,如圖1所示,支撐有複數片晶圓200之晶舟217由晶舟升降機115抬起而朝處理室201內搬入(晶舟負載)。於搬入完成後,密封蓋219成為經由O形環220b將歧管209之下端密封之狀態。
(壓力調整及溫度調整)
以處理室201內、即存在晶圓200之空間成為所需壓力(真空度)之方式,藉由真空泵246對處理室201內進行真空排氣(減壓排氣)。此時,處理室201內之壓力由壓力感測器245測量,且基於該測定所得之壓力資訊,反饋控制APC閥244。又,以處理室201 內之晶圓200成為所需成膜溫度之方式,藉由加熱器207進行加熱。此時,以處理室201內成為所需溫度分佈之方式,基於溫度感測器263檢測出之溫度資訊,反饋控制對加熱器207之通電情況。又,開始藉由旋轉機構267使晶圓200旋轉。處理室201內之排氣、晶圓200之加熱及旋轉均至少於至對晶圓200之處理結束為止之期間持續進行。
(成膜步驟)
其後,依序執行如下步驟A、B。
[步驟A]
於該步驟中,對處理室201內之晶圓200供給HCDS氣體。
具體而言,打開閥243a,使HCDS氣體流向氣體供給管232a內。HCDS氣體係藉由MFC241a進行流量調整,且經由噴嘴249a朝處理室201內供給,並自排氣口233排出。即,對晶圓200供給HCDS氣體。此時,亦可打開閥243e,使N2氣體流向氣體供給管232e內。N2氣體可藉由MFC241e進行流量調整,與HCDS氣體一起經由噴嘴249a朝處理室201內供給,並自排氣口233排出。再者,於步驟A中,在經由噴嘴249a將HCDS氣體朝處理室201內供給之狀態下,經由噴嘴249c將N2氣體朝處理室201內供給。將於下文對其詳細內容進行說明。
於步驟A中,自噴嘴249a供給之HCDS氣體之流量例如為1~2000sccm、較佳為10~1000sccm之範圍內。又,自噴嘴249c供給之N2氣體之流量例如設為HCDS氣體之流量之25%~ 400%之範圍內之既定流量。HCDS氣體之供給時間例如設為1~120秒、較佳為1~60秒之範圍內之既定時間。處理室201內之壓力例如設為1~2666Pa、較佳為67~1333Pa之範圍內之既定壓力。晶圓200之溫度(成膜溫度)例如設為250~800℃、較佳為400~750℃、更佳為550~700℃之範圍內之既定溫度。
藉由於上述條件下對晶圓200供給HCDS氣體及N2氣體,而於晶圓200之最表面上,例如形成未滿1原子層至數原子層(未滿1分子層至數分子層)程度之厚度之含有Cl之含Si層作為第1層。含有Cl之含Si層可為含有Cl之Si層,亦可為HCDS之吸附層,還可包含該等兩者。
於HCDS氣體自分解(熱分解)之條件下,Si沈積於晶圓200上,藉此形成含有Cl之Si層。於HCDS氣體不自分解(熱分解)之條件下,HCDS吸附於晶圓200上,由此形成HCDS之吸附層。就成膜速率之觀點而言,相較於形成HCDS之吸附層,形成含有Cl之Si層更佳。以下,為了方便起見,亦將含有Cl之含Si層簡稱為含Si層。
如本實施形態般,於對晶圓200供給HCDS氣體時,自噴嘴249c向晶圓200之中心方向供給N2氣體,藉此,可使膜之面內厚度分佈自中央凹分佈接***坦分佈,或者進而接近中央凸分佈。換言之,能夠以晶圓200之中心部之惰性氣體濃度低於晶圓200之端部(外周部)之平均之惰性氣體濃度之方式,藉由控制器121控制自噴嘴249c向處理室201內之N2氣體供給量,藉此控制HCDS氣體向晶圓200之中心部之供給量。認為藉此,第1層之面內厚度分佈以上述方式被控制。
於步驟A中,於自噴嘴249a供給HCDS氣體之狀態下,打開閥243d、243c,使N2氣體流向氣體供給管232d、232b、232c內,而將N2氣體自噴嘴249b、249c朝處理室201內供給。雖非必須要維持自噴嘴249b供給少量之N2氣體,但就抑制HCDS氣體朝噴嘴249b內侵入之觀點而言較佳。於該目的下,自噴嘴249b供給N2氣體較佳為與步驟A同時、或於此之前開始。
於步驟A中,自噴嘴249a、249b供給之N2氣體之各流量(第1流量)分別設為較自噴嘴249c供給之N2之流量小之流量。較佳為,自噴嘴249b、249c供給之N2氣體之各流量設為如下流量,即,其等之合計流量成為較自噴嘴249a供給之HCDS氣體之流量小的流量。
於形成具有所需厚度及面內厚度分佈之第1層後,關閉閥243a,而停止供給HCDS氣體。又,控制MFC241d、241c,使自噴嘴249b、249c供給之N2氣體之流量減少。此時,APC閥244保持打開,而藉由真空泵246對處理室201內進行真空排氣,從而將殘留於處理室201內之未反應或促進第1層之形成後之HCDS氣體自處理室201內排除。自噴嘴249a~249c供給之N2氣體作為沖洗氣體發揮作用,藉此,處理室201內被沖洗(沖洗步驟)。
[步驟B]
於步驟A結束後,對處理室201內之晶圓200、即形成於晶圓200上之第1層供給NH3氣體。
於該步驟中,以與步驟A中之閥243a、243c~243e之開閉控制同樣之程序進行閥243b、243c~243e之開閉控制。NH3 氣體係藉由MFC241b進行流量調整,且經由噴嘴249b朝處理室201內供給,並自排氣口233排出。此時,對晶圓200供給NH3氣體。
NH3氣體之供給流量例如設為1000~10000sccm之範圍內之既定流量。NH3氣體之供給時間例如設為1~120秒、較佳為1~60秒之範圍內之既定時間。此時,同時自噴嘴249c供給N2氣體。所供給之N2氣體之供給流量例如設為1~4000sccm之範圍內之既定流量。處理室201內之壓力例如設為1~4000Pa、較佳為1~3000Pa之範圍內之既定壓力。藉由設為較步驟A高之壓力,即便不使用電漿而使用經熱活化之NH3氣體,亦能夠以既定速度與第1層發生化學反應,而形成第2層。其他處理條件設為與步驟A相同之處理條件。再者,於步驟B中,相較於步驟A,自噴嘴249c之N2氣體供給之重要性較低,亦存在並非必須之情況。
若於上述條件下對晶圓200供給NH3氣體及N2氣體,則於晶圓200上形成之第1層之至少一部分被氮化(改質)。藉此,於晶圓200上形成含有Si及N之第2層、即SiN層。於形成第2層時,第1層中曾含有之Cl等雜質於藉由NH3氣體進行第1層之改質反應之過程中,構成至少含有Cl之氣體狀物質,並自處理室201內排出。即,第1層中之Cl等雜質因自第1層中抽出或脫離,而自第1層分離。藉此,第2層成為Cl等雜質較第1層少之層。
於形成第2層後,關閉閥243b,而停止供給NH3氣體。然後,藉由與步驟A之沖洗步驟相同之處理程序、處理條件,將殘留於處理室201內之未反應或促進第2層之形成後之NH3氣體或反應副產物自處理室201內排除。
[實施既定次數]
藉由將使步驟A、B不同時、即不同步地執行之循環進行1次以上(n次),可於晶圓200上形成既定組成及既定膜厚之SiN膜。上述循環較佳為重複複數次。即,較佳為使於進行1次上述循環時形成之第2層之厚度較所需膜厚薄,而將上述循環重複複數次,直至藉由層積第2層而形成之SiN膜之膜厚成為所需膜厚為止。
作為原料,除了HCDS氣體以外,還可使用單氯矽烷(SiH3Cl、簡稱:MCS)氣體、三氯矽烷(SiHCl3、簡稱:TCS)氣體、四氯矽烷(SiCl4、簡稱:STC)氣體、二氯矽烷(SiH2Cl2、簡稱:DCS)氣體、八氯三矽烷(Si3Cl8、簡稱:OCTS)氣體等氯矽烷原料氣體。
作為反應物,除了NH3氣體以外,例如還可使用二亞胺(N2H2)氣體、肼(N2H4)氣體、N3H8氣體等氮化氫系氣體。
作為惰性氣體,除了N2氣體以外,還可使用Ar氣體、He氣體、Ne氣體、Xe氣體等稀有氣體。
(後沖洗~大氣壓恢復)
若於晶圓200上形成所需組成、所需膜厚之膜,則自噴嘴249a~249c之各者將作為沖洗氣體之N2氣體朝處理室201內供給,而自排氣口233進行排氣。藉此,對處理室201內進行沖洗,而將殘留於處理室201內之氣體或反應副產物自處理室201內去除(後沖洗)。其後,將處理室201內之環境氣體置換為惰性氣體(惰性氣體置換),而使處理室201內之壓力恢復為常壓(大氣壓恢復)。
(晶舟卸載及晶圓卸除)
藉由晶舟升降機115使密封蓋219下降,而使歧管209之下端開口。然後,將經處理過之晶圓200在支撐於晶舟217之狀態下自歧管209之下端搬出(晶舟卸載)至反應管203之外部。於晶舟卸載後,使擋板221移動,而歧管209之下端開口隔著O形環220c藉由擋板221而密封(擋板閉合)。經處理過之晶圓200於搬出至反應管203之外部後,自晶舟217取出(晶圓卸除)。
(3)變形例
本實施形態中之惰性氣體噴嘴之位置或反應管形狀可如以下圖5至圖7代表性地表示之變形例般進行各種變更。
(變形例1)
於圖5中表示追加有作為僅供給惰性氣體之惰性氣體噴嘴之第4氣體噴嘴之例。於將連結處理氣體噴嘴(第1氣體噴嘴249a、第2氣體噴嘴249b)之中心與基板200之中心之線作為交界線300而劃分之區域中,在設置有第3氣體噴嘴249c之區域之相反側的區域,設置將惰性氣體供給至處理室201內之第4氣體噴嘴249d。第3氣體噴嘴249c與第4氣體噴嘴249d可相對於交界線300對稱地設置。
(變形例2)
作為另一變形例,亦可使用如圖6所示之反應管203。該反應管203形成有:第1突出部302,其以收納處理氣體噴嘴(第1氣體 噴嘴249a、第2氣體噴嘴249b)之方式向外側突出;及第2突出部303,其以收納第3氣體噴嘴249c之方式向外側突出。第1突出部302亦可以分別收納第1氣體噴嘴249a、第2氣體噴嘴249b之方式分割為複數個。又,於與處理氣體噴嘴相對之位置,設置向外側突出之排氣緩衝器234,排氣緩衝器234與基板面對之部分較大地開口而形成有排氣口233。如此一來,使內壁與晶圓之間之空間變窄之反應管由於如繞行晶圓之周圍般之處理氣體之流動被抑制,故而容易使晶圓上之處理氣體之分壓變得均勻,但難以修正對中央部之供給不足之傾向。又,於本變形例中,自第3氣體噴嘴249c供給之惰性氣體亦不易於晶圓之周圍流動,故而呈扇狀地阻擋處理氣體之作用增強,而可矯正為凸傾向。
再者,為了激發噴嘴內或第1突出部302內之氣體,於第1突出部302內,與噴嘴平行地具備電漿電極,而可提供活性較高之反應物。作為反應物,例如亦可使用如三乙胺((C2H5)3N、簡稱:TEA)氣體之胺系氣體、或如氧氣(O2)、水蒸氣(H2O)、臭氧(O3)氣體、經電漿激發之O2氣體(O2*)、O2氣體+氫氣(H2)之含O氣體(氧化劑)、或如丙烯(C3H6)氣體之含C氣體、或如三氯化硼(BCl3)氣體之含B氣體。
(變形例3)
作為又一變形例,亦可使用如圖7所示之反應管203。該反應管203於其內部設置有內壁(亦稱為內管、襯管)304。內壁304於與第1氣體噴嘴249a、第2氣體噴嘴249b對向之部分具有成為局部之開口之排氣口233,又,形成有以避開第1氣體噴嘴249a、第2 氣體噴嘴249b之方式局部地向外側突出之形狀之第1內壁突出部305、及設置部分以第3氣體噴嘴249c不與內壁304干涉之方式局部地向外側突出之形狀之第2內壁突出部306。第1內壁突出部305亦可以分別收納第1氣體噴嘴249a、第2氣體噴嘴249b之方式分割為複數個。藉由使用此種形狀之反應管,可獲得與變形例2相同之效果,並且改善反應管203整體之排氣性(氣體置換性)。
(4)模擬
於圖8中,表示惰性氣體之總流量與反應中間物氣體之分壓之關係的模擬結果。此處,假定如下情形:使用圖案晶圓模型,於角θ=40°下將惰性氣體噴嘴對稱地配置2根(第3氣體噴嘴及第4氣體噴嘴),且自處理氣體噴嘴供給400sccm之HCDS。縱軸表示作為因HCDS之分解產生之代表性之反應中間物(前驅物、自由基)之SiCl2*(亞矽基)之分壓,亦可謂其表示HCDS之分解量。即,模擬考慮圖案晶圓中之HCDS之消耗而進行。曲線圖表示關於中間物之分壓,來自2個惰性氣體噴嘴之N2氣體之總流量增加得越多,中央與端部之比變得越大(即,凸傾向),但實際上並不怎麼促進膜厚之凸傾向。又,因原料之稀釋,導致分壓於晶圓之整體下降。其意味著,氣體之使用效率變差,成膜速度亦變慢。
於圖9中,表示除了將角θ設為120°以外其他條件與圖8相同之模擬結果。與圖8相比,伴隨於惰性氣體之流量之增加所產生之中間物之分壓之下降明顯得以改善。再者,以下不對反應中間物或處理氣體進行區分,而將有助於成膜之氣體總稱為反應氣體。
於圖10中,分別表示於圖8及圖9中使用之條件下之反應氣體之濃度分佈。再者,N2氣體之總流量均為2000sccm。如上側所示,若使用角θ=40°之惰性氣體噴嘴,則反應氣體之濃度隨著自噴嘴遠離而緩慢減少,其暗示與N2氣體之混合或擴散。另一方面,如下側所示,若使用角θ=120°之惰性氣體噴嘴,則1Pa左右之相對較高之分壓之原料分佈為包含晶圓之中心附近之扇狀,又,濃度梯度相對較為陡峭。其暗示混合或擴散被抑制。
於晶圓表面之成膜分佈成為研缽狀之因素認為不僅是氣體不足,而還有晶圓表面中之阻礙等之情形時,較理想為反應氣體以既定之分壓以上分佈於晶圓中心部。例如,於處理氣體為激發物種(自由基等)之情形時,一般較理想為不與能量較低之其他氣體(流量大且溫度相對較低之惰性氣體)混合,而到達至晶圓中心部。而且,為了提高成膜速度,處理氣體整體越多越理想。若考慮以上情況,則本變形例之惰性氣體噴嘴之配置較理想為如圖9般角度θ較大且呈線對稱地配置2根。設為將如此般以鈍角之θ設置有2根之噴嘴稱為側對向噴嘴。藉由使用側對向噴嘴,可更確實地使晶圓上之面內膜厚分佈成為中央凸分佈化。
於圖11中,表示變形例1中之晶圓上之反應氣體分壓之θ依存性。此處,假定如下情形:使用圖案晶圓模型,將2個惰性氣體噴嘴(第3氣體噴嘴及第4氣體噴嘴)對稱地配置,且自處理氣體噴嘴供給300sccm之HCDS。上側之曲線圖對應於來自惰性氣體噴嘴之100sccm之流量之N2氣體,下側之曲線圖對應於2000sccm之流量。角θ越增大,則中間物之分壓單調遞增,又,對N2氣體流量之依存性變得越強。再者,角θ因不於與排氣口233(排氣 管)相同之位置設置惰性氣體噴嘴而存在上限,其上限例如為140°。若關注中心部之反應氣體之分壓,則於θ=110°附近,上側之曲線圖之分壓與下側之曲線圖之分壓逆轉。該逆轉點實際上依存於N2氣體流量,大約為95°<θ<130°之範圍。即,若於θ>95°之位置配置2根惰性氣體噴嘴,則可期待能夠不因反應氣體之稀釋蒙受不利而矯正為凸傾向。
於圖12中,表示變形例2中之反應氣體之排氣壓力依存性之曲線圖。於該模擬中,設為自配置於θ=120°之位置之惰性氣體噴嘴249供給700sccm之N2氣體,自噴嘴232b供給480sccm之處理氣體,進而自鄰接於噴嘴232b之噴嘴232a供給300sccm之N2氣體,橫軸表示排氣管231中之排氣壓力,縱軸表示晶圓上之中間物(SiCl2)之分壓。晶圓中心部之反應氣體之分壓如菱形之標記之線所示般,始終高於晶圓之端部全周之平均,該比係排氣壓力(背壓)變得越大則越略微增加。認為其原因在於:因自分子流轉變為黏性流,自處理氣體噴嘴噴射之氣體於橫越基板之中途減速,而容易滯留於中央。
藉由調整惰性氣體之流量平衡,即便於僅使用1個惰性氣體噴嘴之情形時,亦可使反應氣體相較於分佈在晶圓端部更多地分佈在晶圓中心部。作為一例,鈍角之位置之氣體噴嘴249之流量設定為較銳角之位置之噴嘴232a之流量多,更佳為設定為2倍以上。又,亦確認到,即便於提高排氣壓力之情形時,亦不易破壞擴散速度與成膜速度之平衡,而晶圓中心部之反應氣體之分壓穩定且較高地分佈。此處應注意的是:該曲線圖之反應氣體之分壓並非直接表示膜厚者。例如,於如交替供給反應氣體之製程中,存在儘 管為如圖11之分壓但排氣壓力越上升則凸傾向越減弱(中央之膜厚變得越薄)之情況。
(5)本實施形態所產生之效果
根據本實施形態,可獲得以下所示之1個或複數個效果。
(a)於對晶圓自處理氣體噴嘴供給處理氣體時,自與處理氣體噴嘴於晶圓之周向上相隔既定距離而設置之惰性氣體噴嘴將N2氣體向晶圓之中心方向供給,藉此可使形成於晶圓上之膜之面內膜厚分佈成為中央凸分佈。即,藉由適當地調整N2氣體之流量或角度,可於圖案晶圓上形成具有平坦分佈之膜。
認為形成於晶圓上之膜之面內膜厚分佈依存於晶圓之表面積係因所謂負載效應而引起。成膜對象之晶圓之表面積越大,處理氣體(反應氣體)於晶圓之周緣部越大量地被消耗,從而越難以到達至中心部。其結果,形成於晶圓上之膜之面內膜厚分佈成為中央凹分佈。根據本實施形態,即便於使用表面積較大之圖案晶圓作為晶圓之情形時,亦可將形成於晶圓上之膜之面內膜厚分佈矯正為凸傾向、自中央凹分佈接***坦分佈等,以較高之自由度控制膜厚分佈。進而,存在除可控制膜厚以外,亦可控制組成比或雜質濃度面內均勻性之可能性。
晶圓之表面積由圖案晶圓之圖案或溝槽深度決定。即,與圖案晶圓之圖案或溝槽深度相關地,決定最佳之N2氣體流量或角θ之範圍。例如,基板之表面積越大(溝槽越深),角θ越大越理想,基板之表面積越小(溝槽越淺),角θ亦可越小。藉由使排 氣口233自與處理氣體噴嘴正對之位置偏移,最大可使角θ增大至180°。
(b)藉由將處理氣體噴嘴與惰性氣體噴嘴於晶圓之周向上相隔既定距離配置,可提高第1層之面內厚度分佈之控制性、即形成於晶圓上之膜之面內膜厚分佈之控制性。
以上,對本發明之實施形態具體地進行了說明。但本發明並不限定於上述實施形態,可於不脫離其主旨之範圍內進行各種變更。於上述實施形態中,對在基板上形成含有Si作為主元素之膜之例進行了說明,但本發明並不限定於此種態樣。即,本發明除了應用於在基板上形成含有Si作為主元素之膜之情形以外,亦可較佳地應用於在基板上形成含有鍺(Ge)、硼(B)等半金屬元素作為主元素之膜之情形。又,本發明亦可較佳地應用於在基板上形成含有鈦(Ti)、鋯(Zr)、鉿(Hf)、鈮(Nb)、鉭(Ta)、鉬(Mo)、鎢(W)、釔(Y)、鑭(La)、鍶(Sr)、鋁(Al)等金屬元素作為主元素之膜之情形。
例如,於使用四氯化鈦(TiCl4)氣體或三甲基鋁(Al(CH3)3;簡稱:TMA)氣體,於基板上形成氮化鈦膜(TiN膜)、氮氧化鈦膜(TiON膜)、碳氮化鈦鋁膜(TiAlCN膜)、碳化鈦鋁膜(TiAlC膜)、碳氮化鈦膜(TiCN膜)、氧化鈦膜(TiO膜)等之情形時,亦可較佳地應用本發明。
用於基板處理之配方較佳為根據處理內容個別地準備,且經由電通信線路或外部記憶裝置123而存儲於記憶裝置121c內。而且,較佳為,於開始處理時,CPU121a自存儲於記憶裝置121c內之複數個配方中,根據基板處理之內容適當選擇恰當之配 方。藉此,可藉由1台基板處理裝置,再現性較佳地形成各種膜種類、組成比、膜質、膜厚之膜。又,可減輕操作員之負擔,可避免操作失誤並且迅速開始處理。
上述配方並不限於新製作之情形,例如亦可藉由變更已安裝於基板處理裝置之既有之配方而進行準備。於變更配方之情形時,亦可經由電通信線路或記錄有該配方之記錄媒體,而將變更後之配方安裝於基板處理裝置。又,亦可對既有之基板處理裝置所具備之輸入輸出裝置122進行操作,而直接變更已安裝於基板處理裝置之既有之配方。
又,上述實施形態或變形例等可適當組合而使用。此時之處理程序、處理條件例如可設為與上述實施形態之處理程序、處理條件相同。
藉由上述實施形態或變形例等之方法形成之SiN膜等可廣泛用作絕緣膜、間隔膜、遮罩膜、電荷儲存膜、應力控制膜等。近年來,伴隨著半導體器件之微細化,對於形成在晶圓上之膜,面內膜厚均勻性之要求變得嚴格。可使具有平坦之面內膜厚分佈之膜形成於在表面形成有高密度圖案之圖案晶圓上的本發明作為符合該要求之技術而極為有益。

Claims (13)

  1. 一種基板處理裝置,其具有:處理室,其對基板進行處理;處理氣體噴嘴,其將處理氣體供給至上述處理室內;2根惰性氣體噴嘴,其等以上述基板之中心部之惰性氣體濃度低於上述基板之端部之惰性氣體濃度的方式,僅將惰性氣體供給至上述處理室內;及排氣口,其設置於隔著上述基板與上述處理氣體噴嘴對向之位置,將上述處理室內之環境氣體排出;上述惰性氣體噴嘴於將連結上述處理氣體噴嘴與上述排氣口之第1直線作為交界線而劃分之各個區域中各設置1根。
  2. 如請求項1之基板處理裝置,其中,以連結上述惰性氣體噴嘴與上述基板之中心之第2直線、和上述第1直線所成之角θ成為90°以上且180°以下之方式,上述處理氣體噴嘴與上述惰性氣體噴嘴於上述晶圓之周向上相隔既定距離而設置。
  3. 一種基板處理裝置,其具有:處理室,其對基板進行處理;處理氣體噴嘴,其將處理氣體供給至上述處理室內;惰性氣體噴嘴,其以上述基板之中心部之惰性氣體濃度低於上述基板之端部之惰性氣體濃度的方式,僅將惰性氣體供給至上述處理室內;及排氣口,其將上述處理室內之環境氣體排出;將連結上述處理氣體噴嘴與上述排氣口之第1直線、和連結上述惰性氣體噴嘴與上述基板之中心之第2直線所成之角設為θ,以上述θ成為根據上述基板之表面積而決定之值之方式,在周向上相隔而設置上述處理氣體噴嘴與上述惰性氣體噴嘴。
  4. 如請求項3之基板處理裝置,其中,上述基板之表面積越大,則上述θ越大,上述基板之表面積越小,則上述θ越小。
  5. 如請求項4之基板處理裝置,其中,上述惰性氣體噴嘴以向上述基板之中心方向噴出上述惰性氣體之方式設置。
  6. 如請求項5之基板處理裝置,其中,設置2根上述惰性氣體噴嘴。
  7. 如請求項6之基板處理裝置,其中,2根上述惰性氣體噴嘴於將上述第1直線作為交界線而劃分之各個區域中各設置1根。
  8. 如請求項7之基板處理裝置,其中,2根上述惰性氣體噴嘴相對於上述交界線呈線對稱地設置。
  9. 一種半導體裝置之製造方法,其具有:將基板搬入對上述基板進行處理之處理室內之步驟;及將處理氣體自處理氣體噴嘴供給至上述處理室內,並自設置於隔著上述基板而與上述處理氣體噴嘴對向之位置之排氣口將處理室內之環境氣體排氣,而對上述基板進行處理之步驟;於對上述基板進行處理之步驟中,以上述基板之中心部之惰性氣體濃度低於上述基板之端部之惰性氣體濃度的方式,將惰性氣體自惰性氣體噴嘴供給至上述處理室內,而該惰性氣體噴嘴於將連結上述處理氣體噴嘴與上述排氣口之第1直線作為交界線而劃分之各個區域中各設置1根。
  10. 一種程式,其藉由電腦使基板處理裝置執行:將基板搬入上述基板處理裝置之處理室內之程序;將處理氣體自處理氣體噴嘴供給至上述處理室內,並自設置於隔著上述基板而與上述處理氣體噴嘴對向之位置之排氣口將處理室內之環境氣體排氣,而對上述基板進行處理之程序;及於對上述基板進行處理之程序中,以上述基板之中心部之惰性氣體濃度低於上述基板之端部之惰性氣體濃度的方式,將惰性氣體自惰性氣體噴嘴供給至上述處理室內之程序,而該惰性氣體噴嘴於將連結上述處理氣體噴嘴與上述排氣口之第1直線作為交界線而劃分之各個區域中各設置1根。
  11. 一種基板處理裝置,其具備有:反應管,其於內部具有對基板進行處理之處理室;第1氣體噴嘴,其將處理氣體供給至上述處理室內;第2氣體噴嘴,其以上述基板之中心部之惰性氣體濃度低於上述基板之端部之惰性氣體濃度的方式,將惰性氣體供給至上述處理室內;及排氣部,其將上述處理室內之環境氣體排出;上述第2氣體噴嘴於通過上述基板之中心與上述第1氣體噴嘴之第1直線作為交界線而劃分之各個區域中各設置1根,且上述反應管形成有第1突出部及第2突出部,而該第1突出部以收納上述第1氣體噴嘴之方式向外側突出,該第2突出部以收納上述第2氣體噴嘴之方式向外側突出。
  12. 如請求項11之基板處理裝置,其中,其進一步具備有使上述基板旋轉之旋轉機構,且上述第2氣體噴嘴以上述處理氣體或反應中間體之分壓在包含上述基板之中心附近之扇形的範圍內相對較高地分布於上述基板上之方式,與上述第1氣體噴嘴相隔而配置。
  13. 一種基板處理裝置,其具備有:反應管;內壁,於其內側具有對基板進行處理之處理室,且該內壁設置於反應管之內部;第1氣體噴嘴,其將處理氣體供給至上述處理室內;第2氣體噴嘴,其以上述基板之中心部之惰性氣體濃度低於上述基板之端部之惰性氣體濃度的方式,將惰性氣體供給至上述處理室內;及排氣部,其將上述處理室內之環境氣體排出;上述內壁為如下之形狀:以於與上述第1氣體噴嘴對向之部分形成局部之開口,而上述第1氣體噴嘴及上述第2氣體噴嘴不與上述內壁干涉之方式,使上述第1氣體噴嘴及上述第2氣體噴嘴之設置部分局部地向外側突出;上述第2氣體噴嘴於將連結上述第1氣體噴嘴與上述開口之第1直線作為交界線而劃分之各個區域中各設置1根。
TW106144861A 2017-02-23 2017-12-20 基板處理裝置、半導體裝置之製造方法及程式 TWI667368B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2017032065 2017-02-23
JP2017-032065 2017-02-23

Publications (2)

Publication Number Publication Date
TW201843339A TW201843339A (zh) 2018-12-16
TWI667368B true TWI667368B (zh) 2019-08-01

Family

ID=63252790

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106144861A TWI667368B (zh) 2017-02-23 2017-12-20 基板處理裝置、半導體裝置之製造方法及程式

Country Status (6)

Country Link
US (3) US11453942B2 (zh)
JP (3) JP6773880B2 (zh)
KR (2) KR102453245B1 (zh)
CN (2) CN117810127A (zh)
TW (1) TWI667368B (zh)
WO (1) WO2018154823A1 (zh)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102453245B1 (ko) 2017-02-23 2022-10-07 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 장치, 반도체 장치의 제조 방법, 컴퓨터 프로그램 및 처리 용기
JP6920262B2 (ja) 2018-09-20 2021-08-18 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置、およびプログラム
WO2020090161A1 (ja) * 2018-10-31 2020-05-07 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
JP7016833B2 (ja) * 2019-05-17 2022-02-07 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置、およびプログラム
KR20210043810A (ko) * 2019-10-14 2021-04-22 삼성전자주식회사 반도체 제조 장비
WO2021186677A1 (ja) * 2020-03-19 2021-09-23 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム
JP7446189B2 (ja) 2020-09-17 2024-03-08 東京エレクトロン株式会社 処理装置及び処理方法
JP7361005B2 (ja) * 2020-09-18 2023-10-13 株式会社Kokusai Electric 基板処理装置、基板保持具、半導体装置の製造方法、及び、プログラム
CN114518724B (zh) * 2022-01-28 2023-04-28 弥费科技(上海)股份有限公司 一种适用于amhs***的通讯装置及通讯方式
CN116479406B (zh) * 2023-06-20 2023-11-10 长鑫存储技术有限公司 化学气相沉积设备与方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200937516A (en) * 2007-10-16 2009-09-01 Tokyo Electron Ltd Film formation apparatus and method for using same

Family Cites Families (82)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03255618A (ja) * 1990-03-05 1991-11-14 Fujitsu Ltd 縦型cvd装置
JPH08264521A (ja) * 1995-03-20 1996-10-11 Kokusai Electric Co Ltd 半導体製造用反応炉
JP2973971B2 (ja) 1997-06-05 1999-11-08 日本電気株式会社 熱処理装置及び薄膜の形成方法
US6291800B1 (en) * 1998-02-20 2001-09-18 Tokyo Electron Limited Heat treatment apparatus and substrate processing system
US6217937B1 (en) * 1998-07-15 2001-04-17 Cornell Research Foundation, Inc. High throughput OMVPE apparatus
KR100360401B1 (ko) * 2000-03-17 2002-11-13 삼성전자 주식회사 슬릿형 공정가스 인입부와 다공구조의 폐가스 배출부를포함하는 공정튜브 및 반도체 소자 제조장치
US6544869B1 (en) * 2000-06-23 2003-04-08 Matsushita Electric Industrial Co., Ltd. Method and apparatus for depositing semiconductor film and method for fabricating semiconductor device
US6896737B1 (en) * 2000-08-28 2005-05-24 Micron Technology, Inc. Gas delivery device for improved deposition of dielectric material
US6630201B2 (en) * 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
JP4873820B2 (ja) * 2002-04-01 2012-02-08 株式会社エフティーエル 半導体装置の製造装置
KR100829327B1 (ko) * 2002-04-05 2008-05-13 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치 및 반응 용기
JP4204840B2 (ja) * 2002-10-08 2009-01-07 株式会社日立国際電気 基板処埋装置
CN100389482C (zh) * 2002-11-11 2008-05-21 株式会社日立国际电气 基板处理装置
US7537662B2 (en) * 2003-04-29 2009-05-26 Asm International N.V. Method and apparatus for depositing thin films on a surface
US7235138B2 (en) * 2003-08-21 2007-06-26 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for batch deposition of materials on microfeature workpieces
US7534730B2 (en) * 2003-08-26 2009-05-19 Hitachi Kokusai Electric In. Producing method of semiconductor device and substrate processing apparatus
US7422635B2 (en) * 2003-08-28 2008-09-09 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces, e.g., for depositing materials on microfeature workpieces
US20070137794A1 (en) * 2003-09-24 2007-06-21 Aviza Technology, Inc. Thermal processing system with across-flow liner
WO2006088062A1 (ja) * 2005-02-17 2006-08-24 Hitachi Kokusai Electric Inc. 半導体デバイスの製造方法および基板処理装置
US8211235B2 (en) * 2005-03-04 2012-07-03 Picosun Oy Apparatuses and methods for deposition of material on surfaces
US7396415B2 (en) * 2005-06-02 2008-07-08 Asm America, Inc. Apparatus and methods for isolating chemical vapor reactions at a substrate surface
JP4426518B2 (ja) * 2005-10-11 2010-03-03 東京エレクトロン株式会社 処理装置
US8555808B2 (en) * 2006-05-01 2013-10-15 Hitachi Kokusai Electric Inc. Substrate processing apparatus
US8017029B2 (en) * 2006-10-30 2011-09-13 Applied Materials, Inc. Plasma mask etch method of controlling a reactor tunable element in accordance with the output of an array of optical sensors viewing the mask backside
US9218944B2 (en) * 2006-10-30 2015-12-22 Applied Materials, Inc. Mask etch plasma reactor having an array of optical sensors viewing the workpiece backside and a tunable element controlled in response to the optical sensors
KR20100061740A (ko) * 2007-10-10 2010-06-08 마이클 아이자 화학기상증착 반응기 챔버
JP5226082B2 (ja) * 2007-12-20 2013-07-03 アプライド マテリアルズ インコーポレイテッド ガス流分布が改善された熱反応器
KR101431197B1 (ko) * 2008-01-24 2014-09-17 삼성전자주식회사 원자층 증착설비 및 그의 원자층 증착방법
TWI415206B (zh) * 2008-01-31 2013-11-11 Hitachi Int Electric Inc A substrate processing apparatus, and a method of manufacturing the semiconductor device
US20090197424A1 (en) * 2008-01-31 2009-08-06 Hitachi Kokusai Electric Inc. Substrate processing apparatus and method for manufacturing semiconductor device
JP2010027702A (ja) * 2008-07-16 2010-02-04 Hitachi Kokusai Electric Inc 基板処理装置及び薄膜生成方法
JP5383332B2 (ja) * 2008-08-06 2014-01-08 株式会社日立国際電気 基板処理装置、基板処理方法及び半導体装置の製造方法
JP2010073823A (ja) * 2008-09-17 2010-04-02 Tokyo Electron Ltd 成膜装置、成膜方法、及びコンピュータ可読記憶媒体
JP5665289B2 (ja) * 2008-10-29 2015-02-04 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
JP5658463B2 (ja) 2009-02-27 2015-01-28 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
SG173052A1 (en) * 2009-03-03 2011-08-29 Soitec Silicon On Insulator Gas injectors for cvd systems with the same
JP5520552B2 (ja) * 2009-09-11 2014-06-11 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
JP2011066219A (ja) * 2009-09-17 2011-03-31 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
JP5467007B2 (ja) * 2009-09-30 2014-04-09 株式会社日立国際電気 半導体装置の製造方法および基板処理装置
US9127360B2 (en) * 2009-10-05 2015-09-08 Applied Materials, Inc. Epitaxial chamber with cross flow
JP5462885B2 (ja) * 2009-12-18 2014-04-02 株式会社日立国際電気 半導体装置の製造方法および基板処理装置
US20110247556A1 (en) * 2010-03-31 2011-10-13 Soraa, Inc. Tapered Horizontal Growth Chamber
JP5467620B2 (ja) * 2010-08-05 2014-04-09 株式会社日立国際電気 基板処理装置、基板処理方法、半導体装置の製造方法およびプログラム
JP5524785B2 (ja) 2010-09-21 2014-06-18 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
TWI520177B (zh) * 2010-10-26 2016-02-01 Hitachi Int Electric Inc 基板處理裝置、半導體裝置之製造方法及電腦可讀取的記錄媒體
JP5805461B2 (ja) * 2010-10-29 2015-11-04 株式会社日立国際電気 基板処理装置および半導体装置の製造方法
US8822350B2 (en) * 2010-11-19 2014-09-02 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, substrate processing method and substrate processing apparatus
JP5243519B2 (ja) * 2010-12-22 2013-07-24 東京エレクトロン株式会社 成膜装置
JP5837178B2 (ja) * 2011-03-22 2015-12-24 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 化学気相堆積チャンバ用のライナアセンブリ
JP5959307B2 (ja) * 2011-06-22 2016-08-02 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
KR101879175B1 (ko) * 2011-10-20 2018-08-20 삼성전자주식회사 화학 기상 증착 장치
JP6080253B2 (ja) * 2011-12-26 2017-02-15 株式会社日立国際電気 基板処理装置、半導体装置の製造方法およびプログラム
US9748125B2 (en) * 2012-01-31 2017-08-29 Applied Materials, Inc. Continuous substrate processing system
JP6105967B2 (ja) * 2012-03-21 2017-03-29 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP6047039B2 (ja) * 2012-04-20 2016-12-21 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP6055637B2 (ja) * 2012-09-20 2016-12-27 株式会社日立国際電気 クリーニング方法、半導体装置の製造方法、基板処理装置及びプログラム
JP2014067783A (ja) * 2012-09-25 2014-04-17 Hitachi Kokusai Electric Inc 基板処理装置、半導体装置の製造方法及び基板処理方法
US20140137801A1 (en) * 2012-10-26 2014-05-22 Applied Materials, Inc. Epitaxial chamber with customizable flow injection
CN104823268B (zh) * 2012-11-26 2017-11-21 株式会社日立国际电气 半导体器件的制造方法、衬底处理装置及记录介质
JP6415808B2 (ja) * 2012-12-13 2018-10-31 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
KR20140081067A (ko) * 2012-12-21 2014-07-01 삼성전자주식회사 웨이퍼 처리 장치 및 웨이퍼 처리 방법
KR20150108392A (ko) * 2013-01-16 2015-09-25 어플라이드 머티어리얼스, 인코포레이티드 석영 상부 및 하부 돔
JP6128969B2 (ja) * 2013-06-03 2017-05-17 株式会社日立国際電気 基板処理装置、半導体装置の製造方法およびプログラム
JP5864503B2 (ja) * 2013-09-30 2016-02-17 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、プログラム及び記録媒体
US11414759B2 (en) * 2013-11-29 2022-08-16 Taiwan Semiconductor Manufacturing Co., Ltd Mechanisms for supplying process gas into wafer process apparatus
EP3099292A1 (en) 2014-01-28 2016-12-07 Resdevco Research and Development Co. Ltd. Composition comprising xylitol and cholecalciferol for topical treatment of skin and mucous membranes
JP6307318B2 (ja) * 2014-03-24 2018-04-04 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
KR20160026572A (ko) * 2014-09-01 2016-03-09 삼성전자주식회사 기판 처리 장치
JP6347705B2 (ja) * 2014-09-17 2018-06-27 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
KR101977522B1 (ko) * 2015-01-07 2019-05-10 가부시키가이샤 코쿠사이 엘렉트릭 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
JP6406671B2 (ja) 2015-02-26 2018-10-17 Kddi株式会社 コンテンツキャッシュ方法および装置
WO2016157401A1 (ja) * 2015-03-31 2016-10-06 株式会社日立国際電気 半導体装置の製造方法、基板処理装置および記録媒体
JP6578243B2 (ja) * 2015-07-17 2019-09-18 株式会社Kokusai Electric ガス供給ノズル、基板処理装置、半導体装置の製造方法およびプログラム
CN107924826B (zh) * 2015-09-28 2021-08-20 株式会社国际电气 半导体装置的制造方法、基板处理装置以及记录介质
JP6446563B2 (ja) * 2015-09-30 2018-12-26 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US10260149B2 (en) * 2016-04-28 2019-04-16 Applied Materials, Inc. Side inject nozzle design for processing chamber
JP6760833B2 (ja) * 2016-12-20 2020-09-23 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
KR102453245B1 (ko) * 2017-02-23 2022-10-07 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 장치, 반도체 장치의 제조 방법, 컴퓨터 프로그램 및 처리 용기
KR102186964B1 (ko) * 2017-09-22 2020-12-04 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램
JP6820816B2 (ja) * 2017-09-26 2021-01-27 株式会社Kokusai Electric 基板処理装置、反応管、半導体装置の製造方法、及びプログラム
JP7026086B2 (ja) * 2019-09-25 2022-02-25 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及び基板処理装置の洗浄方法
WO2021186677A1 (ja) * 2020-03-19 2021-09-23 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200937516A (en) * 2007-10-16 2009-09-01 Tokyo Electron Ltd Film formation apparatus and method for using same

Also Published As

Publication number Publication date
US11859280B2 (en) 2024-01-02
JP6998106B2 (ja) 2022-01-18
KR20180116224A (ko) 2018-10-24
JP7088990B2 (ja) 2022-06-21
US11453942B2 (en) 2022-09-27
KR102203745B1 (ko) 2021-01-18
CN117810127A (zh) 2024-04-02
CN110121763A (zh) 2019-08-13
WO2018154823A1 (ja) 2018-08-30
US20240084448A1 (en) 2024-03-14
CN110121763B (zh) 2023-12-26
TW201843339A (zh) 2018-12-16
KR102453245B1 (ko) 2022-10-07
JPWO2018154823A1 (ja) 2019-11-07
KR20210005317A (ko) 2021-01-13
JP6773880B2 (ja) 2020-10-21
JP2020188280A (ja) 2020-11-19
JP2020182001A (ja) 2020-11-05
US20190345605A1 (en) 2019-11-14
US20220403510A1 (en) 2022-12-22

Similar Documents

Publication Publication Date Title
TWI667368B (zh) 基板處理裝置、半導體裝置之製造方法及程式
US10388512B2 (en) Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
KR102137477B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
US11591694B2 (en) Method of manufacturing semiconductor device, method of processing substrate, substrate processing apparatus, and recording medium
TW201945574A (zh) 半導體裝置之製造方法、基板處理裝置及記錄媒體
US20240170276A1 (en) Processing method, method of manufacturing semiconductor device, processing apparatus, and recording medium
US20180171467A1 (en) Method of Manufacturing Semiconductor Device, Substrate Processing Apparatus and Non-Transitory Computer-Readable Recording Medium
WO2019180805A1 (ja) 半導体装置の製造方法、基板処理装置、およびプログラム
JP6857760B2 (ja) 半導体装置の製造方法、基板処理装置、およびプログラム
JP6731527B2 (ja) 半導体装置の製造方法、基板処理装置、およびプログラム
JP2020077890A (ja) 半導体装置の製造方法、基板処理装置、およびプログラム