TWI443221B - 金屬碳化物膜的電漿強化沈積 - Google Patents

金屬碳化物膜的電漿強化沈積 Download PDF

Info

Publication number
TWI443221B
TWI443221B TW096139836A TW96139836A TWI443221B TW I443221 B TWI443221 B TW I443221B TW 096139836 A TW096139836 A TW 096139836A TW 96139836 A TW96139836 A TW 96139836A TW I443221 B TWI443221 B TW I443221B
Authority
TW
Taiwan
Prior art keywords
metal
carbide film
growing
substrate
metal carbide
Prior art date
Application number
TW096139836A
Other languages
English (en)
Other versions
TW200821405A (en
Inventor
Kai-Erik Elers
Glen Wilk
Steven Marcus
Original Assignee
Asm Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asm Inc filed Critical Asm Inc
Publication of TW200821405A publication Critical patent/TW200821405A/zh
Application granted granted Critical
Publication of TWI443221B publication Critical patent/TWI443221B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/515Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using pulsed discharges

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

金屬碳化物膜的電漿強化沈積
本申請案請求根據35 U.S.C.§119(e)主張美國臨時申請案第60/854609號(申請日2006/10/25)的優先權。
另外,下述所列的專利參考文獻全體皆引用作為本申請案的揭示內容:U.S.Patent Application No.10/246,131 to Elerset al .,filed September 17,2002;U.S.Patent No.6,800,552;and U.S.Patent Application No.10/394,309 to Saanilaet al .,filed March 20,2003;U.S.Patent No.6,794,287。
本發明是有關於一種金屬碳化物膜,特別是有關於一種藉由氣相沈積製程成長金屬碳化物膜的方法。
積體電路(IC)中的元件積集度迅速地增長,而使得積體電路元件和內連線結構的尺寸被要求需隨之降低。由於設計規範正在將特徵尺寸(feature size)設置成小於等於0.2μm,因此使得藉由習知方法難以將整個薄膜覆蓋至深底部(deep bottom)以及接觸窗(via)。
目前,元件的特徵尺寸的降低的趨勢是理所當然的。例如,動態隨機存取記憶體(Dynamic Random Access Memory,DRAM)、快閃記憶體(flash memory)、靜態隨機存取記憶體(Static Random Access Memory,SRAM)、鐵電(ferroelectric,FE)記憶體等在記憶體電路或元件;互補式金屬氧化半導體(Complementary Metal Oxide Semiconductor,CMOS)裝置中的閘電極(gate elecytrode)以及擴散障壁(diffusion barrier)等積體電路元件。
在電子工業中,金屬碳化物具有各種應用,如從閘電極應用到擴散障壁。例如,碳化鉭(tantalum carbide,TaC)是一種能夠用來作為n型金屬氧化物半導體(NMOS)的閘電極的低阻抗金屬。此外,已經發現TaC可有效抑制金屬內連線結構和金屬線之間的界面的贵金屬原子(noble metal atom)的電遷徙(electromigration)。
一般來說,過渡金屬元素(transition metal element)的碳化物是位於週期表的第4、5、6、7、8、9、10和11族。過渡金屬碳化物是相對惰性、具有非常高的熔點、特別堅硬並耐磨,並且具有高導熱性以及類似金屬的導電性。由於這些因素,過渡金屬碳化物已經被提議在半導體製造中用來作為低阻擴散障壁(例如,參見國際專利申請第WO 00/01006號以及美國專利第5,916,365號)。
過渡金屬碳化物可以具有很大的成分範圍。存在有序以及無序的缺碳形式(carbon deficient form),其中以碳化鎢(tungsten carbide)以及WCx 為例。在這些形式中,碳處於金屬原子之間的間隙腔(interstitial cavity)內。關於金屬碳化物的一般訊息可以在以下文獻中找到,例如:Ullmann的Encyclopedia of Industrial Chemistry,5th Edition,Vol.A5,VCH Verlagsgesellschaft,1986,pp.61-77,以及Kirk-Othmer Encyclopedia of Chemical Technology,4th Edition,Vol.4,John Wiley&Sons Inc.,1992,pp.841-878。
可用於形成金屬碳化物膜的沈積方法包括,化學氣相沈積法(Chemical Vapar Desposition,CVD)、物理氣相沈積法(Physical Vaper Desposition,PVD)以及原子層沈積法(Atomic Layer Desposition,ALD),有時候也稱為原子層磊晶法(atomic layer epitaxy,ALE)。
例如,在美國專利第6,482,262號中,描述了一種製造金屬碳化物膜的“熱”ALD方法,其中用兩種或更多種源化學物質的氣相脈衝順次並交替接觸基板。根據其中描述的方法,過渡金屬源化學物質以及碳源氣體交替並順次脈衝輸送到包含有基板的反應空間內,且該反應空間維持在高溫下(elevated temperature)。重複脈衝輸送序列以形成所想要的厚度的金屬碳化物(例如,TaC)膜。由於ALD的自限制(self-limiting)性質,薄膜是以每一次的沈積循環形成大約一單層(monolayer,ML)的速率進行成長。
例如,在國際專利申請第WO 00/47796中,描述了一種由六氟化鎢(tungsten hexafluoride,WF6)、氫(H2 )和含碳氣體(carbon-containing gas)沈積碳化鎢(WC)的CVD方法。此方法為,首先使含碳化合物被熱激活,然後將所有的氣態源化學物質同時引入到反應空間內,以在基板上沈積非揮發性的碳化鎢。WF6與三甲胺(trimethylamine)和H2 的CVD反應,在700℃-800℃下產生WC膜以及在400℃-600℃下產生β-WCx 膜的技術已經被揭露(Nakajima等人,J.Electrochem.Soc.144(1997)2096-2100)。另外,H2 流速(flow rate)會影響碳化鎢膜的沈積速率也已經被發現。已公開的製程的問題在於,基板溫度遠高於目前半導體製造的熱預算(thermal budget),特別是在金屬化製程的階段中。
通常,PVD製程是進行直視性(line-of-sight)沈積。在美國專利第5,973,400號中,描述了一種藉由PVD沈積可用作擴散障壁的碳化鉭的方法。此方法是,藉由在N2/CH4/Ar環境下濺镀鉭或者碳化鉭,以形成碳化鉭層。然而,直視性沈積意味著在遮罩區域內複雜基板輪廓將會有薄膜覆蓋不足的問題。此外,直視性沈積意味著從源頭直接到達基板的低揮發性源材質容易附著到它所遇到的第一個固體的表面,而產生低共形性(low-conformality)覆蓋。
根據本發明的一方面,提供了在基板上生長金屬碳化物膜的方法。該方法一般包括使反應空間內的基板接觸包括金屬源化學物質的第一反應物以及包括含碳化合物的一種或多種電漿激發態物種的第二反應物,藉此在基板上形成金屬碳化物膜。基板還接觸第三反應物,該反應物是還原劑。在一些實施例中,還原劑在第一反應物接觸基板之後並在第二反應物接觸基板之前接觸基板。在另一些實施例中,還原劑在第二反應物接觸基板之後並在第一反應物再次接觸基板之前接觸基板。
根據本發明的一些實施例,一種在基板上生長金屬碳化物膜的原子層沈積(ALD)製程包括使反應空間中的基板交替並順次接觸金屬化合物以及含碳化合物的一種或多種電漿激發態物種的氣相脈衝,該金屬化合物的氣相脈衝在基板的暴露表面上形成不多於一單層的含金屬膜。此外,基板在金屬化合物和含碳化合物的脈衝之間暴露於還原劑的一個或多個氣相脈衝。例如,還原劑可以是H2 的電漿激發態物種。
根據本發明的其他實施例,一種在基板上生長金屬碳化物膜的化學氣相沈積(CVD)製程包括使基板同時接觸金屬化合物和含碳化合物的一種或多種電漿激發態物種以便形成金屬碳化物膜。基板還接觸還原劑。還原劑例如在金屬化合物接觸基板之後接觸基板。
所有這些實施例意圖落在本發明的範圍內。藉由參照附圖的優選實施例的後續詳細描述,本發明的這些以及其他實施例對本領域熟知此項技藝者清楚易懂,本發明不限於所公開的所有特定優選實施例。
本發明能夠藉由使基板與氣相金屬源化學物質(或金屬化合物)、含碳化合物的一種或多種電漿激發態物種以及還原劑接觸,以使金屬(優選是過渡金屬)碳化物膜形成於反應空間內的基板上。其中,含碳化合物優選是烷(alkane)、烯烴(alkene)或者炔烴(alkyne)。金屬化合物優選是包括選自從鈦(titanium,Ti)、鋯(zirconium,Zr)、鉿(hafnium,Hf)、釩(vanadium,V)、鈮(niobium,Nb)、鉭(tantalum,Ta)、鉻(chromium,Cr)、鉬(molybdenum,Mo)、鎢(tungsten,W)、錳(manganese,Mn)、錸(rhenium,Re)、鐵(iron,Fe)、鈷(cobalt,Co)、鎳(nickel,Ni)、銅(copper,Cu)、銀(silver,Ag)、金(gold,Au)、鈀(palladium,Pd)、鉑(platinum,Pt)、銠(rhodium,Rh)、銥(iridium,Ir)、釕(ruthenium,Ru)以及鋨(osmium,Os)所組成的族群中的一種或多種金屬。還原劑優選是電漿激發態氫物種(plasma-excited species)。還原劑是用來移除不想要的化學物種,其包括鹵素原子和/或氧原子。如此,本發明是有利地形成具有低雜質位準的膜。
金屬碳化物膜的電漿強化沈積
在本發明的一些實施例中,利用ALD型製程,使基板接觸金屬化合物和含碳化合物的電漿激發態物種的交替和順次脈衝,以使得在基板上形成金屬碳化物膜。在本發明的其他實施例中,是利用CVD型製程,使基板同時接觸金屬化合物和含碳化合物的電漿激發態物種,以形成金屬碳化物膜。
本發明是藉由採用含碳化合物的電漿激發態物種能夠有利地形成金屬碳化物膜,以便滲碳(carburize)和/或還原基板上的金屬膜。產生的電漿形成了含碳化合物的自由基(radical)。由於自由基的壽命相當短,金屬碳化物的形成主要是發生在反應空間內的基板或工件(workpiece)上處於含碳化合物的電漿激發態物種的視野(view)內的位置。同樣,金屬碳化物膜能夠以受控方式形成,並能夠降低或防止使用電漿的不利後果,例如由非受控金屬碳化物沈積產生的電性短路(electrical short)。的反應物相對時間的濃度分布曲線(concentration profile)不重疊。
“CVD製程”或“CVD型製程”表示藉由使基板與氣相源材質或化合物接觸而進行沈積的製程,其中源材質彼此反應。在CVD製程中,薄膜生長所需的源材質至少在部份沈積時間內同時存在於反應空間中。因而,反應空間中的源材質對時間的濃度分布曲線會重疊。
“反應空間”用於表示反應器或反應室(“室”)或者其中隨意定義的體積,而反應空間中的條件可以調節以影響薄膜生長。反應空間可以是例如位於單晶圓(single-wafer)電漿強化ALD(PEALD)反應器或者批式PEALD反應器中,其中同時在多個基板上發生沈積。在另一實例中,反應空間例如是位於單晶圓CVD反應器中。
“吸附”用來表示原子或分子化學附著到表面上。
“基板”可以是希望進行沈積的任何表面,並且在優選實施例中可以包括適於積體電路(IC)製造的任何工件。典型的基板包括矽、二氧化矽(silica)、覆蓋矽(coated silicon)以及金屬銅,但不限於此。
“表面”用於表示反應空間和基板零件之間的邊界。
“薄膜”是指由以單個離子、原子或分子形式從源輸送到基板的元素或化合物而生長在基板上的薄膜。薄膜的厚度取決於實際的應用,且厚度可以在大範圍內變化,優選從一原子層到1,000奈米(nm)或更多。在一些實施例中,薄膜的厚度小於20nm左右,更優選小於10nm左右,且最優選小於5nm左右。
“金屬碳化物膜”表示包含金屬和碳的薄膜。金屬可以是單元素(single elemental)金屬或者多種金屬,例如金屬合金。金屬碳化物膜可以是化學計量的(例如,TaC)或者非化學計量的(例如,TaCx),其中如果薄膜具有過量的碳則“x”大於1,如果薄膜的碳不足則“x”小於1。
“電漿激發態物種”用於表示藉由向包含一種或多種先質的氣體施加能量形成的一種或多種激發態物種。能量可以藉由各種方法施加(或耦合)到氣體,例如電感耦合(inductive coupling)、紫外輻射(ultraviolet radiation)、微波(microwave)、電容耦合(capacitive coupling)以及施加RF動力等。在不存在耦合能量時,電漿的產生終止。電漿激發態物種形成於包括基板的反應空間內(即,直接或臨場電漿產生)或者在遠程電漿產生器內外部產生。電漿激發態物種包括先質的自由基、陽離子和陰離子以及電漿產生過程中形成的衍生物,例如***片段(cracking fragment)。例如,乙烷(C2 H6 )的電漿激發態物種可以包括甲基自由基(CH3 )、乙基自由基(C2 H5 )、甲基陽離子(CH3 )以及乙基陽離子(C2 H5 )。
電漿強化ALD方法
ALD是一種自限制製程(self-limiting process),其中使用反應先質的順次以及交替脈衝,以在每一個沈積脈衝沈積不多於一原子(或分子)單層材質。選擇沈積條件和先質以便確保自飽和反應,使得一次脈衝中的吸附層留下與同一脈衝氣相反應物不反應的表面終端(termination)。不同反應物的後續脈衝與前一終端進行反應,以便能夠持續沈積。因而,交替脈衝的每一次循環都會留下不超過一單層的所需材質。ALD型製程的原理已經被T.Suntola所提出,例如在Handbook of Crystal Growth 3,Thin Films and Epitaxy,Part B:Growth Mechanisms and Dynamics,Chapter 14,Atomic Layer Epitaxy,pp.601-663,Elsevier Science B.V.1994,其公開內容併入本案供參考。
在沈積薄膜的典型ALD型製程中,一個沈積循環包括將基板暴露於第一反應物,並從反應空間移除所有未反應的第一反應物以及反應副產品;將基板暴露於第二反應物,接著進行第二移除步驟。其中,第一反應物優選是金屬先質,並且第二反應物優選是滲碳(或碳貢獻(carbon-contributing))化合物。通常,鹵化物反應物(例如,五氟化鉭(TaF5 )、四氯化鉭(TaCl4 )和四氯化鉿(HfCl4 ))在ALD沈積中是用來作為金屬先質,因為這些先質成本低且相對穩定,但同時對不同類型的表面族群起反應。碳貢獻化合物優選包括含碳化合物的電漿激發態物種。
由惰性氣體分開先質可防止反應物之間的氣相反應,並能夠進行自飽和表面反應。因為反應可達到自飽和,所以不需要基板的嚴格溫度控制以及先質的精確劑量控制。然而,基板溫度優選為可使得入射的氣體物種不會冷凝成單層或在表面上分解的溫度。在下一活性化學物質脈衝引入反應室之前,從反應空間移除多餘的化學物質和反應副產品。不希望的氣態分子在惰性沖洗氣體的幫助下可從反應空間有效排出,沖洗氣體可將多餘的分子導引出反應室。
本申請所描述的方法,可允許將金屬碳化物膜沈積於基板表面上,且同時可防止反應空間中其他表面上的沈積或者是使其達到最小化。在一些實施例中,薄膜由含鹵素(halogen-containing)的金屬化合物沈積而成。在其他實施例中,薄膜可由金屬有機化合物沈積而成。
根據本發明的優選實施例,ALD型製程用於在基板上形成金屬碳化物膜,例如積體電路工件。優選地,每次ALD循環包括兩個截然不同的沈積步驟或階段。在沈積循環的第一階段(“金屬階段”),包含金屬(即,金屬源材質或化學物質)的第一反應物脈衝輸送到反應空間,並化學吸附到基板表面上,而在基板的表面上形成不超過一單層。選擇該階段中的金屬源材質使得在優選條件下能夠結合到表面上的金屬源材質量由可獲得的結合位置的數量和化學吸附物種(包括配合基)的實體尺寸決定。由金屬源化學物質脈衝所產生的化學吸附層自終止(self-termainate)於不與該脈衝的剩餘化學物質反應的表面。這種現象在本申請中被稱作“自飽和”。本領域熟知此項技藝者應意識到本階段的自限制性質使得整個ALD循環自限制。金屬源材質優選包括沈積的薄膜中所希望的金屬物種。在優選實施例中,要形成的金屬碳化物膜包括選自從鈦(Ti)、鋯(Zr)、鉿(Hf)、釩(V)、鈮(Nb)、鉭(Ta)、鉻(Cr)、鉬(Mo)、鎢(W)、錳(Mn)、錸(Re)、鐵(Fe)、鈷(Co)、鎳(Ni)、銅(Cu)、銀(Ag)、金(Au)、鈀(Pd)、鉑(Pt)、銠(Rh)、銥(Ir)、釕(Ru)以及鋨(Os)所組成的族群中的一種或多種金屬。在一些實施例中,金屬源化學物質,也稱作“金屬化合物”,是鹵化物並且吸附單層由鹵素配合基終止。在一些實施例中,金屬化合物選自從金屬溴化物、金屬氯化物、金屬氟化物以及金屬碘化物所組成的族群。作為實例,使用選自從TaBrw 、TaClx 、TaFy 以及TaIz 所組成的族群中的金屬化合物,來沈積含鉭金屬碳化物膜,其中TaBrw 、TaClx 、TaFy 以及TaIz 的w、x、y和z是1到6的數字。在一些實施例中,在希望產生碳化鉭膜時,TaF5 用來作為金屬化合物。
在其他實施例中,可藉由使基板接觸金屬有機化合物形成金屬碳化物膜,金屬有機化合物包括例如金屬二烴基胺基(dialkylamido)或者金屬環戊二烯基(cyc1opentadienyl)化合物。金屬二烴基胺化合物包括但不限於Ta(NR2 )5 和Ta(NR)(NR2 )3 ,其中R=CH3 或CH2 CH3 ,以及Ta(NR’R”)5 ,其中R’=CH3 和R”=CH2 CH3 。作為實例,三(二甲胺基)叔丁酰胺鉭(tantalum tris(diethylamino)t-butylimide,TBTDET)可以用作金屬源化學物質。儘管上文已經列舉了一些有機化合物,但要知道還可以使用其他有機化合物,例如包括但不限於Cp2 TiCl、(CH3 )3 SiCH2 )3 Ti和CpTa(butadiene)2
藉由利用惰性氣體進行沖洗,從反應空間移除過量的金屬源材質和反應副產品。此外,使用由抽氣系統產生的真空,移除過量的金屬源材質和所有反應副產品。
當金屬源材質在每次自限制脈衝中形成不超過一個單層時,工件表面上可獲得最大階梯覆蓋率。由於化學吸附物種的尺寸以及反應位置的數量,在金屬反應物的每個脈衝中沈積略小於一單層的物質。因而,金屬源材質的最大覆蓋不少於一單層。
另外,在沈積循環的第二階段(“碳貢獻階段”),第二反應物(在本申請中也稱為“第二源化學物質”)脈衝輸送到反應空間以便與前一脈衝留在基板表面上的含金屬分子反應。第二源化學物質優選是碳貢獻化合物。優選的是,在第二階段中,藉由第二源化學物質(或材質)與金屬源材質所留下的單層相互作用而將碳結合到薄膜中。在優選實施例中,第二源化學物質和化學吸附的金屬物種之間的反應會在基板上產生金屬碳化物膜。第二源化學物質通常不是鹵化物,儘管在一些實施例中可以是鹵化物。在一些實施例中,含碳化合物不包含硼。在優選實施例中,第二源化學物質包括含碳化合物的電漿激發態物種,其中該含碳化合物的電漿激發態物種包括由含碳化合物臨場(即,在反應器中)或者在外部(即,遠程電漿產生)並導引到反應空間中的自由基、陰離子以及陽離子,但不限於此。在一些實施例中,噴頭(showerhead)型反應器(例如,美國專利申請第2004/0231799號所公開的,噴頭型PEALD反應器)可用於臨場電漿產生。含碳化合物優選是碳氫化合物(hydrocarbon),更優選是選自從烷、烯烴或者炔烴所組成的族群中的一種或多種碳氫化合物。例如,含碳化合物可以是甲烷(CH4 ),或者甲烷和乙烯(C2 H4 )的混合物。
藉由沖洗氣體脈衝和/或藉由抽氣系統產生的真空可從反應空間移除所有過量的第二源化學物質和反應副產品。沖洗氣體優選是所有惰性氣體,其包括但是不限於氬(Ar)、氦(He)和氫(H2 )。一般認為是一階段緊接著另一階段而進行的,除非沖洗(即,沖洗氣體脈衝)或其他反應物移除步驟介入。
在金屬階段和/或碳貢獻階段之後,可提供(或脈衝輸送)作為第三反應物的還原劑,以還原沈積的薄膜,而還原劑例如是藉由例如臨場或遠程電漿產生器產生的氫的電漿激發態物種。還原劑可移除在金屬階段沈積於薄膜和/或基板上的所有鹵素原子和氧化材質(例如,氧原子)。氫的電漿激發態物種包括但不限於氫自由基(H )、氫陽離子(例如,H 、H2 )以及氫陰離子(H 、H2 )。臨場或遠程形成的氫的電漿激發態物種,例如由氫分子(H2 )或含氫化合物產生(例如,矽烷(silane)、乙硼烷(diborane)等)。
在一實施例中,經由ALD型製程形成金屬碳化物膜包括一次或多次沈積循環,各沈積循環包括以下步驟:1.提供金屬化合物到反應空間;2.沖洗和/或排岀過量的金屬化合物和反應副產品;3.提供含碳化合物的電漿激發態物種到反應空間;以及4.將過量的電漿激發態物種和反應副產品沖洗和/或排岀至反應空間外。
優選的,在第一和/或第二階段之後(在引入金屬之後和/或在引入含碳化合物之後),引入還原劑(例如氫(H2 )的電漿激發態物種),以還原金屬或金屬碳化物膜。藉由在反應空間內產生電漿,或者是使用遠程電漿產生器外部產生電漿,而提供氫的電漿激發態物種。另外,亦可以在每個循環內提供氫的電漿激發態物種。例如,電漿激發態物種可以在步驟1.1(在步驟1和2之間)、步驟2.1(在步驟2和3之間)、步驟3.1(在步驟3和4之間)和/或步驟4.1(在步驟4之後並在再次執行步驟1之前)引入。在一些實施例中,電漿激發態物種可在形成金屬碳化物膜的製程的特定間隔(例如,每第五個循環)引入。氫的電漿激發態物種通常包括氫自由基(H )和氫陽離子(H )。
在優選實施例中,提供含碳化合物的電漿激發態物種(步驟3)包括將含碳化合物引入反應空間內並且臨場產生電漿。在一些情況下,該步驟包括向RF電極提供動力。優選調節一個或多個電漿產生參數,例如,RF動力啟動時間(power on time)、RF動力振幅(power amplitude)、RF動力頻率(power frequency)、反應物濃度、反應物流速、反應空間壓力、總氣體流速度、反應物脈衝持續時間以及間隔和/或RF電極間距,使得電漿激發態物種入射到所希望的基板表面上,並且優選不入射到基板的其他表面和反應空間的其他部份上。在預定的暴露時間之後,電漿產生終止(即,移除電漿動力)。在其他實施例中,含碳化合物的電漿激發態物種產生在反應空間外部的獨立反應器,並經一個或多個通路導引到反應空間。在優選實施例中,無論電漿產生的方法如何,含碳化合物的電漿激發態物種主要導引到基板表面。
步驟1-4可以視需要而重複以便產生所想要的厚度的金屬碳化物膜。例如,步驟1-4可重複到10次、100次或者甚至1000次甚至是更多次,以便產生從一或若干原子層到1,000奈米(nm)或更多的均勻厚度的金屬碳化物。在一些實施例中,可重複步驟1-4直到形成厚度小於100奈米(nm)的金屬碳化物膜,優選小於50nm,更優選小於30nm並且最優選小於20nm。在一實施例,金屬碳化物膜的最大厚度為超過10nm並且優選大約30nm。本領域熟知此項技藝者應該知道金屬碳化物膜的厚度可依據特定應用而改變。作為實例,對於NMOS閘極應用,該厚度通常從大約5nm到大約50nm。作為另一實例,對於MIM電容器應用(例如,DRAM、eDRAM等),該厚度範圍通常從大約5nm到大約20nm。
在一些實施例中,在步驟3和4之前可重複步驟1和2預定次數。例如,在步驟3和4之前,重複5次的步驟1和2。作為另一實例,在步驟3和4之前,可以重複10次的步驟1和2。要理解,如果希望具有成份均勻性(compositional uniformity)的金屬碳化物膜,步驟1和2的重複次數不應超過防止金屬膜充分滲碳的次數。在一實施例,金屬化合物具有低分解溫度,並且步驟1和2的重複次數不超過一次。
參照圖1,顯示了藉由ALD型製程形成金屬碳化物膜的示範性實施例。在最初的表面終止後(如果有需要的話),第一反應物或源材質在步驟102供應或脈衝輸送到基板或工件。根據優選實施例,第一反應物脈衝包括載體氣體流以及金屬先質,金屬先質優選是與預定工件表面反應的揮發性鹵化物。該鹵化物包括要形成部份金屬碳化物膜的金屬物種。因此,含金屬物種吸附於工件表面上。第一反應物脈衝自飽和工件表面使得第一反應物脈衝的所有過量成份不再與由本製程形成的單層反應。自飽和是由於配合基(ligand),例如可終止該單層的鹵化物尾隨物(halide tail),可保護該層不再進一步反應。
接著,在步驟104中從反應空間移除過量的第一反應物。優選地,步驟104僅需要停止第一反應物或化學物質的氣流而使載體氣體(例如,Ar或H2 )繼續流動足夠長的時間,以便擴散或沖洗反應空間的過量反應物以及反應物副產品,優選用大於兩個反應室體積的沖洗氣體,更優選大於三個反應室體積進行沖洗。優選地,移除104包括在停止第一反應物脈衝的氣流之後,再繼續流動沖洗氣體大約為0.1秒至20秒之間。另外,在1999年9月8日遞交、標題為“IMPROVED APPARATUS AND METHOD FOR GROWTH OF A THIN FILM”的同在審查中(co-pending)之美國專利申請第09/392,371號中,描述了脈衝間沖洗,其公開內容併入本案共參考。在其他配置中,反應室在交替的化學物質之間抽氣。例如,參見1996年6月6日公開的標題為“METHOD AND APPARATUS FOR GROWING THIN FILMS”的PCT公開第WO96/17107號,其公開內容併入本案共參考。吸附102和反應物移除104代表沈積循環的第一階段。因而所示沈積循環中的第一階段是金屬階段。
請繼續參照圖1,第二反應物或源化學物質在步驟106脈衝輸送到工件。第二化學物質與第一反應物所留下的該單層反應,或吸附於第一反應物所留下的該單層反應上。在一些實施例中,第二反應物從在步驟102中沈積的含金屬物種中移除配合基。在所示的實施例中,第二反應物包括含碳化合物的電漿激發態物種,其與藉由第一反應物沈積的層反應以形成金屬碳化物。該第二反應物脈衝106包括在反應器中產生含碳化合物的電漿激發態物種或者供應遠程產生的電漿激發態物種。含碳化合物的電漿激發態物種在金屬階段形成的金屬層內沈積碳。在一些實施例中,含碳化合物由載體氣體(例如,H2 )脈衝輸送,載體氣體優選是惰性載體氣體(例如,He、Ar)。
在足以在單層內沈積碳的時間間隔後,可終止電漿的產生,並在步驟108中優選藉由沖洗氣體從反應空間移除所有反應副產品(優選也是揮發性副產品)。該移除可以是如步驟104描述的一樣。步驟106和108一起代表所示PEALD製程的第二階段,也可稱為碳貢獻階段。
接著,在步驟110中重複步驟102-108以便形成期望厚度的金屬碳化物。在一些實施例中,在提供含碳化合物的電漿激發態物種之前,重複金屬階段若干次。如果希望形成厚度為大約一單層或更少的金屬碳化物膜,則排除重複步驟110。
如上文提到的,在優選實施例中,在步驟103中脈衝輸送還原劑(例如,氫(H2 )的電漿激發態物種),以便還原沈積的薄膜。步驟103顯示於步驟102、104、106以及108的旁邊,其是表示該步驟的可變化選擇。例如,步驟103可在步驟102、104、106和108的各循環過程中的金屬階段和/或碳貢獻階段之後執行,或者在執行步驟102、104、106和108的多個循環之後執行。還原劑脈衝的持續時間優選為實質上足以還原沈積的金屬或金屬碳化物膜。優選在1秒和30秒之間脈衝輸送還原劑,更優選在大約1秒和5秒之間。
對於本申請描述的ALD型製程,基板優選保持在從大約100℃到大約400℃的溫度下,更優選從大約200℃到大約350℃。反應室優選保持在從大約200mTorr到大約10Torr的壓力下,更優選從大約1Torr到大約6Torr。
在優選實施例中,第一反應物是TaF5 ,第二反應物包括甲烷(CH4 )的電漿激發態物種,例如甲烷自由基(CH3 ),且形成的薄膜為碳化鉭。
電漿強化CVD方法
CVD是,藉由將兩種或更多的反應物引入反應空間,並同時接觸基板表面以產生薄膜(例如金屬碳化物膜)的沈積製程。根據優選實施例,使用CVD形成金屬碳化物的步驟包括使基板表面同時接觸氣相金屬化合物和含碳化合物的電漿激發態物種。該表面優選為容易受到CVD製程影響。
根據優選實施例,藉由將金屬源材質(金屬化合物)和含碳化合物的電漿激發態物種(統稱“源材質”)提供到反應空間,而在放置於反應空間內的基板上生長金屬碳化物膜。源材質至少在部份沈積時間同時存在於反應空間內。源材質與放置在反應室內的基板接觸,由此彼此相互反應,以在基板的表面上形成金屬碳化物膜。在滲碳(或還原)反應中形成的副產品優選是具揮發性、且與過量反應物一起沖洗出反應空間。替換或與沖洗組合,使用藉由抽氣系統產生的真空從反應空間移除副產品。
適用於CVD型製程的源材質包括但不限於元素週期表中的第4、5、6、7、8、9、10和/或11族的元素的揮發性或氣態化合物(根據由IUPAC推薦的系統)。具體來說,根據優選方法形成的薄膜包括以下元素的碳化物:Ti、Zr、Hf、V、Nb、Ta、Cr、Mo、W、Mn、Re、Fe、Co、Ni、Cu、Ag、Au、Pd、Pt、Rh、Ir、Ru和/或Os,並且因而這些物質的氣態或揮發性化合物優選用於本發明的方法中。
在一些實施例中,用於本發明的金屬源化合物優選是上述金屬的鹵化物。鹵化物包括各種金屬的氟化物、氯化物、碘化物以及溴化物。另外,還可以使用在氯、溴、氟或者碘與金屬原子之間包括至少一個結合鍵的任何揮發性化合物。舉實例來說,如果所想要形成的金屬碳化物膜是碳化鉭,而適於這種製程的金屬化合物可選自從TaBrw 、TaClx 、TaFy 以及TaIz 所組成的族群,其中TaBrw 、TaClx 、TaFy 以及TaIz 的w、x、y和z是從1到6的數字。具體來說,鉭的鹵化物包括TaF5 、TaCl5 、TaBr5 以及TaI5
在其他實施例中,要使用的金屬源化合物優選是金屬有機化合物,更優選是如金屬環戊二烯基(cyclopentadienyl)或者金屬二烴基胺基(dialkylamido)化合物。在一些實施例中,提供多種金屬源化合物或材質。如所提到的,可使用的金屬二烴基胺基化合物包括但不限於Ta(NR2 )5 和Ta(NR)(NR2 )3 ,其中R=CH3 或CH2 CH,以及Ta(NR’R”)5 ,其中R’=CH3 和R”=CH2 CH3 。作為實例,三(二甲胺基)叔丁酰胺鉭可用來作為金屬源化合物。還可以使用其他有機化合物,例如,Cp2 TiCl、(CH3 )3 SiCH2 )3 Ti和CpTa(butadiene)2
由其形成電漿激發態物種的含碳化合物(在本申請中也稱為滲碳劑)優選從以下化合物所構成的族群中選出:烷(例如,CH4 )、炔烴(例如,C2 H4 )、烯烴(例如,C2 H2 )以及其組合。在一些實施例中,優選含碳化合物包括鹵代烷、炔烴以及烯烴。在一些特定實施例中,含碳化合物是甲烷(CH4 )。在其他實施例中,含碳化合物是四溴化碳(CBr4 )。在一些實施例中,含碳化合物引入反應空間並且電漿臨場產生(即,在反應空間中)。在其他實施例中,含碳化合物的電漿激發態物種形成於遠程電漿產生器中並引導到反應空間中的基板。
在金屬碳化物膜形成之後提供還原劑,如藉由臨場或遠程電漿產生器產生的氫的電漿激發態物種。該還原劑移除在金屬碳化物膜形成過程中沈積在薄膜和/或基板上的鹵素原子或氧化材質(例如,氧原子)。
在一實施例,藉由電漿強化CVD型製程形成金屬碳化物膜包括以下步驟:1.將金屬化合物和含碳化合物的電漿激發態物種引入到包括有基板的反應空間內;2.以金屬化合物和含碳化合物的電漿激發態物種同時接觸基板;以及3.沖洗和/或排出過量的金屬化合物、含碳化合物的電漿以及反應副產品。
重複步驟1-3以形成所想要的厚度的金屬碳化物膜。在優選實施例中,還原劑(例如氫電漿)在步驟2和/或3之後引入反應空間以便還原金屬或金屬碳化物膜。還原劑可以在由步驟1-3形成的每次循環的過程中引入,或者在進行多次循環之後引入。藉由氫氣供應到反應器中並在反應空間中形成該含碳化合物的電漿激發態物種而臨場提供氫電漿或者使用遠程電漿產生器外部提供(即,離場)。
對於本申請所描述的CVD型製程,基板優選保持在從大約100℃到大約700℃的溫度下,更優選從大約200℃到大約550℃。反應室優選保持在從大約200mTorr到大約10Torr的壓力下,更優選從大約1Torr到大約8Torr。
因而,於基板表面上可形成金屬碳化物膜。在實例的背景下討論一些前述實施例。
實例
在ALD型製程中,將碳化鉭薄膜沈積於二氧化矽(SiO2 )基板上。步驟順序包括交替並順次脈衝輸送金屬化合物(TaF5 )、含碳化合物(CH4 )的電漿激發態物種以及沖洗氣體(Ar)到包括該基板的反應空間中。該基板支撐於ASM Genitech公司製造的噴頭型PEALD反應器中的襯托器(susceptor)上。在大約350℃的基板溫度下進行沈積。TaF5 源氣體保持在大約95℃的溫度下。甲烷(CH4 )以大約100-500sccm的流速引入反應器。氬(Ar)沖洗氣體的流速保持在大約650sccm。藉由向設置於基板上方的噴頭供應動力(大約200-700W)產生CH4 電漿(CH4 )。氣體脈衝的順序以及脈衝輸送時間如下(毫秒,“ms”):(1)TaF5 脈衝(900ms);(2)Ar沖洗(3000ms);(3)CH4 脈衝(2000ms);以及(4)Ar沖洗(2000ms)。
可重複步驟(1)-(4)大約1000次,以形成厚度在大約80和150之間的碳化鉭薄膜,該厚度可藉由X射線反射量測術(X-Ray Reflectometry,XRR)確定。薄膜生長速度在大約0.08-0.15/循環。
在一些沈積中,在CH4 脈衝之後,TaC薄膜會暴露於氫電漿(H )。在其他沈積中,TaC薄膜則會在CH4 脈衝之前先暴露於氫電漿(H )。
TaC薄膜特性
根據該實施例中羅列的程序形成的碳化鉭薄膜的面電阻(sheet resistance)為大約0.6-120kohm/cm2 。XR R所量測的TaC薄膜的密度為大約6.3-7.9g/cm3 。碳化鉭薄膜在薄膜厚度在大約45-87之間所呈現的表面粗糙度為大約0.7-1.2
儘管檢測到過量的碳,但X射線電子能譜儀(X-ray Photoelectron Spectroscopy,XPS)的檢測結果主要還是碳化鉭(TaC)薄膜。此外,還檢測到氧以及少量的氟,其中氟的濃度從膜內朝向薄膜表面方向增加。
歐傑電子能譜儀(Auger electron spectroscopy,AES)可用於進一步說明碳化鉭薄膜的化學成份。根據AES,薄膜呈現的碳與鉭比率為大約54/46或1.17,其顯示出在薄膜中碳過量;碳化鉭薄膜略微成非化學計量。
用氫電漿(H )接觸TaC薄膜從薄膜中移除了氧雜質。有利地,在H 用於CH4 脈衝之後的氧濃度小於H 用於CH4 脈衝之前的氧濃度。
X射線繞射(X-ray diffraction,XRD),除了(200)和(220)特徵外,更顯示了強(111)特徵,這是表示薄膜中的有序TaC(111)域。
因而,根據優選實施例的方法形成了均勻的TaC薄膜。
在上述至少一些實施例中,用於一實施例中的任何元件可互換到另一實施例中,除非這種替換是不可行的。
本領域熟知此項技藝者知道可以在不脫離本發明的範圍的情況下對上述方法和結構進行各種省略、添加以及修飾。所有這些修飾和改變意圖落在由所附申請專利範圍所定義的本發明的範圍內。
102、103、104、106、108、110...步驟
圖1是根據本發明的優選實施例的ALD型製程中脈衝次序的區塊示意圖。
102、103、104、106、108、110...步驟

Claims (51)

  1. 一種成長金屬碳化物膜的方法,該金屬碳化物膜成長於反應空間內的基板上,該方法包括:使所述基板接觸第一反應物,其中該第一反應物包括金屬源化學物質;使所述基板接觸第二反應物,以在所述基板上形成所述金屬碳化物膜,其中第二反應物包括含碳化合物的一種或多種電漿激發態物種;以及使所述基板單獨地接觸第三反應物,其中所述第三反應物是還原劑。
  2. 如申請專利範圍第1項所述之成長金屬碳化物膜的方法,其中使所述基板接觸所述還原劑的方式是,在使所述基板接觸所述第一反應物和使得所述基板接觸所述第二反應物之間所進行。
  3. 如申請專利範圍第1項所述之成長金屬碳化物膜的方法,其中使所述基板接觸所述還原劑的方式是,在使所述基板接觸所述第一反應物之後、並在使所述基板再次接觸所述第二反應物之前所進行。
  4. 如申請專利範圍第3項所述之成長金屬碳化物膜的方法,其中使所述基板接觸所述還原劑的方式是,更包括在使所述基板接觸所述第一反應物和使所述基板接觸所述第二反應物之間所進行。
  5. 如申請專利範圍第1項所述之成長金屬碳化物膜的方法,其中使所述基板接觸所述還原劑的方式包括使所述 基板接觸電漿激發態物種。
  6. 如申請專利範圍第5項所述之成長金屬碳化物膜的方法,其中所述電漿激發態物種包括氫的一種或多種電漿激發態物種。
  7. 如申請專利範圍第1項所述之成長金屬碳化物膜的方法,更包括從所述反應空間移除所有過量的第一反應物、第二反應物以及反應副產品。
  8. 如申請專利範圍第1項所述之成長金屬碳化物膜的方法,其中所述金屬碳化物膜實質上是均勻的。
  9. 如申請專利範圍第1項所述之成長金屬碳化物膜的方法,其中接觸的步驟包括進行原子層沈積製程,而所述原子層沈積製程包括使所述基板交替並順次接觸所述第一反應物和第二反應物。
  10. 如申請專利範圍第1項所述之成長金屬碳化物膜的方法,其中接觸的步驟包括進行化學氣相沈積製程,而所述化學氣相沈積製程包括使所述基板同時接觸所述第一反應物和第二反應物。
  11. 如申請專利範圍第1項所述之成長金屬碳化物膜的方法,其中含碳化合物不包含硼。
  12. 如申請專利範圍第1項所述之成長金屬碳化物膜的方法,其中所述金屬碳化物膜是形成積體電路中的導電擴散障壁的一部份。
  13. 如申請專利範圍第12項所述之成長金屬碳化物膜的方法,其中所述導電擴散障壁是形成雙金屬鑲嵌結構中 的線路的一部份。
  14. 如申請專利範圍第12項所述之成長金屬碳化物膜的方法,其中所述金屬碳化物膜是形成積體電路中的金屬閘極的一部份。
  15. 如申請專利範圍第1項所述之成長金屬碳化物膜的方法,其中所述金屬碳化物膜是形成蝕刻終止障壁。
  16. 如申請專利範圍第1項所述之成長金屬碳化物膜的方法,其中所述金屬源化學物質包括五氟化鉭。
  17. 如申請專利範圍第1項所述之成長金屬碳化物膜的方法,其中所述含碳化合物包括甲烷。
  18. 如申請專利範圍第1項所述之成長金屬碳化物膜的方法,其中所述含碳化合物的一種或多種電漿激發態物種是於所述反應空間內所形成。
  19. 如申請專利範圍第1項所述之成長金屬碳化物膜的方法,其中所述含碳化合物的一種或多種電漿激發態物種是於遠程電漿產生器內所形成。
  20. 一種原子層沈積製程,適於在基板上成長金屬碳化物膜,該製程包括:使反應空間內的基板交替並順次接觸以下化合物的氣相脈衝:在所述基板的暴露表面上形成不多於一單層含金屬膜的金屬化合物,以及含碳化合物的一種或多種電漿激發態物種;以及在所述金屬化合物和所述含碳化合物的脈衝之間,將 所述基板暴露於還原劑的一個或多個氣相脈衝。
  21. 如申請專利範圍第20項所述之原子層沈積製程,其中所述還原劑包括氫的一種或多種電漿激發態物種。
  22. 如申請專利範圍第20項所述之原子層沈積製程,其中所述金屬化合物的脈衝和緊接著的所述含碳化合物的脈衝組成一循環,其中在多個循環後即進行一次的將所述基板暴露於所述還原劑的一個或多個氣相脈衝。
  23. 如申請專利範圍第20項所述之原子層沈積製程,其中所述一種或多種電漿激發態物種與所述含金屬膜反應,以在所述基板上形成不超過約一單層的金屬碳化物膜。
  24. 如申請專利範圍第20項所述之原子層沈積製程,更包括在所述金屬化合物的所述氣相脈衝之後,移除所有過量的金屬化合物。
  25. 如申請專利範圍第24項所述之原子層沈積製程,其中移除的步驟包括利用選自從氬氣、氦氣和氫氣所組成的族群中的氣體來沖洗所述反應空間。
  26. 如申請專利範圍第24項所述之原子層沈積製程,其中移除的步驟包括對所述反應空間進行抽氣。
  27. 如申請專利範圍第20項所述之原子層沈積製程,更包括在每次所述脈衝之後,移除所有反應副產品。
  28. 如申請專利範圍第20項所述之原子層沈積製程,更包括在所述含碳化合物的所述一種或多種電漿激發態物種的氣相脈衝之後,移除所述含碳化合物的所有過量一種或多種電漿激發態物種。
  29. 如申請專利範圍第20項所述之原子層沈積製程,其中所述金屬化合物包括選自從鈦、鋯、鉿、釩、鈮、鉭、鉻、鉬、鎢、錳、錸、鐵、鈷、鎳、銅、銀、金、鈀、鉑、銠、銥、釕以及鋨所組成的族群中的至少一種金屬。
  30. 如申請專利範圍第20項所述之原子層沈積製程,其中所述金屬化合物是選自從金屬鹵化物和金屬有機化合物所組成的族群。
  31. 如申請專利範圍第30項所述之原子層沈積製程,其中所述金屬化合物是選自從金屬溴化物、金屬氯化物、金屬氟化物以及金屬碘化物所組成的族群。
  32. 如申請專利範圍第31項所述之原子層沈積製程,其中所述金屬化合物是五氟化鉭。
  33. 如申請專利範圍第30項所述之原子層沈積製程,其中所述金屬化合物是選自從金屬二烴基胺基化合物或者金屬環戊二烯基化合物所組成的族群。
  34. 如申請專利範圍第20項所述之原子層沈積製程,其中所述含碳化合物是碳氫化合物。
  35. 如申請專利範圍第34項所述之原子層沈積製程,其中所述碳氫化合物從以下化合物所構成的族群中選擇:烷、烯烴以及炔烴。
  36. 如申請專利範圍第34項所述之原子層沈積製程,其中所述碳氫化合物是甲烷。
  37. 如申請專利範圍第20項所述之原子層沈積製程,其中所述金屬化合物是由載體氣體的輔助引入到所述反應空 間中。
  38. 如申請專利範圍第20項所述之原子層沈積製程,其中所述一種或多種電漿激發態物種包括由所述含碳化合物形成的含碳的自由基。
  39. 一種成長金屬碳化物膜的方法,其是在反應空間內,利用化學氣相沈積製程,在基板上成長金屬碳化物膜,該方法包括:使所述基板同時接觸金屬化合物和含碳化合物的一種或多種電漿激發態物種,以形成所述金屬碳化物膜,且更包括使所述基板單獨地接觸還原劑。
  40. 如申請專利範圍第39項所述之成長金屬碳化物膜的方法,更包括從所述反應空間移除所有金屬化合物、所述含碳化合物的一種或多種電漿激發態物種以及反應副產品。
  41. 如申請專利範圍第39項所述之成長金屬碳化物膜的方法,其中所述金屬碳化物膜包括選自從鈦、鋯、鉿、釩、鈮、鉭、鉻、鉬、鎢、錳、錸、鐵、鈷、鎳、銅、銀、金、鈀、鉑、銠、銥、釕以及鋨所組成的族群中的一種或多種金屬。
  42. 如申請專利範圍第39項所述之成長金屬碳化物膜的方法,其中所述金屬化合物選自從金屬鹵化物和金屬有機化合物所組成的族群。
  43. 如申請專利範圍第42項所述之成長金屬碳化物膜的方法,其中所述金屬化合物是選自金屬溴化物、金屬氯 化物、金屬氟化物以及金屬碘化物所組成的族群。
  44. 如申請專利範圍第43項所述之成長金屬碳化物膜的方法,其中所述金屬化合物是五氟化鉭。
  45. 如申請專利範圍第42項所述之成長金屬碳化物膜的方法,其中所述金屬化合物是選自從金屬二烴基胺基化合物或者金屬環戊二烯基化合物所組成的族群中的一種或多種金屬。
  46. 如申請專利範圍第39項所述之成長金屬碳化物膜的方法,其中所述含碳化合物是碳氫化合物。
  47. 如申請專利範圍第46項所述之成長金屬碳化物膜的方法,其中所述碳氫化合物是選自從烷、烯烴以及炔烴所組成的族群。
  48. 如申請專利範圍第46項所述之成長金屬碳化物膜的方法,其中所述碳氫化合物是甲烷。
  49. 如申請專利範圍第48項所述之成長金屬碳化物膜的方法,其中所述還原劑包括氫的一種或多種電漿激發態物種。
  50. 如申請專利範圍第39項所述之成長金屬碳化物膜的方法,其中所述金屬化合物是由載體氣體的輔助引入所述反應空間。
  51. 如申請專利範圍第39項所述之成長金屬碳化物膜的方法,其中所述含碳化合物的一種或多種電漿激發態物種包括由所述含碳化合物形成的含碳氣體。
TW096139836A 2006-10-25 2007-10-24 金屬碳化物膜的電漿強化沈積 TWI443221B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US85460906P 2006-10-25 2006-10-25

Publications (2)

Publication Number Publication Date
TW200821405A TW200821405A (en) 2008-05-16
TWI443221B true TWI443221B (zh) 2014-07-01

Family

ID=38920915

Family Applications (1)

Application Number Title Priority Date Filing Date
TW096139836A TWI443221B (zh) 2006-10-25 2007-10-24 金屬碳化物膜的電漿強化沈積

Country Status (3)

Country Link
US (1) US8268409B2 (zh)
TW (1) TWI443221B (zh)
WO (1) WO2008051851A1 (zh)

Families Citing this family (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8993055B2 (en) 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
KR100760920B1 (ko) * 2006-07-25 2007-09-21 동부일렉트로닉스 주식회사 반도체 집적회로 소자에서 구리 배선을 형성하는 방법
US7713874B2 (en) 2007-05-02 2010-05-11 Asm America, Inc. Periodic plasma annealing in an ALD-type process
KR101540077B1 (ko) 2008-04-16 2015-07-28 에이에스엠 아메리카, 인코포레이티드 알루미늄 탄화수소 화합물들을 이용한 금속 카바이드 막들의 원자층 증착법
US20100136313A1 (en) * 2008-12-01 2010-06-03 Asm Japan K.K. Process for forming high resistivity thin metallic film
CA2653581A1 (en) * 2009-02-11 2010-08-11 Kenneth Scott Alexander Butcher Migration and plasma enhanced chemical vapour deposition
US20120100308A1 (en) * 2010-10-25 2012-04-26 Asm America, Inc. Ternary metal alloys with tunable stoichiometries
TWI545628B (zh) * 2011-06-20 2016-08-11 應用材料股份有限公司 具有起始層之n型金屬薄膜沉積
TWI508176B (zh) * 2011-06-20 2015-11-11 Applied Materials Inc 具有起始層之n型金屬薄膜沉積
US11579344B2 (en) 2012-09-17 2023-02-14 Government Of The United States Of America, As Represented By The Secretary Of Commerce Metallic grating
US9580828B2 (en) 2012-09-17 2017-02-28 The United States of America, as represented by the Secretary of Commerce (NIST) Self-terminating growth of platinum by electrochemical deposition
US9412602B2 (en) 2013-03-13 2016-08-09 Asm Ip Holding B.V. Deposition of smooth metal nitride films
US8846550B1 (en) 2013-03-14 2014-09-30 Asm Ip Holding B.V. Silane or borane treatment of metal thin films
US8841182B1 (en) 2013-03-14 2014-09-23 Asm Ip Holding B.V. Silane and borane treatments for titanium carbide films
US9269585B2 (en) * 2014-01-10 2016-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. Method for cleaning metal gate surface
US9394609B2 (en) 2014-02-13 2016-07-19 Asm Ip Holding B.V. Atomic layer deposition of aluminum fluoride thin films
US10643925B2 (en) 2014-04-17 2020-05-05 Asm Ip Holding B.V. Fluorine-containing conductive films
JP5886366B2 (ja) * 2014-06-04 2016-03-16 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、プログラムおよび記録媒体
KR102216575B1 (ko) 2014-10-23 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 티타늄 알루미늄 및 탄탈륨 알루미늄 박막들
US9875890B2 (en) * 2015-03-24 2018-01-23 Lam Research Corporation Deposition of metal dielectric film for hardmasks
US9941425B2 (en) 2015-10-16 2018-04-10 Asm Ip Holdings B.V. Photoactive devices and materials
US9899210B2 (en) * 2015-10-20 2018-02-20 Taiwan Semiconductor Manufacturing Co., Ltd. Chemical vapor deposition apparatus and method for manufacturing semiconductor device using the same
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
US10504901B2 (en) 2017-04-26 2019-12-10 Asm Ip Holding B.V. Substrate processing method and device manufactured using the same
KR102627238B1 (ko) 2017-05-05 2024-01-19 에이에스엠 아이피 홀딩 비.브이. 산소 함유 박막의 형성을 제어하기 위한 플라즈마 강화 증착 공정
EP3480337A4 (en) * 2017-06-12 2020-04-29 ULVAC, Inc. THIN FILM FORMING PROCESS
TWI761636B (zh) 2017-12-04 2022-04-21 荷蘭商Asm Ip控股公司 電漿增強型原子層沉積製程及沉積碳氧化矽薄膜的方法
US11289328B2 (en) * 2018-06-30 2022-03-29 Applied Materials Inc. Deposition and etch processes of chromium-containing thin films for semiconductor manufacturing
US20210225633A1 (en) * 2020-01-17 2021-07-22 Asm Ip Holding B.V. FORMATION OF SiOCN THIN FILMS
US20210225634A1 (en) * 2020-01-17 2021-07-22 Asm Ip Holding B.V. FORMATION OF SiCN THIN FILMS
TW202200828A (zh) * 2020-06-24 2022-01-01 荷蘭商Asm Ip私人控股有限公司 含鉬薄膜的氣相沉積
CN115584486A (zh) * 2022-10-12 2023-01-10 厦门中材航特科技有限公司 一种碳化钽涂层制品及制备方法

Family Cites Families (116)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI117944B (fi) 1999-10-15 2007-04-30 Asm Int Menetelmä siirtymämetallinitridiohutkalvojen kasvattamiseksi
US6482262B1 (en) * 1959-10-10 2002-11-19 Asm Microchemistry Oy Deposition of transition metal carbides
FI119941B (fi) 1999-10-15 2009-05-15 Asm Int Menetelmä nanolaminaattien valmistamiseksi
US3708728A (en) 1971-06-10 1973-01-02 Int Standard Electric Corp Electrolytic capacitor electrode comprising semiconductor core with film-forming metal coating
US4085430A (en) 1974-01-24 1978-04-18 U.S. Philips Corporation Thin film magnetic head with a gap formed between a loop shaped core part and a bridging core part
SE393967B (sv) 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
US4282267A (en) 1979-09-20 1981-08-04 Western Electric Co., Inc. Methods and apparatus for generating plasmas
US4389973A (en) 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
DE3463641D1 (en) 1983-11-11 1987-06-19 Japan Res Dev Corp Boron nitride containing titanium nitride, method of producing the same and composite ceramics produced therefrom
US5693139A (en) 1984-07-26 1997-12-02 Research Development Corporation Of Japan Growth of doped semiconductor monolayers
GB8516537D0 (en) 1985-06-29 1985-07-31 Standard Telephones Cables Ltd Pulsed plasma apparatus
US5769950A (en) 1985-07-23 1998-06-23 Canon Kabushiki Kaisha Device for forming deposited film
US4747367A (en) 1986-06-12 1988-05-31 Crystal Specialties, Inc. Method and apparatus for producing a constant flow, constant pressure chemical vapor deposition
US4761269A (en) 1986-06-12 1988-08-02 Crystal Specialties, Inc. Apparatus for depositing material on a substrate
US4767494A (en) 1986-07-04 1988-08-30 Nippon Telegraph & Telephone Corporation Preparation process of compound semiconductor
US5221556A (en) 1987-06-24 1993-06-22 Epsilon Technology, Inc. Gas injectors for reaction chambers in CVD systems
US5166092A (en) 1988-01-28 1992-11-24 Fujitsu Limited Method of growing compound semiconductor epitaxial layer by atomic layer epitaxy
US4851095A (en) 1988-02-08 1989-07-25 Optical Coating Laboratory, Inc. Magnetron sputtering apparatus and process
US4981724A (en) 1988-10-27 1991-01-01 Hochberg Arthur K Deposition of silicon oxide films using alkylsilane liquid sources
JPH0831454B2 (ja) 1989-04-21 1996-03-27 日本電気株式会社 半導体装置の製造方法
US5549937A (en) 1989-10-11 1996-08-27 U.S. Philips Corporation Method of plasma-activated reactive deposition of electrically conducting multicomponent material from a gas phase
JP2822536B2 (ja) 1990-02-14 1998-11-11 住友電気工業株式会社 立方晶窒化ホウ素薄膜の形成方法
US5071670A (en) 1990-06-11 1991-12-10 Kelly Michael A Method for chemical vapor deposition under a single reactor vessel divided into separate reaction chambers each with its own depositing and exhausting means
US5225366A (en) 1990-06-22 1993-07-06 The United States Of America As Represented By The Secretary Of The Navy Apparatus for and a method of growing thin films of elemental semiconductors
US5356673A (en) 1991-03-18 1994-10-18 Jet Process Corporation Evaporation system and method for gas jet deposition of thin film materials
US5316793A (en) 1992-07-27 1994-05-31 Texas Instruments Incorporated Directed effusive beam atomic layer epitaxy system and method
US5270247A (en) 1991-07-12 1993-12-14 Fujitsu Limited Atomic layer epitaxy of compound semiconductor
US5242530A (en) 1991-08-05 1993-09-07 International Business Machines Corporation Pulsed gas plasma-enhanced chemical vapor deposition of silicon
CA2059818C (en) 1991-08-21 1995-01-03 Gokichi Hatouchi Apparatus for storing articles
JPH05335257A (ja) 1992-06-03 1993-12-17 Showa Shell Sekiyu Kk p型シリコンカーバイドの形成方法
US5342652A (en) 1992-06-15 1994-08-30 Materials Research Corporation Method of nucleating tungsten on titanium nitride by CVD without silane
US5306666A (en) 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
FR2695944B1 (fr) 1992-09-24 1994-11-18 Onera (Off Nat Aerospatiale) Appareil de dépôt chimique en phase vapeur activé par un plasma micro-ondes.
US5443647A (en) 1993-04-28 1995-08-22 The United States Of America As Represented By The Secretary Of The Army Method and apparatus for depositing a refractory thin film by chemical vapor deposition
US5438028A (en) 1993-10-15 1995-08-01 Texaco Inc. Method of enhancing finished catalysts
US6200389B1 (en) 1994-07-18 2001-03-13 Silicon Valley Group Thermal Systems Llc Single body injector and deposition chamber
FI97730C (fi) 1994-11-28 1997-02-10 Mikrokemia Oy Laitteisto ohutkalvojen valmistamiseksi
FI97731C (fi) 1994-11-28 1997-02-10 Mikrokemia Oy Menetelmä ja laite ohutkalvojen valmistamiseksi
FI100409B (fi) 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
JPH09509288A (ja) 1994-11-30 1997-09-16 マイクロン テクノロジー インコーポレイテッド シリコン含有ソースガスを用いる窒化タングステン付着方法
DE19581430D2 (de) 1994-12-27 1997-08-21 Siemens Ag Verfahren zum Herstellen von mit Bor dotiertem , einkristallinem Siliciumcarbid
US6006763A (en) 1995-01-11 1999-12-28 Seiko Epson Corporation Surface treatment method
US5744254A (en) 1995-05-24 1998-04-28 Virginia Tech Intellectual Properties, Inc. Composite materials including metallic matrix composite reinforcements
US5595784A (en) 1995-08-01 1997-01-21 Kaim; Robert Titanium nitride and multilayers formed by chemical vapor deposition of titanium halides
JPH0987857A (ja) * 1995-09-27 1997-03-31 Res Dev Corp Of Japan プラズマcvdによる炭化物コーティング方法
TW328971B (en) 1995-10-30 1998-04-01 Dow Corning Method for depositing Si-O containing coatings
KR0155918B1 (ko) 1995-11-03 1998-12-01 김광호 선택적 텅스텐질화박막을 이용한 반도체장치의 캐패시터 형성방법
US6509098B1 (en) 1995-11-17 2003-01-21 Massachusetts Institute Of Technology Poly(ethylene oxide) coated surfaces
US5946594A (en) 1996-01-02 1999-08-31 Micron Technology, Inc. Chemical vapor deposition of titanium from titanium tetrachloride and hydrocarbon reactants
US5965004A (en) 1996-03-13 1999-10-12 Sterling Pulp Chemicals, Ltd. Chlorine dioxide generation for water treatment
CA2172870A1 (en) 1996-03-28 1997-09-29 Michael Lambert Connectors for a modular building set
US5789024A (en) 1996-05-15 1998-08-04 New Jersey Institute Of Technology Subnanoscale composite, N2-permselective membrane for the separation of volatile organic compounds
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
FI100758B (fi) 1996-09-11 1998-02-13 Planar Internat Oy Ltd Menetelmä ZnS:Mn-loisteainekerroksen kasvattamiseksi ohutkalvoelektrol uminenssikomponentteja varten
JP3353874B2 (ja) 1996-09-24 2002-12-03 シャープ株式会社 半導体装置及びその製造方法
EP0841690B1 (en) 1996-11-12 2006-03-01 Samsung Electronics Co., Ltd. Tungsten nitride (WNx) layer manufacturing method and metal wiring manufacturing method
TW320752B (en) 1996-11-18 1997-11-21 United Microelectronics Corp Metal gate electrode process
US6156382A (en) 1997-05-16 2000-12-05 Applied Materials, Inc. Chemical vapor deposition process for depositing tungsten
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
KR100261017B1 (ko) 1997-08-19 2000-08-01 윤종용 반도체 장치의 금속 배선층을 형성하는 방법
US5913145A (en) 1997-08-28 1999-06-15 Texas Instruments Incorporated Method for fabricating thermally stable contacts with a diffusion barrier formed at high temperatures
KR100274603B1 (ko) 1997-10-01 2001-01-15 윤종용 반도체장치의제조방법및그의제조장치
US5972430A (en) 1997-11-26 1999-10-26 Advanced Technology Materials, Inc. Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer
US6099904A (en) 1997-12-02 2000-08-08 Applied Materials, Inc. Low resistivity W using B2 H6 nucleation step
FI104383B (fi) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
US6104074A (en) 1997-12-11 2000-08-15 Apa Optics, Inc. Schottky barrier detectors for visible-blind ultraviolet detection
KR100287174B1 (ko) 1998-03-17 2001-04-16 윤종용 다원자계산화물 및 질화물의박막제조방법
US6380627B1 (en) 1998-06-26 2002-04-30 The Regents Of The University Of California Low resistance barrier layer for isolating, adhering, and passivating copper metal in semiconductor fabrication
JP2960928B1 (ja) 1998-07-24 1999-10-12 スタンレー電気株式会社 車両用信号灯具
KR100275738B1 (ko) 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
US6188134B1 (en) 1998-08-20 2001-02-13 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with rubidium barrier film and process for making same
ES2315012T3 (es) 1999-02-11 2009-03-16 Hardide Limited Recubrimientos de carburo de tungsteno y procedimiento para producir los mismos.
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6576053B1 (en) 1999-10-06 2003-06-10 Samsung Electronics Co., Ltd. Method of forming thin film using atomic layer deposition method
AU1088401A (en) 1999-10-15 2001-04-30 Asm Microchemistry Oy Deposition of transition metal carbides
WO2001029891A1 (en) 1999-10-15 2001-04-26 Asm America, Inc. Conformal lining layers for damascene metallization
US6727169B1 (en) 1999-10-15 2004-04-27 Asm International, N.V. Method of making conformal lining layers for damascene metallization
EP1221178A1 (en) 1999-10-15 2002-07-10 ASM America, Inc. Method for depositing nanolaminate thin films on sensitive surfaces
US6203613B1 (en) 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
FI20000099A0 (fi) 2000-01-18 2000-01-18 Asm Microchemistry Ltd Menetelmä metalliohutkalvojen kasvattamiseksi
KR100341093B1 (ko) 2000-01-25 2002-06-20 정형식 다기능 지압기
AU2001245388A1 (en) 2000-03-07 2001-09-17 Asm America, Inc. Graded thin films
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
TW508658B (en) 2000-05-15 2002-11-01 Asm Microchemistry Oy Process for producing integrated circuits
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US6355561B1 (en) 2000-11-21 2002-03-12 Micron Technology, Inc. ALD method to improve surface coverage
KR200231160Y1 (ko) 2001-02-01 2001-07-19 주식회사 은성산업 냉동설비용 압축기 흡입튜브
FI109770B (fi) 2001-03-16 2002-10-15 Asm Microchemistry Oy Menetelmä metallinitridiohutkalvojen valmistamiseksi
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
US20040206008A1 (en) 2001-07-16 2004-10-21 Chien-Min Sung SiCN compositions and methods
US7098131B2 (en) 2001-07-19 2006-08-29 Samsung Electronics Co., Ltd. Methods for forming atomic layers and thin films including tantalum nitride and devices including the same
WO2003023835A1 (en) 2001-08-06 2003-03-20 Genitech Co., Ltd. Plasma enhanced atomic layer deposition (peald) equipment and method of forming a conducting thin film using the same thereof
EP1425435A2 (en) 2001-09-14 2004-06-09 Asm International N.V. Metal nitride deposition by ald using gettering reactant
US20030049931A1 (en) 2001-09-19 2003-03-13 Applied Materials, Inc. Formation of refractory metal nitrides using chemisorption techniques
TW589684B (en) 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
US20030123216A1 (en) 2001-12-27 2003-07-03 Yoon Hyungsuk A. Deposition of tungsten for the formation of conformal tungsten silicide
US6827978B2 (en) 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US20030157760A1 (en) 2002-02-20 2003-08-21 Applied Materials, Inc. Deposition of tungsten films for dynamic random access memory (DRAM) applications
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US20030194825A1 (en) 2002-04-10 2003-10-16 Kam Law Deposition of gate metallization for active matrix liquid crystal display (AMLCD) applications
US20030203616A1 (en) 2002-04-24 2003-10-30 Applied Materials, Inc. Atomic layer deposition of tungsten barrier layers using tungsten carbonyls and boranes for copper metallization
KR200287192Y1 (ko) 2002-05-06 2002-08-29 텔스타엔지니어링 주식회사 타이머 제어에 의한 가스 안전 차단 장치
US7498066B2 (en) * 2002-05-08 2009-03-03 Btu International Inc. Plasma-assisted enhanced coating
US20040129212A1 (en) * 2002-05-20 2004-07-08 Gadgil Pradad N. Apparatus and method for delivery of reactive chemical precursors to the surface to be treated
KR200314115Y1 (ko) 2003-01-29 2003-05-22 오진석 개량 수도밸브
KR200314117Y1 (ko) 2003-02-05 2003-05-22 주식회사 동아밸브 다단(3단)제어형 완폐역지변
KR200333234Y1 (ko) 2003-09-01 2003-11-12 송성찬 과일상자용 포장재
KR200340758Y1 (ko) 2003-10-23 2004-02-05 주식회사 은성산업 주방용 살균소독기
US8486845B2 (en) 2005-03-21 2013-07-16 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7459392B2 (en) * 2005-03-31 2008-12-02 Intel Corporation Noble metal barrier and seed layer for semiconductors
US20070054046A1 (en) * 2005-09-06 2007-03-08 Tokyo Electron Limited Method of forming a tantalum-containing layer from a metalorganic precursor
US7611751B2 (en) * 2006-11-01 2009-11-03 Asm America, Inc. Vapor deposition of metal carbide films

Also Published As

Publication number Publication date
US8268409B2 (en) 2012-09-18
WO2008051851A1 (en) 2008-05-02
US20080113110A1 (en) 2008-05-15
TW200821405A (en) 2008-05-16

Similar Documents

Publication Publication Date Title
TWI443221B (zh) 金屬碳化物膜的電漿強化沈積
US10964534B2 (en) Enhanced thin film deposition
KR101506019B1 (ko) 금속 카바이드 막의 기상 증착
JP5441340B2 (ja) 窒化タンタル膜のプラズマald
TWI488990B (zh) 使用鋁烴化合物之金屬碳化物膜的原子層沈積
US7666474B2 (en) Plasma-enhanced pulsed deposition of metal carbide films
JP7196291B2 (ja) タングステン膜又はモリブデン膜を堆積させるための方法
US20170369997A1 (en) Ald of metal-containing films using cyclopentadienyl compounds
US7595270B2 (en) Passivated stoichiometric metal nitride films
KR101379015B1 (ko) 플라즈마 원자층 증착법을 이용한 루테늄 막 증착 방법 및고밀도 루테늄 층
KR20090057665A (ko) 금속을 함유하는 박막 형성방법
JP2002543283A (ja) ハロゲン化タンタル前駆物質からの熱的CVDTaNフイルムのプラズマ処理
Li Recent developments of atomic layer deposition processes for metallization