JP7196291B2 - タングステン膜又はモリブデン膜を堆積させるための方法 - Google Patents

タングステン膜又はモリブデン膜を堆積させるための方法 Download PDF

Info

Publication number
JP7196291B2
JP7196291B2 JP2021519582A JP2021519582A JP7196291B2 JP 7196291 B2 JP7196291 B2 JP 7196291B2 JP 2021519582 A JP2021519582 A JP 2021519582A JP 2021519582 A JP2021519582 A JP 2021519582A JP 7196291 B2 JP7196291 B2 JP 7196291B2
Authority
JP
Japan
Prior art keywords
deposition
metal
substrate
precursor
deposited
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2021519582A
Other languages
English (en)
Other versions
JP2022504527A (ja
Inventor
ロバート,ジュニア. ライト,
トーマス エイチ. バウム,
ブライアン シー. ヘンドリックス,
ショーン デュク グェン,
ハン ワン,
フィリップ エス.エイチ. チェン,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Entegris Inc
Original Assignee
Entegris Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Entegris Inc filed Critical Entegris Inc
Publication of JP2022504527A publication Critical patent/JP2022504527A/ja
Application granted granted Critical
Publication of JP7196291B2 publication Critical patent/JP7196291B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising transition metals

Description

本開示は、金属膜、金属層、又はその他の金属構造体を基板上に堆積させるための蒸着法であって、金属がモリブデン又はタングステンである、蒸着法に関する。本方法は、金属及び一又は複数の炭素含有リガンドを含有する金属前駆体化合物(又は「複合体」)を含み、前駆体の金属から形成された金属層を基板上に堆積させ、続いて、酸化剤を形成された金属層に加熱しながら導入することを含む。
特に精製された形態のモリブデン及びタングステンは、メモリ、ロジックチップ、及びポリシリコン-メタルゲート電極構造体を含有するその他のデバイスなどのマイクロエレクトロニクスデバイスで使用される低抵抗の耐熱性金属である。これらの用途に関してモリブデン又はタングステンの薄い金属層を堆積するために、さまざまな原材料をインプットするさまざまな蒸着技術が使用されてきた。蒸着技術により、金属を含有する「前駆体」化合物は、基板を含有する堆積チャンバの内部で処理され、プロセス材料及び条件は、前駆体からの金属を基板上に金属層として堆積させるのに十分である。
蒸着技術には、化学蒸着(CVD)及び原子層堆積(ALD)技術が含まれ、これらには、とりわけUVレーザー光解離CVD、プラズマ支援CVD、及びプラズマ支援ALDなど、これらのいずれかの派生バージョンが多数含まれる。2次元又は3次元のマイクロエレクトロニクスデバイス基板上に高純度の金属を堆積する場合、CVD及びALDプロセスが望ましいことがあるが、これは、それらのプロセスが高レベルの純度を与えることができ、多くの場合、高度に非平面のマイクロエレクトロニクスデバイスの形状で良好なコンフォーマルステップカバレッジを提供できるためである。しかし、プラズマ支援蒸着及び高温蒸着システムのコストと複雑さは、製造コストとツールコストを増加させる可能性がある。特定のより高い温度を必要とするこれらのようなプロセスは、以前に堆積されたか又は内在する構造体、特に、感温性であることが知られているロジックデバイスの構造体に損傷を与える可能性もある。
典型的なCVDプロセスでは、気化した(気体の)前駆体は、低圧又は大気圧の堆積チャンバ中で、場合によっては加熱され得る基板(例えば、ウエハ)と接触する。基板に導入された前駆体は分解され、基板表面に金属含有堆積物が残され、高純度の堆積金属の薄層(又は「膜」)が形成される。揮発性の副生成物は、堆積チャンバを通るガスの流れによって除去される。
気体前駆体に加えて、蒸着プロセスは、しばしば、一又は複数の追加の気体(「反応ガス」又は「共反応物」と呼ばれることもある)を堆積チャンバに供給することを含み得る。反応ガスは、堆積プロセスがより効率的に、又は堆積結果が改善するように行われることを可能にするように機能し得る。一部の反応ガスは、前駆体と反応して前駆体分子の金属を放出し、元素金属として基板上に堆積するようになる。他の反応ガスは、堆積チャンバ又は堆積チャンバ構成要素の性能又は有効寿命を改善するためなど、さまざまな異なる機能を実行することができる。
前駆体に関しては、タングステン膜及びモリブデン膜が、フッ化タングステン(例えば、六フッ化タングステン、五フッ化タングステン)などのいくつかのよく知られたフッ素含有前駆体を使用する蒸着法によって形成されてきた。しかし、フッ素含有前駆体の使用は、フッ素の存在のために好ましくない可能性があり、デバイスの性能の問題と「特別な」取り扱い上の注意の必要性とを引き起こす。塩素含有前駆体、例えば、五塩化モリブデン、MoOCl及びMoOClなどのオキシ塩化モリブデン、五塩化タングステン、六塩化タングステンなどの非フッ素化前駆体代替物が開発されてきた。これらの塩素含有前駆体を蒸着法に使用することの難しさは、通常、基板を少なくとも約400℃、例えば最大800℃の温度に加熱することを伴う。これらの高温は複雑な処理装置を必要とし、感温性のデバイスの熱収支を消費する。つまり、ロジックデバイスなどの感温性の基板が損傷する可能性がある。より低い動作温度及びより安価でより複雑でない装置の使用を可能にすることにより、より低い温度で金属層を堆積させることができる前駆体が好ましく、ロジックデバイスなどの感温性のデバイスを製造するのに特に有益である。
他の非フッ素化前駆体には、モリブデンヘキサカルボニル(Mo(CO))及びタングステンヘキサカルボニル(W(CO))といったカルボニル含有前駆体、及びイミド-アミド前駆体が含まれる。これらは、塩化物前駆体及びオキシ塩化物前駆体に必要な温度よりも低い温度で堆積され得る。しかし、前駆体からの炭素、酸素、又は窒素が汚染物質として堆積された金属に組み込まれる可能性があるため、堆積された金属構造体は高い抵抗率に悩まされる可能性がある。また、ステップカバレッジは、商用アプリケーションに十分な品質を欠く可能性がある。
これらの考慮事項に基づいて、さまざまな有機金属前駆体から堆積された金属層の非常に高い純度を達成しながら、より低い堆積温度でロジックデバイスなどのさまざまな基板上にモリブデン及びタングステンの金属膜及びコーティングを作製する必要がある。
マイクロエレクトロニクス製造技術では、金属層を感熱デバイス上に堆積させるとき、モリブデン又はタングステンの層を堆積させるための比較的低温(<400℃)の蒸着プロセスが必要である。低温堆積法は、部分的に製造されたロジックデバイス基板上の既存のロジックデバイス構造とのプロセス互換性を可能にする。特に必要なのは、モリブデン又はタングステンをマイクロエレクトロニクスデバイス基板上、例えばロジックデバイス上に堆積させて、非常に低い電気抵抗や、これらのデバイスの準備に必要な適合性/充填機能をもたらす純度レベルを有する金属層を製造するための同等に低温のプロセスである。
出願人の発明によれば、蒸着法は、モリブデン又はタングステン及び一又は複数の炭素含有リガンドを含有する気体前駆体を使用することによって高純度のモリブデン又はタングステン金属の層を基板上に堆積させるのに使用することができる。これらの蒸着法は、比較的低温で、つまり、例えば、ハロゲン化(例えば、フッ素化、塩素化、臭素化、ヨウ素化)又はオキシハロゲン化前駆体を使用する蒸着法に必要な堆積温度よりも低い温度で、実施することができる。本明細書の蒸着法には、気体有機金属前駆体を、任意の共反応物と共に、堆積のための基板を含有する堆積チャンバ内に流すことが含まれる。堆積チャンバの条件(例えば、上昇した温度)と、前駆体及び任意の共反応物の流れ及び圧力とは、組み合わされて、前駆体からのタングステン又はモリブデン金属が、実質的に純粋な堆積された金属膜として基板の表面上に堆積されることになる。
蒸着工程は、原子層堆積法により、化学蒸着法により、又はこれらの方法の修正バージョン若しくは派生バージョンにより、本明細書でさまざまに記載されるように任意の適切なやり方で実施され得る。該方法は、酸化剤のパルス化された流れを含むプロセスパラメータ及び条件を使用して実施され、酸化剤のパルス化された流れは、堆積システムにおいて、例えば堆積された金属層の表面で、炭素と反応して、炭素を酸化させ、堆積された金属層から炭素を除去するか又は金属層での炭素の堆積を防止する。結果として生じる金属層は高純度であり、低濃度の炭素、好ましくは、本明細書に記載されるように酸化剤を堆積チャンバに提示することを含まない同様の蒸着法により調製される金属層に含有されるであろう炭素の濃度よりも低い濃度の炭素を含む。
モリブデン又はタングステンの層は、任意の所望の基板及び任意の特定の基板材料上に堆積することができ、例示の基板は「インプロセス」のマイクロエレクトロニクスデバイス基板である。つまり、この基板は製造過程にあり、未だ不完全である。マイクロエレクトロニクスデバイスは、メモリ機能を提供するもの又はロジック機能を提供するものであり得る。堆積されたモリブデン又はタングステンの機能の例には、(例えば、相互接続、接点、又は電極としての)マイクロエレクトロニクスロジックデバイスの導電層が含まれる。堆積されたモリブデンは、所望の機能を実施するのに効果的な厚さを有する場合があり、連続的であり得る。該方法は、ロジック機能を含む基板上に金属層を堆積させるのに特に適しており、この基板は感温性であるとして知られている。本明細書に記載されるような蒸着法は、これらの感温性の基板に害を与えない同等に低い温度で実施され得る。
本発明の態様は、基板上に金属膜を形成するための蒸着法である。この方法は、気体前駆体を堆積チャンバ中に流すこと、及び気体前駆体を基板に曝露させて基板上に金属を堆積させて、堆積された金属層を形成することを含む。前駆体は金属及び一又は複数の炭素含有リガンドを含み、ここで、金属はモリブデン又はタングステンである。この方法は、酸化剤を堆積チャンバ中に流して、堆積された金属層を酸化剤に曝露させることも含む。
記載される蒸着法に有用なシステムの例を示す。 酸化剤のパルス化された流れを使用した、記載される蒸着法のためのインプット流れの例を示す。
以下の説明は、比較的低い温度を使用して、モリブデン又はタングステン及び一又は複数の炭素含有リガンドを含有する気体前駆体を使用することによって高純度のモリブデン又はタングステン金属の層を基板に堆積させるのに有用な蒸着法に関する。蒸着法は、気体の金属含有前駆体を、任意の共反応物と共に、堆積のための基板を含有する堆積チャンバの内部へ流すことを含む。堆積チャンバの条件(例えば、上昇した温度)と、前駆体及び任意の共反応物の流れ及び圧力とは、一緒になって、前駆体からのタングステン又はモリブデン金属が、実質的に純粋な堆積された金属膜として基板の表面上に堆積されることになる。
これらの種類の前駆体を使用する蒸着法に対する課題として、堆積チャンバの条件はまた、前駆体リガンドに由来する少量の炭素が金属層に汚染物質として堆積されることを可能にするか、又は結果としてもたらす可能性がある。前駆体が、カルボニル基、アルキルアミド基、アルキルアミン基、アルキル又はアリール基(置換されてもよい)、又はシクロペンタジエニル基といった炭素含有リガンドを含有するとき、リガンドからの炭素は、堆積プロセス中に堆積チャンバ中で放出され得る。これらの種類の前駆体を使用する蒸着法に通常使用される温度(ハロゲン化前駆体(すなわち、フッ素化又は塩素化前駆体)などのさまざまな他の種類の前駆体からの基板上に金属層を堆積するのに使用される温度よりも低い可能性がある)では、前駆体炭素が、金属炭化物、例えば炭化モリブデン(MoC)又は炭化タングステン(WC)の形態といった、堆積された金属膜の一部としての金属と共に堆積されることが可能である。金属層に含まれることになる任意のそのような炭素は望ましくない汚染物質であるが、これは、炭素がマイクロエレクトロニクスデバイスにおいて金属膜の性能に悪影響を与える可能性があるためである。炭素は、例えば、膜の抵抗率を望ましくないほど増加させるか、膜の形態若しくは同一性を変更するか、又はその両方を行う場合がある。
本発明によれば、出願人は、この種類の堆積法の間に、酸化剤(例えば、気体酸素(O)、オゾン(O)、又は水(HO)と水素(H)の組み合わせ)が、例えば堆積された金属層の組成を改善するために、堆積チャンバ中に導入され得ると判断した。酸化剤は、酸化剤が完全な金属層に堆積されることになる炭素の量を低減させる量及びやり方で導入される。例えば、酸化剤は、堆積プロセス中に金属層の表面で炭素と反応し、炭素は金属層から除去される。
有機金属前駆体を使用して基板上に金属層を堆積させる現在説明している方法は、原子層堆積と通常呼ばれる方法、化学蒸着と通常呼ばれる方法、又はこれらの方法のいずれかを修正したものを含む、あらゆる種類の蒸着法であり得る。
化学蒸着(CVD)及び原子層堆積(ALD)は、化学前駆体が、場合によっては及び典型的には、共反応物などの一又は複数の他の材料との組み合わせで、堆積チャンバ内の基板に導入されて、その結果、前駆体に由来する材料の薄い「層」又は「膜」が基板の表面上に形成される化学的プロセスである。化学蒸着工程では、堆積された材料の厚さは、基板が前駆体に曝露される時間の長さなどの堆積パラメータにより制御することができる。原子層堆積工程では、堆積された層の厚さは、選択された堆積温度及び圧力などのプロセス条件に基づいて「自己制御的」であり得る。
本明細書によれば、金属としてモリブデン又はタングステンを含有する気体の有機金属前駆体は、一又は複数の任意選択的な共反応ガスと共に、基板を含有する堆積チャンバ(「反応チャンバ」としても知られる)に導入される。気体の金属前駆体は、金属原子に結合した一又は複数の炭素含有化学基(すなわち「リガンド」)と化学的に会合した金属の原子を含有する化学化合物である。前駆体及び任意選択的な共反応ガスの流れの圧力及び流量、並びに堆積チャンバ条件(例えば、他の条件の中でも、温度、圧力、基板の温度)は、前駆体の金属原子が堆積チャンバ内で前駆体のリガンドから放出されるように、及び金属が基板の表面上に堆積することになるように、選択される。堆積プロセスの揮発性の副生成物は、堆積チャンバを通るガスの流れによって除去され得る。
必要な場合又は望ましい場合、気体の有機金属前駆体はキャリアガスを使用して堆積チャンバへ運ばれ得る。キャリアガスは、ヘリウム、アルゴン、窒素、又はそれらの組み合わせなどの不活性ガスであり得る。キャリアガスは気体の有機金属前駆体と組み合わせることができ、キャリアガスが、所望の濃度及び所望の全量の気体前駆体を、基板を含有する反応チャンバへ運ぶことが可能になる。キャリアガス中の気体前駆体の濃度、及び堆積チャンバへの気体キャリアガス前駆体混合物の流量は、特定の堆積プロセス中に所望の堆積された金属層を製造するのに望ましくかつ効果的であり得る。これらのパラメータの特定の値は、とりわけ、堆積チャンバのサイズ(容積)、共反応ガスの流量、基板の温度、堆積チャンバの圧力などの、堆積プロセスの他のパラメータと組み合わせて選択される。
また、必要な場合又は望ましい場合、還元ガス(本明細書では「共反応ガス」と呼ばれる)、例えば水素(H)などの共反応物は、典型的には、基板表面上への前駆体からの元素金属の堆積を容易にするよう堆積チャンバへ導入される。堆積チャンバへ導入される共反応ガスの相対量(例えば、相対流量、相対圧力等)は、特定のプロセスにおいて所望の堆積された金属層を製造するのに望ましくかつ効果的であり得る。これらのパラメータの特定の値は、前駆体の流量、基板温度、及びチャンバ圧力などの堆積プロセスの他のパラメータと組み合わせて選択される。さらに、説明している蒸着法によれば、他の任意選択的な又は所望の工程に加えて、基板を加熱すること、気体雰囲気を導入することにより又は減圧工程等により堆積チャンバを選択的にパージすることなど、他の様々な工程を実施し、パラメータを制御することができる。
蒸着工程で使用される前駆体は、タングステン又はモリブデン、及び一又は複数の、金属中心に化学的に結合した有機リガンドを含有する有機金属前駆体である。有機リガンドは、炭素を含有し、水素及び酸素を含有してもよく、それに結合した酸素又は水素原子を有する炭素原子の一つ又は鎖、並びに炭素に結合した一又は複数の官能基(例えば、アミノ、カルボニルなど)の形態であり得る。リガンドは、例えば、アルキル基、置換アルキル基、置換されていてもよい環状若しくは芳香族基、カルボニル基(-C(O))、アルキルアミド基、アルキルイミド基、又はこれらの組み合わせであり得るか、又はそれを含み得る。これらの種類の気体金属前駆体(「有機金属」前駆体と呼ばれることもある)の例は、蒸着技術において知られており、有機金属カルボニル前駆体及び有機金属アミド-イミド前駆体として知られる化合物を含む。特定の例には、ビス(エチルベンゼン)モリブデン、ビス(エチルベンゼン)タングステン、モリブデンヘキサカルボニル(Mo(CO))、タングステンヘキサカルボニル(W(CO))、及びシクロペンタジエニル(Cp)複合体、モリブデンとタングステンのアルキルシクロペンタジエニル及び水素化物複合体が含まれる。
本明細書の蒸着プロセスの一つの特徴は、特定の他の種類の前駆体からの金属層を堆積させるのに必要である堆積温度よりも比較的低い堆積温度である。炭素含有リガンドを含有する有機金属前駆体を使用する、説明している蒸着プロセスのための堆積温度は、ハロゲン化(フッ素化、塩素化、臭素化、ヨード化)又はオキシハロゲン化前駆体を使用する堆積に必要な温度に比べて比較的低い。記載されるようなさまざまな有機金属前駆体を使用する金属層の蒸着は、約400℃未満、例えば約300℃未満の温度で達成され得る。有利には、これらの低温は、現在説明している方法が、ロジック機能、例えばマイクロプロセッサを実施するよう設計されたマイクロエレクトロニクスデバイスなどの感熱基板に使用されることを可能にする。しかしながら、有機金属前駆体を使用するこれらの比較的低温の蒸着工程の別の特徴は、堆積された金属の層における汚染物質としての炭素の存在であり得る。
炭素を除去するために、酸化剤を堆積された金属の薄膜に存在する炭素と反応させるやり方で、酸化剤が堆積チャンバ中に導入される。酸化剤は、炭素と反応することにより、堆積中に、炭素が金属層上に堆積することを抑制又は防止し、又は堆積された金属層から炭素を除去する。酸化剤はあらゆる気体の化学材料であり得る。これは、堆積された膜に存在する、例えば金属層に存在する炭素と反応して、金属層から分離し、炭素の金属層への取り込みを抑制又は防止する揮発性化合物を形成することになる。
有用な酸化剤の例には、気体酸素(O)、オゾン(O)及び水蒸気と気体水素の組み合わせが含まれる。酸化剤、例えば気体酸素は、例えば金属層中の汚染物質として、堆積された膜に存在する炭素と反応することができ、二酸化炭素を生成し、これは、堆積工程中に、金属層中の取り込まれないか又は金属層から分離される。酸化剤は、金属層の堆積中に酸化剤を炭素と反応させるのに効果的となる量、やり方、流れ、時間、及び圧力で、堆積チャンバ中に導入され得る。好ましい方法では、同じ方法により及び同じ材料から調製されたが堆積チャンバ中への酸化剤の導入を含まない同等の金属膜に存在する炭素の量と比較して、堆積中に酸素を使用して調製された金属膜は減少した量の炭素を含有し得る。好ましい方法では、同じ方法により及び同じ材料から調製されたが堆積チャンバ及びプロセスへの酸化剤の導入を含まない同等の金属膜の抵抗率と比較したとき、堆積中に酸素を使用して調製された金属膜は低い抵抗率を有し得る。特定の現在好ましい例示の方法では、酸化剤が「中断された」又は「パルス化された」やり方で堆積プロセス中に導入されるとき、つまり気体酸化剤の流れが安定ではなく、パルス導入の時間が制御され得るとき、酸化剤は、堆積された金属層から炭素を除去する、又は金属層中への炭素の取り込みを防止するのに効果的である。
説明しているような気体の有機金属前駆体(モリブデン又はタングステン及び一又は複数の炭素含有リガンドを含む)を使用する元素モリブデン又はタングステンの堆積は、利用可能な蒸着設備及び前駆体からの元素モリブデン又はタングステンの層を堆積させるための使用に適合した通常理解されている技術を使用して、追加で堆積チャンバ中に酸素を導入して堆積された金属層から炭素を除去して、実施され得る。
本明細書の方法のための有用なシステムの例として、図1は、化学蒸着、原子層堆積、又はこれらの方法のいずれかの修正又は派生バージョンであり得る説明している蒸着プロセスを行うのに有用であり得るシステムを概略的に(縮尺通りではなく)示す。図1は、基板16を支持するプラテン14を含有する内部12を有する堆積チャンバ10を含む蒸着システム2を示す。示されている内部12は、単一の基板16のみを収納するサイズであるが、代わりに、蒸着処理のための複数の基板を含有するのに望ましい任意のサイズであってもよい。
さらに図1を参照すると、シリンダ40、42、44、及び46は内部12に接続されて、気体流体が各シリンダから内部12中へ選択的に流れることが可能になる。シリンダのそれぞれは、蒸着工程における使用のために、気体形態で、内部12へ供給される液体又は気体原料を含有し得る。例えば、シリンダ46は、液体、固体、又は気体の有機金属前駆体を含有し得る。シリンダ44は、ある濃度の有機金属前駆体を内部12へ運ぶためのキャリアガスとしての使用のための不活性ガスを含有し得る。使用において、キャリアガス含有シリンダ44からのキャリアガスは導管を通って流れ得る。この導管も、開放バルブを通じて、前駆体を含有するシリンダ46に接続し得る。キャリアガスと前駆体の組み合わせは、内部12中に流れるように制御され得る。
シリンダ42は、任意選択的であり、共反応物、例えば、水素ガス、別の還元ガス、又は異なる共反応物を含有し得る。一又は複数の追加の任意選択的なシリンダ(図示せず)はまた、他のさまざまな有用な又は共反応物のいずれか、又は別の不活性ガス(例えば、パージ工程用)などの他の気体流体のいずれかを含有し、内部12に供給するために存在し得る。
シリンダ40は、酸素(O)などの酸化剤を含有する。
具体的には示されていないが、シリンダからの各気体流体の流れの量及び相対量、並びに、気体流れの温度又は圧力、内部12の温度、又はプラテン14若しくは基板16の温度などの条件をモニター及び調整するために、さまざまな既知の測定デバイス又は流れ制御デバイスのいずれかがシステム2に存在することも可能である。これらには、圧力調整器、流量調整器(例えば、質量流量調整器)、センサ(圧力センサ、温度センサ)等を含まれ得る。制御システム50(コンピュータ、中央処理装置(CPU)、プログラマブルロジック制御装置(PLC)等であり得るかそれらを含み得る)は、蒸着システム2のバルブ、センサ、又は他の流れ制御デバイスを選択する制御システム50を電子的に接続する配線52又は他の(例えば無線)通信デバイスを含む。バルブ及び場合によっては他の流れ制御機構を制御することにより、及び圧力及び温度センサをモニターすることにより、制御システム50は、シリンダの流体のそれぞれの流れを効果的に制御して、シリンダからの気体流体の流れの所望の組み合わせを内部12に提供する。
図1のようなシステム、あるいは記載されているようなモリブデン又はタングステンの蒸着に同様に効果的な代替的なシステムでは、堆積処理パラメータは、現在説明しているように蒸着を実行するように制御することができ、この制御には、実質的に純粋な金属層を、汚染物質として炭素を含有する金属層とともに基板の表面上に堆積させること;及び酸化剤を内部に分配して、炭素汚染物質を酸化し、堆積チャンバから又はすでに堆積されている場合は金属層から炭素を除去することが含まれる。炭素が除去されると、堆積された金属層は、本明細書に記載されているように酸化剤への曝露及び酸化剤との反応によって炭素が除去されていない同様の堆積方法(同じ材料及び条件を使用)によって調製された同等の堆積された金属層と比較して、改善された(低減された)抵抗率など、マイクロエレクトロニクスデバイスの堆積された金属層のより高い純度及び改善された特性を有することになる。
実質的に純粋な金属層として、基板表面上に元素タングステン又はモリブデンを堆積させる方法は、(特に比較的低レベルの炭素汚染物質に関して)所望のレベルの純度を有する実質的に純粋な金属層を提供する一堆積工程又は一連の堆積工程により実施することができる。気体の有機金属前駆体がどのように堆積チャンバの内部に供給されるか、及び前駆体の金属がどのように基板上に堆積されることになるかに関して、さまざまなオプションが利用可能である。蒸着プロセスの変数(パラメータ)には、気体の有機金属前駆体の圧力及び流量;不活性キャリアガス(使用される場合)に対する気体前駆体の相対量;還元ガスなどの任意の共反応物の存在及び種類;共反応物に対する気体前駆体に相対量;該方法中の不活性ガスパージの使用;及び気体前駆体、共反応物、酸化剤、又は不活性パージガスの流れが継続的(すなわち、安定しているか又は均一である)であるか又はパルス化(例えば中断)されているかが含まれる。
有機金属前駆体(例えば、キャリアガス-前駆体混合物の一部として)、共反応物、酸化剤等といった気体材料の流れは、必要に応じて及びさまざまな理由から、継続的(すなわち、安定しているか又は均一である)であっても、パルス化(例えば、「中断」されているか又は「非均一」である)されていてもよい。パルス化されている場合、気体流体の流れは継続的ではないが、堆積プロセスの過程で、オンフロー期間およびオフフロー期間を含むサイクルで、断続的に(例えば、定期的に)堆積チャンバ中に流され、その後、堆積チャンバ中に流されない。同じ堆積プロセス中、他の気体材料(例えば、前駆体、共反応物、不活性パージガス等)は、継続的又はパルス化されたやり方のいずれかで、堆積チャンバに供給することができる。必要に応じて、パージ又は真空期間がプロセス中に使用されてもよい。パルス化プロセスを用いる理由の一つは、非平面の構造体にわたって堆積された膜の適合性又はステップカバレッジを改善することである。
記載している方法の特定の実施態様では、気体の有機金属前駆体の流れ(例えば、キャリアガス-前駆体混合物の一部として)は安定であり得、一又は複数の共反応物の流れは安定であり得、酸化剤の流れはパルス化、すなわち中断され得る。ここで、酸化剤のオンフロー期間及び酸化剤のオフフロー期間は、酸化剤の1つのパルス「サイクル」を構成する。図2を参照のこと。酸化剤の流れは継続的ではないが、膜堆積工程全体の過程で、サイクルで、断続的に(例えば定期的に)堆積チャンバに対してパルスオンされ(「オンフロー期間」中)、その後、堆積チャンバに対してパルスオフされる(「オフフロー期間」中)。
「逐次的」蒸着法と呼ばれることもある他の例示的な方法によれば、多数の異なるガス流体(例えば、前駆体、酸化剤、共反応物及び不活性パージガス)は、堆積方法中に、例えば、前駆体の流れがオンにされる一方、共反応ガス及び酸化剤の流れがオフにされる第1の期間;続いて、共反応物の流れがオンにされ、前駆体及び酸化剤の流れがオフにされる第2の期間;続いて、前駆体及び共反応物の流れがオフにされる一方、酸化剤の流れがオンにされる第3の期間;前駆体、酸化剤、又は共反応物のいずれの流れも継続的でなく、各流れが中断されるか又は「パルス」される、交互及び逐次的パルス方式で堆積チャンバに供給され得る。別の例では、第1の期間において、前駆体の流れ及び共反応物がどちらもオンにされる一方、酸化剤の流れがオフにされ;酸化剤の流れがオンにされる期間が続き;第1の期間と同様の、前駆体の流れ及び共反応物がどちらもオンにされる一方、酸化剤の流れがオフにされる別の期間が続き;前駆体及び共反応物の第1の流れの後及び酸化剤の流れの前に、その後、再度、酸化剤の流れの後及び前駆体及び共反応物後続の流れの前に、不活性パージガスが堆積チャンバを通って流される場合がある。
気体流体の任意のパルス化された流れの各オンフロー期間及び各オフフロー期間は、別の気体流体のものと比べて同じであっても異なっていてもよい。そして、特定の気体流体のオンフロー期間は、オフフロー期間と同じであってもよく、異なっていてもよい。一又は複数のパージ又は真空期間は、必要に応じて、例えば、オンフロー期間又はオフフロー期間のいずれかの間に含まれ得る。
本方法の特定の例によれば、金属層は基板上に堆積され、炭素は、気体の有機金属前駆体の継続的な流れ、共反応物の継続的な流れ、及び酸化剤のパルス化された(非継続的な)流れを含む堆積工程により、堆積された金属層から除去されるか又は金属層上への堆積を抑制される。CVD法を示す図2及び実施例1を参照のこと。有機金属前駆体と還元ガスの継続的な流れの組み合わせは、酸化剤のパルス化された流れと共に、例えば厚さに基づいて、所望の量の金属層を基板上に堆積させるのに使用することができ、酸化剤は金属層の堆積中に断続的に導入される。堆積法には、酸化剤の複数のパルスサイクルと共に流される前駆体及び共反応物の継続的な流れの期間が含まれ、酸化剤の各サイクルにはオンフロー期間及びオフフロー期間が含まれる。パルスサイクルの総数、及び各サイクル並びにそのオンフロー期間及びオフフロー期間の長さは、金属層から炭素を除去するか又は金属層内に炭素が堆積されるのを防止し、酸化剤の存在を含まない同等の方法(例えば、酸化剤が存在しない図2の方法)と比較して減少した量の炭素汚染物質を含有する堆積された金属層を提供する、所望の効果を達成するよう選択され得る。
本方法の他の例によれば、金属層は、有機金属前駆体と還元ガスのパルス化された流れ、酸化剤のパルス化された流れ、及びパージガスのパルス化された流れを含む堆積法により、基板上に堆積される。ALD法を示す図2を参照のこと。第1のオンフローは、不活性キャリアガスで供給される前駆体を提供し、堆積チャンバへの他の流れはない(すなわち、「単独」である)。直後のオンフローは、他の流れを有しない不活性パージガスである。次に続くオンフローは、Hの流れと組み合わせた酸化剤であり、酸化剤は、成長する堆積された金属層の膜表面から炭素を除去するのに効果的であり、還元Hガスは、酸素などの、表面に存在する他の汚染物質を還元することができる。酸化剤及び還元ガスの後に、不活性パージガスの第2のパルス化された流れがある。パージガスの第2のオンフローに続いて、キャリアガス中の前駆体の流れから出発する一連の流れが繰り返される。この方法では、これらの流れのいずれも継続的ではなく、記載された流れのすべてはパルス化される。全体的な堆積方法には、金属層の堆積の期間、続いてパージ、続いて酸化剤の流れが堆積された金属層の表面から炭素を除去し、還元ガスの流れが汚染物質を還元する期間、続いて第2のパージが含まれ、この後、一連の流れが繰り返される。一連の流れの繰り返しの総数は、所望の厚さを有し、かつ酸化剤の流れを含まない同等の方法により生成された金属層と比較して、減少した量の炭素を含む金属層を生成する。
蒸着法のさらに別の例には、有機金属前駆体(単独)のパルス化された流れ、不活性パージガスのパルス化された流れ、酸化剤(例えば水及び水素)のパルス化された流れ、気体水素の任意選択的なパルス化された流れ、及び不活性パージガスの第2のパルス化された流れを含む一連のパルス化された流れが含まれる。ALD法を示す実施例3を参照のこと。第1のオンフローは、場合によっては不活性キャリアガスで供給される前駆体を単独で提供する(オンフロー中、堆積チャンバへの他の流れはない)。直後のオンフローは、他の流れを有しない(すなわち、単独の)不活性パージガスである。次に続くオンフローは、他の流れを有しない酸化剤(例えば、水蒸気及び気体水素)であり、酸化剤は堆積された金属層の表面から炭素を除去するのに効果的である。酸化剤の工程の後、還元ガスのパルスが、場合によっては、他の流れを伴わずに堆積チャンバ中に流されてもよく、還元ガスは、表面に存在する他の汚染物質、例えば酸素を還元することができる。酸化剤のオンフロー又は任意選択的な還元ガスのオンフローの後に、不活性パージガスの第2のパルス化された流れがある。パージガスの第2のオンフローに続いて、前駆体の流れから出発する一連の流れが繰り返される。この方法では、これらの流れのいずれも継続的ではなく、それぞれの同定された気体組成物(組み合わせ又は水及び酸素を含む)が単独で堆積チャンバに流され得る。全体的な堆積方法には、金属層の堆積のためのプロセス、続いてパージ、続いて酸化剤の流れが堆積された金属層の表面から炭素を除去する期間、場合によっては続いて還元ガスの流れが他の汚染物質(例えば酸素)を還元し、続いて第2のパージが含まれ、この後、一連の流れが繰り返される。一連の流れの繰り返しの総数は、所望の厚さを有し、かつ酸化剤の流れを含まない同等の方法により生成された金属層と比較して、減少した量の炭素を含む金属層を生成する。
記載されている方法は、使用中に、雰囲気として、気体前駆体、任意選択的なキャリアガス、共反応ガス、任意選択的な不活性パージガス、及び酸化剤のみを実質的に含有する堆積チャンバで実施することができる。例えば、堆積チャンバの雰囲気は、気体前駆体、任意選択的なキャリアガス、任意選択的なパージガス、共反応ガス、及び酸化剤の組み合わせを含み得るか、又はそれからなるか、又は実質的にそれからなる。本開示の目的のため、堆積チャンバ又は関連するガスの流れ又は気体材料の特定の組み合わせから実質的になるガスの流れの組み合わせは、気体材料と実質的でない量の任意の他の気体材料、例えば、2、1、0.5、0.1、0.05、0.01、又は0.005質量パーセント以下の任意の他の気体材料との特定の組み合わせを含有すると考えられる。
堆積チャンバに供給される、気体前駆体(前駆体蒸気としても知られる)の量、共反応ガスの量、任意選択的なパージガスの量、及び酸化剤の量は、方法の結果として、モリブデン又はタングステンの金属層及び望ましくは少量の炭素を生成するために、各気体流体の所望の効果を生成するのに有用であるそれぞれの量であり得る。堆積チャンバに供給されるそれぞれの気体の量は、それらのそれぞれの流量に関して、他の処理パラメータ、堆積された金属層の所望の量(例えば厚さ)、所望の堆積速度、堆積チャンバのサイズ(容積)、及び堆積チャンバの内部圧力を含む要因に基づく可能性がある。また、堆積チャンバへの供給に有用であると説明している各気体流体の例示の量及び範囲は、互いに一貫しているが、使用される堆積チャンバのサイズによって決定される同様の数学的要因に基づいて、より大きく又はより小さくなる可能性がある。
有用であると同定された特定の方法の非限定的な例によれば、前駆体-キャリアガス混合物は、不活性ガス(例えば、Ar、H、又はこれらの組み合わせ)中、0.01から5%の範囲で前駆体を含有してもよく、本格的な半導体製造に期待されるように、300mmのウエハをコーティングするのに有用な速度で堆積チャンバに流され得る。前駆体-キャリアガス混合物の例示の流量は、0.1から500Torrの範囲の内部圧力で動作する300mmのウエハを支持するチャンバに関して25~5,000標準立方センチメートル/分(25~5,000sccm)の範囲であり得る。チャンバ容積当たりの流量に基づき、例示の流量は、堆積チャンバの容積の1立方インチ当たり10から400sccmの範囲、例えば、堆積チャンバの容積の1立方インチ当たり1から100sccmであり得る。堆積チャンバへ流れる前駆体の量に基づき、例示の流れは、1分当たり0.1から100マイクロモルの範囲、例えば、1分当たり1から50、又は2から20マイクロモルの前駆体であり得る。
有用であると同定された特定の方法の非限定的な例によれば、パルスサイクル中に継続的であり得る、水素などの共反応物の流量は、10又は20から1000sccmの範囲であり得、この速度は、単一の300mmのウエハを支持し、0.1から500Torrの範囲の内部圧力で動作する堆積チャンバに有用であり、チャンバが大きいほど、それに対応して、より大きな量(速度)の流れが必要となる。
堆積チャンバの内部圧力は、説明されるような金属層の堆積に効果的であるものであり得る。典型的には、化学蒸着に使用される堆積チャンバは、典型的にはおよそ760Torrと理解される、およその大気圧以下である圧力で動作する。しばしば、堆積チャンバは、実質的に大気圧未満である圧力で、例えば、0.1から300、400又は500Torrの範囲、例えば1、5又は10Torrから100Torrの範囲である内部圧力で動作する。
堆積中、基板は、本明細書に従って基板上にモリブデン又はタングステンを堆積させるのに効果的である任意の温度で保持され得る。タングステン又はモリブデンの有機金属前駆体の使用は、フッ素化、塩素化、臭素化、ヨード化前駆体などの他のハロゲン化前駆体、及びオキシハロゲン化前駆体を使用して基板上にタングステン又はモリブデンを堆積させるのに必要とされる基板温度に比べて低い堆積中の基板温度が低いことを可能にすると理解される。本明細書の方法に関して、基板は、400℃を超えない上昇した温度で保持されてよく、例えば、その温度は、堆積工程中。100℃から350℃の範囲、又は150℃から300℃の範囲であり得る。
説明しているような方法は、処理された基板のさまざまな所望の物理的特性の一つ又は望ましくは組み合わせをもたらすことになる、本明細書に記載されるものを単独で又は組み合わせて含む処理パラメータを含む堆積工程により実施され得る。所望の物理的特性には、基板の水平表面又は非平面表面に対する、又は相互接続、接点、電極等を生成するための、金属層の所望のレベルの均一性;3次元処理基板上の金属層の所望のレベルの適合性;堆積された金属層の所望の組成、例えば低レベルの不純物(例えば、炭素又は他の非金属材料);堆積された金属層の低い電気抵抗率;又はこれらの特性の組み合わせの一又は複数が含まれる。
蒸着工程は、好ましくは、高純度及び低抵抗率などの所望の物理的特性を示す堆積されたモリブデン又はタングステンの層をもたらすことになる他の処理パラメータ(他の気体流体の任意選択的なパルス化された流れを含む)の値と組み合わせた酸化剤のパルス化された流れを含む処理パラメータを使用して、本明細書でさまざまに記載されるような任意の適切なやり方で実施され得る。モリブデン又はタングステンは、半導体又はマイクロエレクトロニクスデバイス基板の表面などの任意の所望の基板表面上に堆積され得、デバイスの一部として、任意の有用な機能を実施するか又はデバイスの処理を容易にするよう適合され得る。堆積されたモリブデン又はタングステンの機能の例には、マイクロエレクトロニクスロジック又はメモリデバイスの導電層(例えば、ビア、チャネル、又は接点として)が含まれる。堆積されたモリブデンは、所望の機能を実施するのに効果的な厚さを有する場合があり、連続的であり得る。
上にモリブデン又はタングステンが堆積される基板及び表面は、任意の二次元又は三次元構造体を含む場合があり、マイクロエレクトロニクスデバイス基板の特定の例は、DRAMデバイス若しくは3D NANDデバイスなどのメモリデバイス、又は「ロジック」デバイスである。ロジックデバイスは、マイクロプロセッサを含むマイクロエレクトロニクスデバイスであり得る。例には、プログラマブルな相互接続に共に接続する、設定可能なロジック及びフリップフロップを有するプログラマブルロジックデバイス(PLD)が含まれる。このロジックデバイス又は別のロジックデバイスは、マイクロプロセッサ、又は、とりわけ、デバイスツーデバイスインターフェース、データ通信、信号処理、データディスプレイ、タイミング及び制御操作などの他の電子機能を提供し得る。他の特定の例には、プログラマブルロジックアレイ(PLA);プログラマブルアレイロジック(PAL)(例えば、固定ORアレイを有するロジックデバイス)及びプログラマブルANDアレイ;並びに逐次的プログラマブルロジックデバイス(ICチップ内のフリップフロップ及びAND-ORアレイを含む)と呼ばれるものが含まれる。
説明している金属層が堆積することになるメモリ又はロジックタイプの基板の表面の特定の化学組成は、堆積されたモリブデン又はタングステンの層を提供するのに、デバイスに有用となる任意の化学組成であり得る。通常、金属層は、誘電体層又は核形成層上に堆積され得る。モリブデン又はタングステンが上に堆積され得る基板表面の材料の非限定的な例には、ケイ素、二酸化ケイ素、窒化ケイ素、他のケイ素ベースの材料、窒化チタン、モリブデン(金属)、炭化モリブデン(MoC)、ホウ素(B)、タングステン(W)、及び炭窒化タングステン(WCN)が含まれる。
有利には、堆積された膜又は金属層から炭素を除去するために有機金属前駆体(例えば、カルボニルタイプの前駆体又はアミド-イミド前駆体、アリール又は置換アリール前駆体)を酸化剤と組み合わせて使用して、タングステン又はモリブデンを堆積させるのに使用され得る比較的低い堆積温度は、ロジックデバイスの感温性の特徴を劣化させない堆積温度を可能にし、他の前駆体又は方法に対して減少したレベルの炭素汚染物質を含む金属層もさらに提供する。
本発明の例示の蒸着シリーズには以下が含まれる。
実施例1(パルス化CVD)
MoCを堆積させるが、良好なステップカバレージを有する低温及び他の条件で、パルス化された酸素を伴う継続的なCVDを使用。図2及び表1から4を参照のこと。
シリーズ:
(EtBz)Mo+H(時間制限厚さ<50Å)/(EtBz)Mo+O:H<50%
実施例(ALD)
シリーズ:
(EtBz)2Mo+不活性/不活性パージ/(O/H)/不活性パージ
前駆体投与時間に関して自己制限的な堆積をもたらすように、温度及び圧力を制御する。
酸素(O)の投与をMoの表面酸化に限定する。
水素(H)の投与は、表面から実質的にすべての酸素を除去するのに充分である。
実施例3(ALD)
シリーズ:
Moイミド-アミド/不活性パージ/(HO+H)/任意選択的なH/不活性パージ
前駆体投与時間に関して自己制限的な堆積をもたらすように、温度及び圧力を制御する。
実施例1の結果
表1から4は、実施例1として概して記載されているパルス化されたCVD法を使用して実施される本発明の方法のさまざまな処理条件及びパラメータの評価のデータを含有する。表中、堆積された膜の厚さ(例えばXRF Mo)又は堆積された膜の炭素含有量(XRF C)をx線蛍光(XRF)によって測定した。
表1から4は、実施例1及び図2の一般的な手順に従って実施した方法が、金属層の形成中に酸化剤のパルス化された流れを使用して、金属層中の炭素濃度の減少をもたらし得ることを示す。
炭素含有量に対するOの影響
200℃、30Torr、10マイクロモル/分、400sccm H、3.5sccm O
Figure 0007196291000001
の追加により、MoC膜の炭素含有量が減少する。
表1
炭素含有量に対するOパルスの影響
200℃、20Torr、10マイクロモル/分、400sccm H、3.5sccm Oパルス
Figure 0007196291000002
の追加により、炭素含有量が減少する。
表2
Mo堆積に対するO共反応物の影響
200℃、15Torr、10マイクロモル/分、400sccm H、3.5sccm Oパルス
Figure 0007196291000003
の追加により、炭素含有量が減少する。
表3
Mo堆積に対するO共反応物の影響
175℃、30Torr、10マイクロモル/分、400sccm H、3.5sccm Oパルス
Figure 0007196291000004
の追加により、堆積されたMoC膜の炭素含有量が減少する。
表4

Claims (9)

  1. 基板上に金属膜を形成するための堆積方法であって、
    有機金属前駆体から生成された気体前駆体を堆積チャンバ中に流すこと
    300℃未満の温度である基板を気体前駆体に曝露させて、基板上に金属を堆積させ、基板上に堆積された金属層を形成すること、
    気体前駆体とともに酸化剤を堆積チャンバ中に流すこと及び
    堆積された金属層を酸化剤に曝露させ、金属膜を形成すること
    を含み、
    有機金属前駆体が金属及び一又は複数の炭素含有リガンドを含み、金属がモリブデン又はタングステンである、方法。
  2. 堆積された金属層が、汚染物質として有機金属前駆体に由来する炭素を含み、堆積された金属層を酸化剤に曝露させる工程により、酸化剤が、炭素汚染物質と反応し、堆積された金属層から炭素汚染物質を除去することを可能にする、請求項1に記載の方法。
  3. 金属膜が、同じ方法によるが、堆積チャンバ中へ酸化剤を流さずに調製された同等の金属膜と比較して、減少した量の炭素を含有する、請求項1に記載の方法。
  4. 有機金属前駆体が、カルボニル含有前駆体、シクロペンタジエニル含有前駆体、アリール前駆体、アルキル置換アリール前駆体、アミド-イミド含有前駆体、及びアミジネート又はグアニジネート(guanidinate)前駆体から選択される、請求項1に記載の方法。
  5. 有機金属前駆体が、ビス(エチルベンゼン)モリブデン及びビス(エチルベンゼン)タングステンから選択される、請求項1に記載の方法。
  6. 基板上に金属膜を形成するための堆積方法であって、
    方法が、パルス化された化学蒸着法であり該パルス化された化学蒸着法が、
    有機金属前駆体から生成された気体前駆体及び還元ガス共反応物を堆積チャンバ中に継続的に流すこと
    300℃未満の温度である基板を気体前駆体及び還元ガス共反応物に曝露させて基板上に堆積された金属層を形成すること
    パルス化された流れにより酸化剤を堆積チャンバ中に流すこと、ここで酸化剤継続的に流れる気体前駆体とともに堆積チャンバ中に存在し、及び
    堆積された金属層を酸化剤に曝露させて金属膜を形成すること
    を含み、
    有機金属前駆体が金属及び一又は複数の炭素含有リガンドを含み、金属がモリブデン又はタングステンである、方法。
  7. 50オングストロームを超えない厚さを有する堆積された金属層を生成する、請求項に記載の方法。
  8. 堆積された金属を酸化剤に曝露させた後に、水素ガスを堆積チャンバ中に流すことを更に含む、請求項に記載の方法。
  9. 基板上に金属膜を形成するための堆積方法であって、
    方法が、原子層堆積法であり該原子層堆積法が、
    有機金属前駆体から生成された気体前駆体を堆積チャンバ中に流すこと
    300℃未満の温度である基板を任意選択的に不活性ガスの存在下で気体前駆体に曝露させて基板上に堆積された金属層を形成すること、及び
    気体前駆体とともに酸化剤を堆積チャンバ中に流すこと
    堆積された金属層を酸化剤に曝露させて金属膜を形成すること
    を含み、
    有機金属前駆体が金属及び一又は複数の炭素含有リガンドを含み、金属がモリブデン又はタングステンである、方法。
JP2021519582A 2018-10-10 2019-09-24 タングステン膜又はモリブデン膜を堆積させるための方法 Active JP7196291B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201862743582P 2018-10-10 2018-10-10
US62/743,582 2018-10-10
PCT/US2019/052697 WO2020076502A1 (en) 2018-10-10 2019-09-24 Methods for depositing tungsten or molybdenum films

Publications (2)

Publication Number Publication Date
JP2022504527A JP2022504527A (ja) 2022-01-13
JP7196291B2 true JP7196291B2 (ja) 2022-12-26

Family

ID=70161208

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2021519582A Active JP7196291B2 (ja) 2018-10-10 2019-09-24 タングステン膜又はモリブデン膜を堆積させるための方法

Country Status (8)

Country Link
US (2) US11761081B2 (ja)
EP (1) EP3864187A4 (ja)
JP (1) JP7196291B2 (ja)
KR (1) KR20210042418A (ja)
CN (1) CN112840063A (ja)
SG (1) SG11202102915TA (ja)
TW (1) TWI716145B (ja)
WO (1) WO2020076502A1 (ja)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11459347B2 (en) 2021-01-12 2022-10-04 Applied Materials, Inc. Molybdenum(IV) and molybdenum(III) precursors for deposition of molybdenum films
US11584768B2 (en) 2021-01-12 2023-02-21 Applied Materials, Inc. Arene molybdenum (0) precursors for deposition of molybdenum films
US11390638B1 (en) 2021-01-12 2022-07-19 Applied Materials, Inc. Molybdenum(VI) precursors for deposition of molybdenum films
US11530477B2 (en) 2021-01-12 2022-12-20 Applied Materials, Inc. Cycloheptatriene molybdenum (0) precursors for deposition of molybdenum films
US11434254B2 (en) 2021-01-12 2022-09-06 Applied Materials, Inc. Dinuclear molybdenum precursors for deposition of molybdenum-containing films
KR102563298B1 (ko) * 2021-01-18 2023-08-03 주식회사 유진테크 박막의 불순물 제거방법 및 기판 처리 장치
US11760768B2 (en) 2021-04-21 2023-09-19 Applied Materials, Inc. Molybdenum(0) precursors for deposition of molybdenum films
US20220372053A1 (en) * 2021-05-21 2022-11-24 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Stable bis(alkyl-arene) transition metal complexes and methods of film deposition using the same
WO2023073924A1 (ja) * 2021-10-29 2023-05-04 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置及び記録媒体
CN114231940A (zh) * 2021-12-08 2022-03-25 安徽光智科技有限公司 以羰基钼为前驱体制备钼溅射靶材的方法
KR102653042B1 (ko) * 2021-12-24 2024-04-01 주식회사 유피케미칼 몰리브데늄 전구체 화합물, 이의 제조방법, 및 이를 이용한 몰리브데늄-함유 막의 증착 방법
US20230227975A1 (en) * 2021-12-30 2023-07-20 Applied Materials, Inc. Method of depositing metal films
WO2023171489A1 (ja) * 2022-03-07 2023-09-14 株式会社Adeka 原子層堆積法用薄膜形成用原料、薄膜及び薄膜の製造方法
WO2024030729A1 (en) 2022-08-05 2024-02-08 Versum Materials Us, Llc Liquid molybdenum bis(arene) compositions for deposition of molybdenum-containing films

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007165788A (ja) 2005-12-16 2007-06-28 Tokyo Electron Ltd 金属系膜の脱炭素処理方法、成膜方法および半導体装置の製造方法
US20070232820A1 (en) 2005-01-19 2007-10-04 Meiere Scott H Organometallic precursor compounds
JP2008131050A (ja) 2006-11-20 2008-06-05 Tokyo Electron Ltd 半導体素子への金属含有膜の集積方法
JP2009004786A (ja) 2007-06-21 2009-01-08 Asm Internatl Nv パルスcvdとaldの併用による薄膜の堆積方法
US20110312148A1 (en) 2010-06-18 2011-12-22 Applied Materials, Inc. Chemical vapor deposition of ruthenium films containing oxygen or carbon
JP2012246531A (ja) 2011-05-27 2012-12-13 Adeka Corp 酸化モリブデンを含有する薄膜の製造方法、酸化モリブデンを含有する薄膜の形成用原料及びモリブデンアミド化合物

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
BE551488A (ja) * 1955-10-05
US4741928A (en) * 1985-12-27 1988-05-03 General Electric Company Method for selective deposition of tungsten by chemical vapor deposition onto metal and semiconductor surfaces
DE4136321A1 (de) 1991-11-05 1993-05-06 Solvay Deutschland Gmbh, 3000 Hannover, De Verfahren zur abscheidung von molybdaen oder wolfram enthaltenden schichten
US5661115A (en) 1994-11-08 1997-08-26 Micron Technology, Inc. Method of reducing carbon incorporation into films produced by chemical vapor deposition involving organic precursor compounds
DE19823390A1 (de) * 1998-05-26 1999-12-16 Degussa Oligomerisiertes Organopolysiloxan-Cokondensat, dessen Herstellung und dessen Verwendung
US6284655B1 (en) * 1998-09-03 2001-09-04 Micron Technology, Inc. Method for producing low carbon/oxygen conductive layers
DE19964309C2 (de) * 1999-11-15 2003-07-03 Degussa Triamino- und fluoralkylfunktionelle Organosiloxane oder deren Gemische
DE10049153A1 (de) * 2000-09-27 2002-04-11 Degussa Farbe, Lack, Schadstoffe, Bioorganismen, Öl, Wasser, und/oder Schmutz abweisende Beschichtung
DE10134473B4 (de) * 2001-07-16 2007-11-08 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Verfahren zur Beschichtung passivierter metallischer Oberflächen aus Chrom von Bauteilen sowie derart beschichtetes Bauteil und Verwendung des Verfahrens
US6924223B2 (en) * 2003-09-30 2005-08-02 Tokyo Electron Limited Method of forming a metal layer using an intermittent precursor gas flow process
DE10362060B4 (de) * 2003-10-21 2009-07-09 Altana Coatings & Sealants Gmbh Verpackungsmaterial mit einer Barriereschicht für Gase
KR20060011396A (ko) 2004-07-30 2006-02-03 주식회사 하이닉스반도체 반도체 소자의 다층 금속배선 형성방법
JP6086933B2 (ja) 2015-01-06 2017-03-01 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
SG11201806624XA (en) 2016-02-19 2018-09-27 Merck Patent Gmbh Deposition of molybdenum thin films using a molybdenum carbonyl precursor

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070232820A1 (en) 2005-01-19 2007-10-04 Meiere Scott H Organometallic precursor compounds
JP2007165788A (ja) 2005-12-16 2007-06-28 Tokyo Electron Ltd 金属系膜の脱炭素処理方法、成膜方法および半導体装置の製造方法
JP2008131050A (ja) 2006-11-20 2008-06-05 Tokyo Electron Ltd 半導体素子への金属含有膜の集積方法
JP2009004786A (ja) 2007-06-21 2009-01-08 Asm Internatl Nv パルスcvdとaldの併用による薄膜の堆積方法
US20110312148A1 (en) 2010-06-18 2011-12-22 Applied Materials, Inc. Chemical vapor deposition of ruthenium films containing oxygen or carbon
JP2012246531A (ja) 2011-05-27 2012-12-13 Adeka Corp 酸化モリブデンを含有する薄膜の製造方法、酸化モリブデンを含有する薄膜の形成用原料及びモリブデンアミド化合物

Also Published As

Publication number Publication date
CN112840063A (zh) 2021-05-25
EP3864187A1 (en) 2021-08-18
US11761081B2 (en) 2023-09-19
SG11202102915TA (en) 2021-04-29
EP3864187A4 (en) 2022-07-27
WO2020076502A1 (en) 2020-04-16
KR20210042418A (ko) 2021-04-19
TWI716145B (zh) 2021-01-11
US20200115798A1 (en) 2020-04-16
US20240035157A1 (en) 2024-02-01
JP2022504527A (ja) 2022-01-13
TW202022155A (zh) 2020-06-16

Similar Documents

Publication Publication Date Title
JP7196291B2 (ja) タングステン膜又はモリブデン膜を堆積させるための方法
JP5497442B2 (ja) 金属炭化物膜の気相成長
JP6116425B2 (ja) 金属薄膜の製膜方法
JP7203111B2 (ja) ビス(アルキル-アレーン)モリブデン前駆体を使用したモリブデンの蒸着
TWI443221B (zh) 金屬碳化物膜的電漿強化沈積
JP4713041B2 (ja) 遷移金属窒化物薄膜の堆積方法
US6451119B2 (en) Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
JP4938962B2 (ja) ゲッタリング反応物を用いるaldによる金属窒化物堆積
JP4974676B2 (ja) バリア膜の形成方法
US7666474B2 (en) Plasma-enhanced pulsed deposition of metal carbide films
KR101188503B1 (ko) 카보닐 원료를 사용한 금속막의 성막 방법, 다층 배선 구조의 형성 방법, 반도체 장치의 제조 방법 및 성막 장치
JP2005002099A (ja) 金属含有膜のための前駆体
JP2004156141A (ja) 半導体基板の表面に金属層を堆積する方法
JP2023134421A (ja) 還元性共反応物の存在下でタングステンまたはモリブデン層を堆積させる方法
JP4965260B2 (ja) シーケンシャル流量堆積を使用して金属層を堆積させる方法。
JP2003524888A (ja) 感受性表面上にナノラミネート薄膜を堆積するための方法
TW202328159A (zh) 鉬前驅物化合物
TW202309325A (zh) 催化劑增強之鉬沉積與間隙填充

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210611

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210611

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20220525

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220705

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20221005

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20221115

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20221214

R150 Certificate of patent or registration of utility model

Ref document number: 7196291

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150