TWI416692B - 半導體裝置及其製造方法 - Google Patents

半導體裝置及其製造方法 Download PDF

Info

Publication number
TWI416692B
TWI416692B TW098115087A TW98115087A TWI416692B TW I416692 B TWI416692 B TW I416692B TW 098115087 A TW098115087 A TW 098115087A TW 98115087 A TW98115087 A TW 98115087A TW I416692 B TWI416692 B TW I416692B
Authority
TW
Taiwan
Prior art keywords
layer
semiconductor substrate
isolation layer
semiconductor device
conductive member
Prior art date
Application number
TW098115087A
Other languages
English (en)
Other versions
TW201023331A (en
Inventor
Hung Pin Chang
Kuo Ching Hsu
Chen Shien Chen
Wen Chih Chiou
Chen Hua Yu
Original Assignee
Taiwan Semiconductor Mfg
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Mfg filed Critical Taiwan Semiconductor Mfg
Publication of TW201023331A publication Critical patent/TW201023331A/zh
Application granted granted Critical
Publication of TWI416692B publication Critical patent/TWI416692B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5384Conductive vias through the substrate with or without pins, e.g. buried coaxial conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/11Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/14Structure, shape, material or disposition of the bump connectors prior to the connecting process of a plurality of bump connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/17Structure, shape, material or disposition of the bump connectors after the connecting process of a plurality of bump connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/568Temporary substrate used as encapsulation process aid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68372Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used to support a device or wafer when forming electrical connections thereto
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0231Manufacturing methods of the redistribution layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0231Manufacturing methods of the redistribution layers
    • H01L2224/02311Additive methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/0401Bonding areas specifically adapted for bump connectors, e.g. under bump metallisation [UBM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05005Structure
    • H01L2224/05009Bonding area integrally formed with a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/0502Disposition
    • H01L2224/05026Disposition the internal layer being disposed in a recess of the surface
    • H01L2224/05027Disposition the internal layer being disposed in a recess of the surface the internal layer extending out of an opening
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/0557Disposition the external layer being disposed on a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13005Structure
    • H01L2224/13009Bump connector integrally formed with a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/1302Disposition
    • H01L2224/13025Disposition the bump connector being disposed on a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13147Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/14Structure, shape, material or disposition of the bump connectors prior to the connecting process of a plurality of bump connectors
    • H01L2224/1401Structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/17Structure, shape, material or disposition of the bump connectors after the connecting process of a plurality of bump connectors
    • H01L2224/1701Structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/81001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector involving a temporary auxiliary member not forming part of the bonding apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/81001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector involving a temporary auxiliary member not forming part of the bonding apparatus
    • H01L2224/81005Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector involving a temporary auxiliary member not forming part of the bonding apparatus being a temporary or sacrificial substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/8119Arrangement of the bump connectors prior to mounting
    • H01L2224/81193Arrangement of the bump connectors prior to mounting wherein the bump connectors are disposed on both the semiconductor or solid-state body and another item or body to be connected to the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/812Applying energy for connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/818Bonding techniques
    • H01L2224/81801Soldering or alloying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06513Bump or bump-like direct electrical connections between devices, e.g. flip-chip connection, solder bumps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06524Electrical connections formed on device or on substrate, e.g. a deposited or grown layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06541Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/00014Technical content checked by a classifier the subject-matter covered by the group, the symbol of which is combined with the symbol of this group, being disclosed without further technical details
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01005Boron [B]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01006Carbon [C]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01013Aluminum [Al]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01015Phosphorus [P]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01019Potassium [K]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01022Titanium [Ti]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01029Copper [Cu]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01033Arsenic [As]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01047Silver [Ag]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/0105Tin [Sn]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01073Tantalum [Ta]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01074Tungsten [W]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01075Rhenium [Re]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01078Platinum [Pt]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01079Gold [Au]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/013Alloys
    • H01L2924/014Solder alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/049Nitrides composed of metals from groups of the periodic table
    • H01L2924/04955th Group
    • H01L2924/04953TaN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1204Optical Diode
    • H01L2924/12042LASER
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/156Material
    • H01L2924/15786Material with a principal constituent of the material being a non metallic, non metalloid inorganic material
    • H01L2924/15788Glasses, e.g. amorphous oxides, nitrides or fluorides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/19Details of hybrid assemblies other than the semiconductor or other solid state devices to be connected
    • H01L2924/1901Structure
    • H01L2924/1904Component type
    • H01L2924/19041Component type being a capacitor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/19Details of hybrid assemblies other than the semiconductor or other solid state devices to be connected
    • H01L2924/1901Structure
    • H01L2924/1904Component type
    • H01L2924/19043Component type being a resistor

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

半導體裝置及其製造方法
本發明係有關於一種積體電路,特別是有關於一種用於半導體晶片的凸塊(bump)結構,其具有用於疊置晶片的矽通孔電極(through-silicon via,TSV)。
自積體電路的發明創造以來,由於各個電子部件(即,電晶體、二極體、電阻、電容等等)的集積度(integration density)持續的改進,使半導體業持續不斷的快速成長發展。主要來說,集積度的改進來自於最小特徵尺寸(minimum feature size)不斷縮小而容許更多的部件整合至既有的晶片面積內。
這些集積度的改進實質上是朝二維(two-dimensional,2D)方面的,因為積體部件所佔的體積實際上位於半導體晶圓的表面。儘管微影(lithography)技術的精進為2D積體電路製作帶來相當大的助益,二維空間所能擁有的密度還是有其物理限制。這些限制之一在於製作這些部件所需的最小尺寸。再者,當更多的裝置放入一晶片中,需具有更複雜的電路設計。
為了進一步增加積體電路密度,已開始研究三維(3D)積體電路(three-dimensional integrated circuit,3DIC)。在典型的3DIC製程中,二個晶片彼此接合,且在每一晶片與基底上的接觸墊之間形成電性連接。例如,在彼此上方接合二個晶片。疊置的晶片接著與一承載基底(carrier substrate)接合,而接線將每一晶片上的接觸墊電性耦接至承載基底上的接觸墊。然而,上述做法需要一個大於晶片的承載基底來進行打線製程(wire bonding)。
近來,已開始注意所謂的矽通孔電極(TSV)。一般而言,矽通孔電極是透過蝕刻在基底中形成一垂直通孔並於其中填入導電材料而成,例如銅。對基底背側進行薄化,以露出TSV,而另一晶片則與露出的TSV接合,進而形成堆疊晶片封裝(stacked die package)。若基底使用不同技術或腳位(pin-out)來與另一晶片/晶圓接合,則需要一重佈(redistribution)線層。
由於基底在薄化及接合之前是接合至一臨時載板,因此熱預算通常會是個考量點。為了能夠進行低溫接合製程,會使用焊球將另一基底接合至矽通孔電極。然而,由於需要一重佈線層,必須進行額外的膜層製作來形成重佈線層,而對於在熱預算內形成重佈線層來說是相當困難的。
因此,有必要尋求一種新的接合TSV的結構及方法。
本發明一實施例提供一種半導體裝置。半導體裝置具有一半導體基底,其具有複數矽通孔電極延伸穿過並突出於半導體基底的一背側。一第一隔離層,位於相鄰的矽通孔電極之間半導體基底的背側,且未延伸超過突出的矽通孔電極。複數導電部件具有漸細側壁且分別電性耦接至矽通孔電極。一第二隔離層,位於第一隔離層上。在其他實施例中,導電部件包括一重佈線且具有漸細側壁。重佈線可位於第一隔離層與第二隔離層之間。
本發明另一實施例提供一種半導體裝置之製造方法。提供一半導體基底,具有一矽通孔電極自半導體基底的一第一側延伸於其內。在半導體基底的一第二側露出矽通孔電極。在半導體基底的第二側形成一第一隔離層,且使矽通孔電極露出。在矽通孔電極上形成具有漸細側壁的一導電部件。在第一隔離層上形成材料不同於第一隔離層的一第二隔離層。在導電部件上形成一接觸阻障層。導電部件可包括一重佈線。
本發明又另一實施例提供一種半導體裝置之製造方法。提供一第一半導體基底,其具有複數矽通孔電極自第一半導體基底的一電路側延伸至第一半導體基底的一背側以及位於背側上的每一矽通孔電極上具有漸細側壁的一導電接墊。第一半導體基底的背側具有一第一隔離層以及位於第一隔離層上的一第二隔離層。提供一第二半導體基底,其具有複數上接觸點。將第一半導體基底接合至第二半導體基底,使第二半導體基底的每一上接觸點電性耦接至第一半導體基底上對應的導電接墊。
以下說明本發明實施例之製作與使用。然而,必須了解的是本發明提供許多適當的實施例的發明概念,可實施於不同的特定背景。述及的特定實施例僅用於說明以特定的方法來製作及使用本發明,而並非用以侷限本發明的範圍。
本發明實施例示有關於使用具有矽通孔電極的基底的金屬焊墊。以下所說明的實施例係關於金屬焊墊與重佈線層的整合,使其能夠同時製造重佈線層與金屬焊墊。再者,金屬焊墊較佳為具有漸細(tapered)側壁,藉以在晶圓及/或晶片堆疊製程中提供較大的接合界面。
第1至14圖使用於三維積體電路(3DIC)或疊置晶片中具有凸塊(bump)結構及/或重佈線層的晶片的局部製造過程剖面示意圖。而在本發明各個實施例中,相同的部件係使用相同的標號。
請參照第1圖,一半導體基底100具有電路112形成於上。半導體基底100可包括摻雜或未摻雜的矽塊材或是絕緣層上覆半導體(semiconductor-on-insulator,SOI)基底的主動(active)層。一般而言,SOI基底包括形成於一絕緣層上的一半導體材料層,例如矽。絕緣層可為埋入式氧化(buried oxide,BOX)層或是氧化矽層。絕緣層形成於一基底上,通常為矽基底或玻璃基底。另外也可使用其他基底,例如多層或漸層(gradient)基底。
形成於半導體基底110上的電路112可為適用於特定應用的任一類型電路。在一實施例中,電路112包括形成於基底上的電子裝置,而電子裝置上具有一層或多層的介電層。金屬層可形成於介電層之間,以作為電子裝置之間傳送電子信號的路徑。電子裝置也可形成於一層或多層的介電層內。
舉例而言,電路112可包括各個不同的N型金屬氧化物半導體(N-type metal-oxide semiconductor,NMOS)裝置及/或P型金屬氧化物半導體(PMOS)裝置,例如電晶體、電容、電阻、二極體、光電二極體、熔絲等等,其相互連接以實施單一或多種功能。這些功能可包括記憶結構、製程結構、感測器、放大器、電源分配、輸入/輸出電路等等。所屬技術領域中具有通常知識者可以理解上述範例說明僅在於進一步解釋本發明之應用而並非用以侷限本發明。對於特定應用來說,也可使用其他電路。
第1圖亦繪示出一蝕刻終止層114及一層間介電(inter-layer dielectric,ILD)層116。蝕刻終止層114較佳由一介電材料所構成且與相鄰的膜層,例如下方的半導體基底110及上方的ILD層116,具有不同的蝕刻選擇比。在一實施例中,蝕刻終止層114可由SiN、SiCN、SiCO、CN、或其組合等等所構成,且可藉由化學氣相沉積(chemical vapor deposition,CVD)或電將輔助化學氣相沉積(plasma-enhanced CVD,PECVD)技術而形成。
ILD層116可由低介電常數(low-k)介電材料所形成,例如氧化矽、磷矽玻璃(phosphosilicate glass,PSG)、硼磷矽玻璃(borophosphosilicate glass,BPSG)、氟矽玻璃(fluorinated silicate glass,FSG)、SiOx Cy 、旋塗玻璃(spin-on glass,SOG)、旋塗高分子、碳化矽材料、其化合物、其複合材料、或其組合等等,並藉由適當的習知方法製造而成,例如旋塗法、CVD或PECVD。須注意的是蝕刻終止層114及ILD層116可各自包括複數介電層,其中相鄰的介電層之間可具有或不具有蝕刻終止層。
接觸窗118貫穿ILD層116以提供電路112電性接觸之用。接觸窗118的製作可藉由使用微影技術在ILD層116上沉積及圖案化一光阻材料而露出部分的ILD層116來形成接觸窗118。可使用蝕刻製程,例如異向性(anisotropic)乾蝕刻製程,在ILD層116內形成開口。最好在開口內沿表面形成擴散阻障層及/或黏著層(未繪示),並填入導電材料。擴散阻障層可包括一層或多層的TaN、Ta、TiN、Ti、或CoW等等,且導電材料可包括銅、鎢、鋁、銀、或其組合,藉以形成第1圖所示的接觸窗118。
在ILD層116上形成一層或多層的金屬層間介電(inter-metal dielectric,IMD)層120及配置的金屬化層(未繪示)。一般而言,IMD層120及所配置的金屬化層係用於彼此電路的連接,並提供外部電性連接之用。IMD層120較佳由low-k介電材料所構成,例如由PECVD或是高密度電漿化學氣相沉積(high-density plasma CVD,HDPCVD)技術所形成的氟矽玻璃(FSG),IMD層120也可包括中間蝕刻終止層,其與蝕刻終止層114相似。在最上層的IMD層中具有上金屬接點122,以提供外部電性連接之用。
第1圖亦繪示出矽通孔電極(through-silicon via,TSV)124。矽通孔電極124可由任何合適的方法所形成。舉例而言,在形成ILD層116之前,藉由單一或多重蝕刻製程、鑽孔、及雷射技術等等,形成延伸於半導體基底110內的開口。最好在開口內沿表面形成一襯層,如作為隔離層的襯層126,且在開口內填入一導電材料。襯層126較佳由一層或多層的SiN、氧化物、或高分子等等所構成,而導電材料較佳為包括銅、鎢、鋁、銀、或其組合等等,藉以形成矽通孔電極124。另外也可使用其他材料,包括導電擴散阻障層,如TaN、Ta、TiN、Ti、或CoW等等。
須注意的是圖式中矽通孔電極124是從半導體基底110的上表面延伸於其內,此處僅作為說明之目的,然而也可使用其他的配置。舉例而言,在另一實施例中,矽通孔電極124可從ILD層116或是其中一IMD層120的上表面延伸。舉例而言,在一實施例中,矽通孔電極124的製造可在形成接觸窗118之後,藉由單一或多重蝕刻製程、鑽孔、或雷射技術等等,形成延伸於半導體基底110內的開口。最好在開口內沿表面形成一襯層,如作為隔離層的襯層126,且在開口內填入如之前所述的導電材料。
在上金屬接點122上形成導電凸塊128,例如由Cu、W、CuSn、AuSn、InAu、或PbSn等等所構成的金屬凸塊,且利用一黏著層132使一承載基底130貼附於IMD層120的上表面。一般而言,承載基底130係提供後續製程步驟期間物理或結構上的支撐之用。藉由此方式可降低或防止半導體基底110的損害。
承載基底130可包括玻璃、氧化矽、或氧化鋁等等。黏著層132可為任何適合的黏著材料,例如UV膠,其接觸到紫外(UV)光後會失去黏性。承載基底130的厚度較佳在幾密爾(mil)到幾十密爾的範圍。
第2圖係繪示出根據本發明實施例之對半導體基底110的背側進行薄化(thinning)製程,以露出矽通孔電極124/襯層126。可使用機械研磨製程、化學機械研磨(chemical mechanical polishing,CMP)製程、蝕刻製程及/或其組合來進行薄化製程。舉例而言,可進行初步平坦化製程,如研磨或CMP,以初步露出矽通孔電極124。之後,進行濕式或乾式蝕刻,其對於襯層126的材料與半導體基底110的材料之間具有高蝕刻選擇比而使半導體基底110向下凹陷,因而矽通孔電極124及襯層126突出於半導體基底110的下側,如第2圖所示。在一實施例中,矽通孔電極124由銅所構成,且襯層126由TaN所構成。而可使用HBr/O2 、HBr/Cl2 /O2 、SF6 /Cl2 、或SF6 等電漿來進行乾蝕刻而使半導體基底110向下凹陷。矽通孔電極124及襯層126所露出的長度在次微米(sub-μm)至幾微米的範圍。
第3圖係繪示出根據本發明實施例之在半導體基底110(半導體基底110表面上可形成一原生氧化層(native oxide))的背側形成一第一隔離層310。在一實施例中,第一隔離層310為介電材料,例如SiN、氧化物、SiC、SiON、或高分子等等,且可藉由旋塗法、印刷、或CVD等方式而形成。第一隔離層310較佳為藉由低溫製程而形成,如使用溫度小於250℃的PECVD製程,以防止接合用的黏著層劣化,而確保整合製程始終的機械強度。第一隔離層310的厚度最好足以覆蓋露出的矽通孔電極124。
取決於形成第一隔離層310所使用的製程,可能需要進行平坦化製程。比較特別的是在一些沉積方法中會形成一平整表面,如旋塗法。然而,在其他的方法中則會形成一順應層,如CVD,因而需進行平坦化製程,如研磨或CMP,以形成一平整表面,如第3圖所示。
第4圖係繪示出根據本發明實施例之二次露出矽通孔電極124。可使用機械研磨製程、CMP製程、蝕刻製程及/或其組合來進行薄化製程。舉例而言,可進行初步平坦化製程,如研磨或CMP,以初步露出矽通孔電極124。之後,進行濕式或乾式蝕刻,其對於矽通孔電極124及襯層126的材料與第一隔離層310的材料之間具有高蝕刻選擇比而使第一隔離層310向下凹陷,因而使矽通孔電極124突出於第一隔離層310的下側,如第4圖所示。在一實施例中,矽通孔電極124由銅所構成,且第一隔離層310由二氧化矽所構成。可使用氫氟酸來進行濕蝕刻或使用乾蝕刻,而使第一隔離層310向下凹陷。另外也可使用其他的製程及材料。矽通孔電極124所露出的長度在次微米(sub-μm)至幾微米的範圍。
除了向下凹陷第一隔離層310之外,第4圖也同時繪示出自矽通孔電極124所露出的部分去除襯層126。
請參照第5圖,在第一隔離層310的表面上及矽通孔電極124所露出的部分上順應性沉積一晶種層510。晶種層510係由導電材料所構成的薄膜層,用以在後續製程步驟期間輔助形成一厚膜層。在一實施例中,可藉由使用CVD或物理氣相沉積(physical vapor deposition,PVD)技術來沉積一薄導電層,例如Cu、Ti、Ta、TiN、或TaN等薄膜層而形成晶種層510。舉例而言,藉由PVD製程來沉積Ti層,以形成一阻障層,並藉由PVD製程來沉積Cu層,以形成一晶種層。
第6圖係繪示出根據本發明實施例之在晶種層510上形成一第一罩幕圖案層610。第一罩幕圖案層610係作為後續製程步驟中用於形成導電接墊的模具。第一罩幕圖案層610可為圖案化的光阻層或硬式罩幕(hard mask)等等。在一實施例中,光阻材料的厚度約在次微米至幾微米的範圍,且經由圖案化而在矽通孔電極124上方形成開口612。
須注意的是第6圖的實施例中較佳為利用一內凹(re-entrant)輪廓,使開口612在沿著晶種層510的開口612的底部寬於開口612的頂部。可藉由任何適宜的技術來形成內凹輪廓,例如使用具有不同圖案化特性及可一次或多次曝光的多重光阻層、擴散技術、或是影像反轉製程等等。然而,在其他實施例中,第一罩幕圖案層610可利用一漸細輪廓,使開口612在沿著晶種層510的開口612的底部窄於開口612的頂部。
之後,請參照第7圖,導電部件710形成於開口612(繪示於第6圖)內。導電部件710較佳為金屬,例如銅、鎢、或其他導電金屬,且可藉由電鍍或無電電鍍等製程而形成。在一實施例中,係採用電鍍製程,其中晶圓沒入(submerged)或浸入(immersed)於電鍍溶液中。晶圓表面電性連接至外部直流(DC)電源供應器的負電極側,使晶圓在電鍍製程中作為陰極。一固體陽極,例如銅陽極,也浸入於溶液中且連接至電源供應器的正電極側。原子自陽極分解至溶液中,而陰極,例如晶圓,則自溶液中獲取原子,以在晶圓中露出的導電區域進行電鍍,例如開口612內所露出的晶種層510部分。
須注意的是導電部件710可為接觸墊及/或重佈線。請參照第14圖,其為第1至13圖中實施例的平面示意圖,第7圖中左側的導電部件710為一接觸墊,而第7圖中右側的導電部件710為一接觸墊及一重佈線。重佈線容許電性連接至位於TSV以外位置的另一裝置,例如晶片、晶圓或是封裝基底等等。此對於TSV、基底上的電路、及腳位(pin-out)的放置具有較大的彈性及較高的自主性。
請參照第8圖,其繪示出根據本發明實施例之去除第一罩幕圖案層610(繪示於第6及7圖)。在一實施例中,第一罩幕圖案層610為一光阻罩幕,且可藉由電漿灰化(ashing)或濕式剝除(wet strip)製程來去除第一罩幕圖案層610。較佳的電漿灰化製程係使用O2 ,舉例而言,其流量約在1000sccm至2000sccm的範圍。製程壓力約在300mTorr至600mTorr的範圍。製程功率約在500Watts至2000Watts的範圍。製程溫度約在80℃至200℃的範圍。另外,進行電漿灰化製程之後也可浸入硫酸(H2 SO4 )溶液中,清洗晶圓並去除殘留的光阻材料。
第9圖係繪示出去除晶種層510所露出的部分。舉例而言,晶種層510所露出的部分可藉由濕式蝕刻製程來去除。
第10圖係繪示出根據本發明實施例之形成一第二隔離層1010。第二隔離層1010的形成方法及材料可使用相似於第一隔離層310的形成方法及材料。然而,使用於形成第二隔離層1010的材料較佳為與使用於第一隔離層310的材料之間具有高蝕刻選擇比。在上述方法中,第一隔離層310(及導電部件710)可作為後續製程步驟中圖案化第二隔離層1010的蝕刻終止層。第二隔離層1010的厚度較佳在2000埃()至8000埃的範圍。以下所要說明的是圖案化第二隔離層1010,以隔離部分的重佈線,同時露出接觸墊的所在位置。
第11圖係繪示出根據本發明實施例之形成一第二罩幕圖案層1110。第二罩幕圖案層1110的材料可使用相似於第一罩幕圖案層610的材料,例如光阻或是硬式罩幕材料,而形成方法可使用相似於第一罩幕圖案層610的形成方法。然而,須注意的是第一罩幕圖案層610所採用的技術在於形成一內凹圖案,而第二罩幕圖案層1110則是具有垂直圖案。因此,任何適合的微影技術都可用來形成第二罩幕圖案層1110。
第12圖係繪示出根據本發明實施例之圖案化第二隔離層1010以及去除第二罩幕圖案層1110。舉例而言,在一實施例中,第二隔離層1010由氮化矽所構成,而第一隔離層310則由氧化矽所構成。第二隔離層1010可藉由乾式蝕刻製程來進行圖案化,其在第二隔離層1010的氮化矽與第一隔離層310的氧化矽之間具有高蝕刻選擇比。
在圖案化第二隔離層1010之後,可去除第二罩幕圖案層1110,如第12圖所示。舉例而言,第二罩幕圖案層1110可藉由電漿灰化製程或是濕式剝除製程將其去除,如上述關於第8圖的說明。另外,去除第二罩幕圖案層1110之後也可進行一清潔步驟,例如浸入硫酸溶液中,以去除表面上任何的污染物。
第13圖係繪示出根據本發明實施例之形成一接觸阻障層1310。接觸阻障層1310係作為與外部裝置形成電性連接的導電接觸點,例如另一晶片、晶圓、電路板、或封裝板等等。接觸阻障層1310與矽通孔電極124作電性接觸,再依次與形成於基底上的電路(如,電路112)或是另一外部裝置(如,另一晶片、晶圓、電路板、或封裝板等等)作電性接觸。
在一實施例中,接觸阻障層1310由金屬或金屬合金所構成,例如Ni、AuSu、或Au等等,並採用無電電鍍(electroless plating)技術。然而,也可採用其他的方法及技術。接觸阻障層1310的材料選擇上必須能夠加強導電部件710與外部裝置上的接觸部件之間的黏著性。須注意的是導電部件710與接觸阻障層1310共同構成接觸墊,其上可連接其他裝置,例如晶片、晶圓、或基底等等。
第14圖係繪示出根據本發明實施例之排置接觸墊1410與重佈線1412的平面示意圖,而第1至13圖係沿第14圖中A-A線的剖面示意圖。第二隔離層1010係作為保護(passivation)層並覆蓋露出接觸墊1410(如,接觸阻障層1310及其下方的導電部件710)以外的基底背側。第二隔離層1010形成於重佈線1412(以虛線繪示之)上方。
可以理解的是上述實施例容許可同時形成接觸電及重佈線。重佈線的使用容許在不同腳位及技術中使用相同的設計。具有漸細側壁的導電凸塊也在晶圓及/或晶片堆疊製程中提供較大的接合界面。
第15至17圖係繪示出根據本發明實施例之將上述關於第1至14圖的結構接合至另一結構。特別的是一基底1510,其具有上金屬接點1512及連接部件1514。舉例而言,連接部件1514可為錫球(solder ball)。藉由對準連接部件1514與接觸墊1410以及施加壓力及/或熱,以將基底1510貼附於半導體基底110,使連接部件1514黏附於接觸阻障層1310而形成電性連接,如第16圖所示。由於接觸阻障層1310與導電部件710具有漸細外型,因此可使接合界面具有較大的潤濕(wetting)表面,進而形成較佳的電性連接以及提供額外結構上的支撐。
請參照第17圖,可去除臨時的承載基板130,使半導體基底110的電路側上的導電凸塊128可接合至另一晶片、晶圓、基底、或板子等等。之後,可進行適用於特定應用的其他後段(back-end-of-line)製程。舉例而言,在堆疊的晶片之間注入填充材料、形成封膠(encapsulant)、及進行切割(singulation)製程以形成各自的堆疊晶片封裝等等。然而,須注意的是本發明實施例可使用於諸多不同的情況。舉例而言,本發明實施例可使用於晶片對晶片(die-to-die)接合結構配置、晶片對晶圓(die-to-wafer)接合結構配置、或晶圓對晶圓(wafer-to-wafer)接合結構配置。
雖然本發明已以較佳實施例揭露如上,然其並非用以限定本發明,任何所屬技術領域中具有通常知識者,在不脫離本發明之精神和範圍內,當可作更動與潤飾。再者,本發明之保護範圍並未侷限於說明書內所述特定實施例中的製程、機器、製造、物質組成、裝置、方法及步驟,任何所屬技術領域中具有通常知識者可從本發明揭示內容中理解現行或未來所發展出的製程、機器、製造、物質組成、裝置、方法及步驟,只要可以在此處所述實施例中實施大體相同功能或獲得大體相同結果皆可使用於本發明中。因此,本發明之保護範圍包括上述製程、機器、製造、物質組成、裝置、方法及步驟。
110...半導體基底
112...電路
114...蝕刻終止層
116...內層介電層
118...接觸窗
120...金屬層間介電層
122、1512...上金屬接點
124...矽通孔電極
126...襯層
128...導電凸塊
130...承載基底
310...第一隔離層
510...晶種層
610...第一罩幕圖案層
612...開口
710...導電部件
1010...第二隔離層
1110...第二罩幕圖案層
1310...接觸阻障層
1410...接觸墊
1412...重佈線
1510...基底
1514...連接部件
第1至13圖係繪示出根據本發明實施例之具有用於疊置晶片的凸塊結構的半導體裝置局部製造過程剖面示意圖。
第14圖係繪示出根據本發明實施例之腳位配置平面示意圖。
第15至17圖係繪示出根據本發明另一實施例之疊置晶片的局部製造過程剖面示意圖。
110...半導體基底
112...電路
114...蝕刻終止層
116...內層介電層
118...接觸窗
120...金屬層間介電層
122、1512...上金屬接點
124...矽通孔電極
126...襯層
128...導電凸塊
310...第一隔離層
510...晶種層
710...導電部件
1010...第二隔離層
1310...接觸阻障層
1510...基底
1514...連接部件

Claims (20)

  1. 一種半導體裝置,包括:一第一半導體基底;複數矽通孔電極,延伸穿過該第一半導體基底,且該等矽通孔電極突出於該第一半導體基底的一背側;一第一隔離層,位於相鄰的該等矽通孔電極之間該第一半導體基底的該背側,且該第一隔離層未延伸超過該等突出的矽通孔電極;一導電部件,具有一漸細側壁且電性耦接至該等矽通孔電極中的至少一個;以及一第二隔離層,位於該第一隔離層上以及一部分的該導電部件上。
  2. 如申請專利範圍第1項所述之半導體裝置,其中該導電部件包括一重佈線。
  3. 如申請專利範圍第2項所述之半導體裝置,其中該第二隔離層位於至少一部分的該重佈線上。
  4. 如申請專利範圍第2項所述之半導體裝置,其中該第一隔離層的材料不同於該第二隔離層的材料。
  5. 如申請專利範圍第1項所述之半導體裝置,更包括一接觸阻障層,位於至少一部分的該導電部件上。
  6. 如申請專利範圍第1項所述之半導體裝置,更包括一襯層,沿著該等矽通孔電極的側壁。
  7. 如申請專利範圍第6項所述之半導體裝置,其中該襯層未延伸超過該第一隔離層的一上表面。
  8. 如申請專利範圍第1項所述之半導體裝置,更包 括:一接觸阻障層,位於該導電部件上;一第二半導體基底,具有一上金屬接點;以及一導電連接部件,夾設於該接觸阻障層與該上金屬接點之間。
  9. 如申請專利範圍第8項所述之半導體裝置,其中該導電連接部件向下延伸至該導電部件的該漸細側壁。
  10. 一種半導體裝置之製造方法,包括:提供一第一半導體基底,該第一半導體基底具有一矽通孔電極自該第一半導體基底的一第一側延伸於其內,在該第一半導體基底的一第二側露出該矽通孔電極;沿著該第一半導體基底的該第二側形成一第一隔離層,且使該矽通孔電極露出;在該矽通孔電極上形成具有一漸細側壁的一導電部件;在該第一隔離層上形成一第二隔離層,其中該第一隔離層的材料不同於該第二隔離層的材料;以及在該導電部件的至少一部分上形成一接觸阻障層。
  11. 如申請專利範圍第10項所述之半導體裝置之製造方法,其中形成該導電部件包括使用具有凹口的罩幕圖案層作為形成該導電部件的模具。
  12. 如申請專利範圍第10項所述之半導體裝置之製造方法,其中露出該矽通孔電極包括蝕刻該第一半導體 基底至低於該矽通孔電極的一表面,使該矽通孔電極突出於該第一半導體基底。
  13. 如申請專利範圍第10項所述之半導體裝置之製造方法,其中形成該第一隔離層包括:形成一絕緣材料層;對該絕緣材料層進行平坦化;以及蝕刻該絕緣材料層,以露出該矽通孔電極。
  14. 如申請專利範圍第10項所述之半導體裝置之製造方法,其中該矽通孔電極突出於該第一隔離層。
  15. 如申請專利範圍第10項所述之半導體裝置之製造方法,其中形成該導電部件包括:在該第一隔離層及該矽通孔電極上方形成一晶種層;在該晶種層上形成一罩幕圖案層,該罩幕圖案層具有複數凹口而露出位於該矽通孔電極上方的該晶種層;在該晶種層的露出部分上形成一金屬接墊;去除該罩幕圖案層;以及去除未被該金屬接墊覆蓋的該晶種層。
  16. 如申請專利範圍第10項所述之半導體裝置之製造方法,更包括自該矽通孔電極露出的部分去除一襯層。
  17. 一種半導體裝置之製造方法,包括:提供一第一半導體基底,其具有複數矽通孔電極自該第一半導體基底的一電路側延伸至該第一半導體基底的一背側以及位於該背側上的每一矽通孔電極上具有漸細側壁的一導電接墊,且該第一半導體基底的該背側具 有一第一隔離層以及位於該第一隔離層上的一第二隔離層;提供一第二半導體基底,其具有複數上接觸點;以及將該第一半導體基底接合至該第二半導體基底,使該第二半導體基底的每一上接觸點電性耦接至該第一半導體基底上對應的該等導電接墊。
  18. 如申請專利範圍第17項所述之半導體裝置之製造方法,其中該等導電接墊中至少有一些包括一重佈線。
  19. 如申請專利範圍第18項所述之半導體裝置之製造方法,其中該重佈線位於該第一隔離層與該第二隔離層之間。
  20. 如申請專利範圍第17項所述之半導體裝置之製造方法,其中至少有透過一金屬凸塊來進行該第一半導體基底與該第二半導體基底的接合。
TW098115087A 2008-12-10 2009-05-07 半導體裝置及其製造方法 TWI416692B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/331,900 US8513119B2 (en) 2008-12-10 2008-12-10 Method of forming bump structure having tapered sidewalls for stacked dies

Publications (2)

Publication Number Publication Date
TW201023331A TW201023331A (en) 2010-06-16
TWI416692B true TWI416692B (zh) 2013-11-21

Family

ID=42230179

Family Applications (1)

Application Number Title Priority Date Filing Date
TW098115087A TWI416692B (zh) 2008-12-10 2009-05-07 半導體裝置及其製造方法

Country Status (3)

Country Link
US (2) US8513119B2 (zh)
CN (1) CN101752336B (zh)
TW (1) TWI416692B (zh)

Families Citing this family (67)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8513119B2 (en) 2008-12-10 2013-08-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming bump structure having tapered sidewalls for stacked dies
US20100171197A1 (en) * 2009-01-05 2010-07-08 Hung-Pin Chang Isolation Structure for Stacked Dies
US8399354B2 (en) * 2009-01-13 2013-03-19 Taiwan Semiconductor Manufacturing Company, Ltd. Through-silicon via with low-K dielectric liner
US8791549B2 (en) * 2009-09-22 2014-07-29 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer backside interconnect structure connected to TSVs
TWI414044B (zh) * 2009-12-29 2013-11-01 Advanced Semiconductor Eng 半導體製程、半導體元件及具有半導體元件之封裝結構
US8466059B2 (en) 2010-03-30 2013-06-18 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-layer interconnect structure for stacked dies
US8896136B2 (en) * 2010-06-30 2014-11-25 Taiwan Semiconductor Manufacturing Company, Ltd. Alignment mark and method of formation
US8227924B2 (en) * 2010-07-13 2012-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Substrate stand-offs for semiconductor devices
US8754516B2 (en) * 2010-08-26 2014-06-17 Intel Corporation Bumpless build-up layer package with pre-stacked microelectronic devices
US8466553B2 (en) 2010-10-12 2013-06-18 Advanced Semiconductor Engineering, Inc. Semiconductor device and semiconductor package having the same
US8105875B1 (en) 2010-10-14 2012-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Approach for bonding dies onto interposers
US9064879B2 (en) 2010-10-14 2015-06-23 Taiwan Semiconductor Manufacturing Company, Ltd. Packaging methods and structures using a die attach film
US8936966B2 (en) 2012-02-08 2015-01-20 Taiwan Semiconductor Manufacturing Company, Ltd. Packaging methods for semiconductor devices
US8455984B2 (en) * 2010-11-15 2013-06-04 Nanya Technology Corp. Integrated circuit structure and method of forming the same
KR101697573B1 (ko) * 2010-11-29 2017-01-19 삼성전자 주식회사 반도체 장치, 그 제조 방법, 및 상기 반도체 장치를 포함하는 반도체 패키지
KR101215648B1 (ko) * 2011-02-11 2012-12-26 에스케이하이닉스 주식회사 반도체 칩 및 그 제조방법
US20120256190A1 (en) * 2011-04-11 2012-10-11 International Rectifier Corporation Stacked Composite Device Including a Group III-V Transistor and a Group IV Diode
US8987833B2 (en) 2011-04-11 2015-03-24 International Rectifier Corporation Stacked composite device including a group III-V transistor and a group IV lateral transistor
US9343440B2 (en) 2011-04-11 2016-05-17 Infineon Technologies Americas Corp. Stacked composite device including a group III-V transistor and a group IV vertical transistor
US8900994B2 (en) 2011-06-09 2014-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method for producing a protective structure
US8304916B1 (en) * 2011-07-06 2012-11-06 Northrop Grumman Systems Corporation Half-through vias for suppression of substrate modes
US8691691B2 (en) * 2011-07-29 2014-04-08 International Business Machines Corporation TSV pillar as an interconnecting structure
KR101918609B1 (ko) 2012-01-11 2018-11-14 삼성전자 주식회사 집적회로 소자
US8519516B1 (en) * 2012-03-12 2013-08-27 Micron Technology, Inc. Semiconductor constructions
US9362267B2 (en) 2012-03-15 2016-06-07 Infineon Technologies Americas Corp. Group III-V and group IV composite switch
CN103367241B (zh) * 2012-04-09 2015-05-27 南亚科技股份有限公司 显露穿硅通孔的方法
US9030010B2 (en) * 2012-09-20 2015-05-12 Taiwan Semiconductor Manufacturing Company, Ltd. Packaging devices and methods
JP5772926B2 (ja) * 2013-01-07 2015-09-02 株式会社デンソー 半導体装置
US8912091B2 (en) 2013-01-10 2014-12-16 International Business Machines Corporation Backside metal ground plane with improved metal adhesion and design structures
US9059111B2 (en) * 2013-04-11 2015-06-16 International Business Machines Corporation Reliable back-side-metal structure
US9076699B2 (en) * 2013-05-03 2015-07-07 National Center For Advanced Packaging Co., Ltd. TSV backside reveal structure and exposing process
CN104183571B (zh) * 2013-05-27 2018-01-23 联华电子股份有限公司 直通硅晶穿孔及其制作工艺
JP2015056557A (ja) * 2013-09-12 2015-03-23 株式会社東芝 半導体装置
KR20150048388A (ko) * 2013-10-28 2015-05-07 삼성전자주식회사 반도체 장치 및 이의 제조 방법
CN103730351A (zh) * 2014-01-07 2014-04-16 上海华虹宏力半导体制造有限公司 刻蚀后的灰化方法及磁传感器的形成方法
KR102315276B1 (ko) * 2014-10-06 2021-10-20 삼성전자 주식회사 집적회로 소자 및 그 제조 방법
US10157823B2 (en) * 2014-10-31 2018-12-18 Qualcomm Incorporated High density fan out package structure
TWI581325B (zh) 2014-11-12 2017-05-01 精材科技股份有限公司 晶片封裝體及其製造方法
TWI582918B (zh) * 2014-11-12 2017-05-11 精材科技股份有限公司 晶片封裝體及其製造方法
KR102279729B1 (ko) 2014-12-01 2021-07-21 삼성전자주식회사 Tsv, 전면 범핑 패드 및 후면 범핑 패드를 갖는 반도체 소자
US9941190B2 (en) * 2015-04-03 2018-04-10 Micron Technology, Inc. Semiconductor device having through-silicon-via and methods of forming the same
JP2016213247A (ja) * 2015-04-30 2016-12-15 国立研究開発法人産業技術総合研究所 貫通電極及びその製造方法、並びに半導体装置及びその製造方法
US9754909B2 (en) * 2015-05-26 2017-09-05 Monolithic Power Systems, Inc. Copper structures with intermetallic coating for integrated circuit chips
ES2742705T3 (es) * 2015-07-30 2020-02-17 Heidenhain Gmbh Dr Johannes Dispositivo de medición de posición
KR20170023643A (ko) 2015-08-24 2017-03-06 삼성전자주식회사 반도체 장치 및 이의 제조 방법
TWI605544B (zh) * 2015-11-25 2017-11-11 矽品精密工業股份有限公司 基板結構及其製法
US10147682B2 (en) * 2015-11-30 2018-12-04 Taiwan Semiconductor Manufacturing Co., Ltd. Structure for stacked logic performance improvement
CN105550432A (zh) * 2015-12-11 2016-05-04 格科微电子(上海)有限公司 三维集成电路芯片及其电源网络布局方法
US10607885B2 (en) * 2016-03-30 2020-03-31 Intel Corporation Shell structure for insulation of a through-substrate interconnect
US10366953B2 (en) * 2016-12-05 2019-07-30 Taiwan Semiconductor Manufacturing Co., Ltd. Redistribution layer structures for integrated circuit package
US9923059B1 (en) * 2017-02-20 2018-03-20 Silanna Asia Pte Ltd Connection arrangements for integrated lateral diffusion field effect transistors
US10083897B2 (en) 2017-02-20 2018-09-25 Silanna Asia Pte Ltd Connection arrangements for integrated lateral diffusion field effect transistors having a backside contact
DE102017123449B4 (de) * 2017-04-10 2023-12-28 Taiwan Semiconductor Manufacturing Co. Ltd. Gehäuse mit Si-substratfreiem Zwischenstück und Ausbildungsverfahren
KR20180136148A (ko) * 2017-06-14 2018-12-24 에스케이하이닉스 주식회사 범프를 구비하는 반도체 장치
CN109285825B (zh) * 2017-07-21 2021-02-05 联华电子股份有限公司 芯片堆叠结构及管芯堆叠结构的制造方法
US10658287B2 (en) * 2018-05-30 2020-05-19 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having a tapered protruding pillar portion
CN110752162B (zh) * 2018-07-23 2021-05-07 西安电子科技大学 基于x波段氮化镓预失真集成电路及制作方法
US10903142B2 (en) * 2018-07-31 2021-01-26 Intel Corporation Micro through-silicon via for transistor density scaling
EP3979317A4 (en) * 2019-05-31 2023-06-28 BOE Technology Group Co., Ltd. Display backplane and manufacturing method therefor, and display device
US11688724B2 (en) 2019-05-31 2023-06-27 Boe Technology Group Co., Ltd. Display backplate and method for manufacturing same, display panel and method for manufacturing same, and display device
KR20210017663A (ko) 2019-08-09 2021-02-17 삼성전자주식회사 두꺼운 금속층 및 범프를 갖는 반도체 소자들
CN112997314B (zh) * 2019-08-16 2022-12-09 京东方科技集团股份有限公司 显示背板及其制作方法、显示装置
US11264368B2 (en) 2019-08-28 2022-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Mask transfer method (and related apparatus) for a bumping process
KR102445598B1 (ko) * 2019-08-28 2022-09-20 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 집적 회로(ic) 및 그 형성 방법
CN111554647B (zh) * 2020-05-19 2022-04-19 上海先方半导体有限公司 一种晶圆级芯片结构、多芯片堆叠互连结构及制备方法
EP3944290A1 (en) * 2020-07-21 2022-01-26 Infineon Technologies Austria AG Chip-substrate composite semiconductor device
CN116314016B (zh) * 2023-04-26 2023-10-03 北京大学 一种亚微米尺寸的硅通孔结构及其制备方法、电子设备

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6451684B1 (en) * 1998-07-21 2002-09-17 Samsung Electronics Co., Ltd. Semiconductor device having a conductive layer side surface slope which is at least 90° and method for manufacturing the same
TW200535978A (en) * 2004-03-31 2005-11-01 Nec Electronics Corp Method for manufacturing semiconductor device
US20070045780A1 (en) * 2005-09-01 2007-03-01 Salman Akram Methods of forming blind wafer interconnects, and related structures and assemblies

Family Cites Families (114)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05211239A (ja) 1991-09-12 1993-08-20 Texas Instr Inc <Ti> 集積回路相互接続構造とそれを形成する方法
US5426072A (en) 1993-01-21 1995-06-20 Hughes Aircraft Company Process of manufacturing a three dimensional integrated circuit from stacked SOI wafers using a temporary silicon substrate
DE4314907C1 (de) 1993-05-05 1994-08-25 Siemens Ag Verfahren zur Herstellung von vertikal miteinander elektrisch leitend kontaktierten Halbleiterbauelementen
US5391917A (en) 1993-05-10 1995-02-21 International Business Machines Corporation Multiprocessor module packaging
US5646067A (en) 1995-06-05 1997-07-08 Harris Corporation Method of bonding wafers having vias including conductive material
US6882030B2 (en) 1996-10-29 2005-04-19 Tru-Si Technologies, Inc. Integrated circuit structures with a conductor formed in a through hole in a semiconductor substrate and protruding from a surface of the substrate
EP2270846A3 (en) 1996-10-29 2011-12-21 ALLVIA, Inc. Integrated circuits and methods for their fabrication
JPH10163315A (ja) 1996-11-28 1998-06-19 Nec Ic Microcomput Syst Ltd 半導体回路装置
US6037822A (en) 1997-09-30 2000-03-14 Intel Corporation Method and apparatus for distributing a clock on the silicon backside of an integrated circuit
US5998292A (en) 1997-11-12 1999-12-07 International Business Machines Corporation Method for making three dimensional circuit integration
US6706189B2 (en) 1998-10-09 2004-03-16 Zenon Environmental Inc. Cyclic aeration system for submerged membrane modules
JP3532788B2 (ja) 1999-04-13 2004-05-31 唯知 須賀 半導体装置及びその製造方法
JP3998373B2 (ja) 1999-07-01 2007-10-24 株式会社ルネサステクノロジ 半導体集積回路装置の製造方法
US6322903B1 (en) 1999-12-06 2001-11-27 Tru-Si Technologies, Inc. Package of integrated circuits and vertical integration
US6417087B1 (en) 1999-12-16 2002-07-09 Agere Systems Guardian Corp. Process for forming a dual damascene bond pad structure over active circuitry
JP3626058B2 (ja) 2000-01-25 2005-03-02 Necエレクトロニクス株式会社 半導体装置の製造方法
JP2001291720A (ja) 2000-04-05 2001-10-19 Hitachi Ltd 半導体集積回路装置および半導体集積回路装置の製造方法
US6444576B1 (en) 2000-06-16 2002-09-03 Chartered Semiconductor Manufacturing, Ltd. Three dimensional IC package module
US7053465B2 (en) 2000-11-28 2006-05-30 Texas Instruments Incorporated Semiconductor varactor with reduced parasitic resistance
US6498381B2 (en) 2001-02-22 2002-12-24 Tru-Si Technologies, Inc. Semiconductor structures having multiple conductive layers in an opening, and methods for fabricating same
US6717254B2 (en) 2001-02-22 2004-04-06 Tru-Si Technologies, Inc. Devices having substrates with opening passing through the substrates and conductors in the openings, and methods of manufacture
US6696360B2 (en) 2001-03-15 2004-02-24 Micron Technology, Inc. Barrier-metal-free copper damascene technology using atomic hydrogen enhanced reflow
US7224063B2 (en) 2001-06-01 2007-05-29 International Business Machines Corporation Dual-damascene metallization interconnection
US6645796B2 (en) * 2001-11-21 2003-11-11 International Business Machines Corporation Method and semiconductor structure for implementing reach through buried interconnect for silicon-on-insulator (SOI) devices
US6599778B2 (en) 2001-12-19 2003-07-29 International Business Machines Corporation Chip and wafer integration process using vertical connections
WO2003063242A1 (en) 2002-01-16 2003-07-31 Alfred E. Mann Foundation For Scientific Research Space-saving packaging of electronic circuits
US6762076B2 (en) 2002-02-20 2004-07-13 Intel Corporation Process of vertically stacking multiple wafers supporting different active integrated circuit (IC) devices
US6642081B1 (en) 2002-04-11 2003-11-04 Robert Patti Interlocking conductor method for bonding wafers to produce stacked integrated circuits
JP2003318178A (ja) * 2002-04-24 2003-11-07 Seiko Epson Corp 半導体装置及びその製造方法、回路基板並びに電子機器
US6800930B2 (en) 2002-07-31 2004-10-05 Micron Technology, Inc. Semiconductor dice having back side redistribution layer accessed using through-silicon vias, and assemblies
US7030481B2 (en) 2002-12-09 2006-04-18 Internation Business Machines Corporation High density chip carrier with integrated passive devices
US7354798B2 (en) 2002-12-20 2008-04-08 International Business Machines Corporation Three-dimensional device fabrication method
JP4072677B2 (ja) 2003-01-15 2008-04-09 セイコーエプソン株式会社 半導体チップ、半導体ウエハ、半導体装置及びその製造方法、回路基板並びに電子機器
TWI241000B (en) 2003-01-21 2005-10-01 Siliconware Precision Industries Co Ltd Semiconductor package and fabricating method thereof
JP2004297019A (ja) 2003-03-28 2004-10-21 Seiko Epson Corp 半導体装置、回路基板及び電子機器
US6841883B1 (en) 2003-03-31 2005-01-11 Micron Technology, Inc. Multi-dice chip scale semiconductor components and wafer level methods of fabrication
US6908856B2 (en) 2003-04-03 2005-06-21 Interuniversitair Microelektronica Centrum (Imec) Method for producing electrical through hole interconnects and devices made thereof
US6924551B2 (en) 2003-05-28 2005-08-02 Intel Corporation Through silicon via, folded flex microelectronic package
US7111149B2 (en) 2003-07-07 2006-09-19 Intel Corporation Method and apparatus for generating a device ID for stacked devices
US6897125B2 (en) 2003-09-17 2005-05-24 Intel Corporation Methods of forming backside connections on a wafer stack
TWI251313B (en) 2003-09-26 2006-03-11 Seiko Epson Corp Intermediate chip module, semiconductor device, circuit board, and electronic device
US7335972B2 (en) 2003-11-13 2008-02-26 Sandia Corporation Heterogeneously integrated microsystem-on-a-chip
US6878616B1 (en) 2003-11-21 2005-04-12 International Business Machines Corporation Low-k dielectric material system for IC application
US7049170B2 (en) 2003-12-17 2006-05-23 Tru-Si Technologies, Inc. Integrated circuits and packaging substrates with cavities, and attachment methods including insertion of protruding contact pads into cavities
US7060601B2 (en) 2003-12-17 2006-06-13 Tru-Si Technologies, Inc. Packaging substrates for integrated circuits and soldering methods
JP4467318B2 (ja) 2004-01-28 2010-05-26 Necエレクトロニクス株式会社 半導体装置、マルチチップ半導体装置用チップのアライメント方法およびマルチチップ半導体装置用チップの製造方法
JP4339152B2 (ja) 2004-03-08 2009-10-07 富士通マイクロエレクトロニクス株式会社 配線構造の形成方法
JP4439976B2 (ja) 2004-03-31 2010-03-24 Necエレクトロニクス株式会社 半導体装置およびその製造方法
TWI427700B (zh) 2004-08-20 2014-02-21 Kamiyacho Ip Holdings 三維積層構造之半導體裝置之製造方法
US7109068B2 (en) 2004-08-31 2006-09-19 Micron Technology, Inc. Through-substrate interconnect fabrication methods
US7300857B2 (en) 2004-09-02 2007-11-27 Micron Technology, Inc. Through-wafer interconnects for photoimager and memory wafers
US7262495B2 (en) 2004-10-07 2007-08-28 Hewlett-Packard Development Company, L.P. 3D interconnect with protruding contacts
KR20060054690A (ko) 2004-11-16 2006-05-23 강준모 후면 입출력 단자를 갖는 반도체 장치 및 그 제조방법
KR101052366B1 (ko) 2004-11-16 2011-07-28 강준모 후면 입출력 단자를 갖는 반도체 장치 및 그 제조방법
KR20060054689A (ko) 2004-11-16 2006-05-23 강준모 후면 입출력 단자를 갖는 반도체 장치 및 그 제조방법
JP2006339584A (ja) 2005-06-06 2006-12-14 Sharp Corp 半導体装置およびその製造方法
US7297574B2 (en) 2005-06-17 2007-11-20 Infineon Technologies Ag Multi-chip device and method for producing a multi-chip device
US7795134B2 (en) 2005-06-28 2010-09-14 Micron Technology, Inc. Conductive interconnect structures and formation methods using supercritical fluids
US7429529B2 (en) 2005-08-05 2008-09-30 Farnworth Warren M Methods of forming through-wafer interconnects and structures resulting therefrom
US7863187B2 (en) 2005-09-01 2011-01-04 Micron Technology, Inc. Microfeature workpieces and methods for forming interconnects in microfeature workpieces
TWI287273B (en) 2006-01-25 2007-09-21 Advanced Semiconductor Eng Three dimensional package and method of making the same
US7902643B2 (en) 2006-08-31 2011-03-08 Micron Technology, Inc. Microfeature workpieces having interconnects and conductive backplanes, and associated systems and methods
US7514775B2 (en) 2006-10-09 2009-04-07 Taiwan Semiconductor Manufacturing Co., Ltd. Stacked structures and methods of fabricating stacked structures
US7544605B2 (en) 2006-11-21 2009-06-09 Freescale Semiconductor, Inc. Method of making a contact on a backside of a die
JP4415984B2 (ja) 2006-12-06 2010-02-17 ソニー株式会社 半導体装置の製造方法
US8247322B2 (en) 2007-03-01 2012-08-21 Taiwan Semiconductor Manufacturing Co., Ltd. Via/contact and damascene structures and manufacturing methods thereof
US20080246152A1 (en) * 2007-04-04 2008-10-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with bonding pad
US7564115B2 (en) 2007-05-16 2009-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Tapered through-silicon via structure
KR20080101635A (ko) 2007-05-18 2008-11-21 삼성전자주식회사 반도체 패키지, 그 제조 방법, 및 반도체 패키지를 이용한패키지 모듈 및 전자 제품
JP4937842B2 (ja) 2007-06-06 2012-05-23 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
TW200910557A (en) 2007-06-20 2009-03-01 Flipchip Int Llc Under bump metallization structure having a seed layer for electroless nickel deposition
US20090057909A1 (en) 2007-06-20 2009-03-05 Flipchip International, Llc Under bump metallization structure having a seed layer for electroless nickel deposition
TWI351751B (en) 2007-06-22 2011-11-01 Ind Tech Res Inst Self-aligned wafer or chip structure, self-aligned
US8193092B2 (en) 2007-07-31 2012-06-05 Micron Technology, Inc. Semiconductor devices including a through-substrate conductive member with an exposed end and methods of manufacturing such semiconductor devices
KR101387701B1 (ko) 2007-08-01 2014-04-23 삼성전자주식회사 반도체 패키지 및 이의 제조방법
JP2009055004A (ja) 2007-08-24 2009-03-12 Honda Motor Co Ltd 貫通配線構造
JP2009147218A (ja) 2007-12-17 2009-07-02 Toshiba Corp 半導体装置とその製造方法
JP5358089B2 (ja) 2007-12-21 2013-12-04 スパンション エルエルシー 半導体装置
US7804119B2 (en) 2008-04-08 2010-09-28 International Business Machines Corporation Device structures with a hyper-abrupt P-N junction, methods of forming a hyper-abrupt P-N junction, and design structures for an integrated circuit
US8253230B2 (en) * 2008-05-15 2012-08-28 Micron Technology, Inc. Disabling electrical connections using pass-through 3D interconnects and associated systems and methods
JP5324822B2 (ja) 2008-05-26 2013-10-23 ラピスセミコンダクタ株式会社 半導体装置
US7968460B2 (en) 2008-06-19 2011-06-28 Micron Technology, Inc. Semiconductor with through-substrate interconnect
US20100013060A1 (en) 2008-06-22 2010-01-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a conductive trench in a silicon wafer and silicon wafer comprising such trench
KR20100021856A (ko) 2008-08-18 2010-02-26 삼성전자주식회사 관통 전극을 갖는 반도체장치의 형성방법 및 관련된 장치
US7772081B2 (en) * 2008-09-17 2010-08-10 Stats Chippac, Ltd. Semiconductor device and method of forming high-frequency circuit structure and method thereof
US7855455B2 (en) 2008-09-26 2010-12-21 International Business Machines Corporation Lock and key through-via method for wafer level 3 D integration and structures produced
US7928534B2 (en) 2008-10-09 2011-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Bond pad connection to redistribution lines having tapered profiles
US7935571B2 (en) 2008-11-25 2011-05-03 Freescale Semiconductor, Inc. Through substrate vias for back-side interconnections on very thin semiconductor wafers
US8513119B2 (en) 2008-12-10 2013-08-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming bump structure having tapered sidewalls for stacked dies
US8736050B2 (en) 2009-09-03 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Front side copper post joint structure for temporary bond in TSV application
US7999320B2 (en) 2008-12-23 2011-08-16 International Business Machines Corporation SOI radio frequency switch with enhanced signal fidelity and electrical isolation
US8264077B2 (en) 2008-12-29 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Backside metal of redistribution line with silicide layer on through-silicon via of semiconductor chips
US8097964B2 (en) 2008-12-29 2012-01-17 Texas Instruments Incorporated IC having TSV arrays with reduced TSV induced stress
US7910473B2 (en) 2008-12-31 2011-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Through-silicon via with air gap
US20100171197A1 (en) 2009-01-05 2010-07-08 Hung-Pin Chang Isolation Structure for Stacked Dies
US8399354B2 (en) 2009-01-13 2013-03-19 Taiwan Semiconductor Manufacturing Company, Ltd. Through-silicon via with low-K dielectric liner
US8158489B2 (en) 2009-06-26 2012-04-17 Taiwan Semiconductor Manufacturing Company, Ltd. Formation of TSV backside interconnects by modifying carrier wafers
US8791549B2 (en) 2009-09-22 2014-07-29 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer backside interconnect structure connected to TSVs
US9070679B2 (en) 2009-11-24 2015-06-30 Marvell World Trade Ltd. Semiconductor package with a semiconductor die embedded within substrates
US8294261B2 (en) 2010-01-29 2012-10-23 Texas Instruments Incorporated Protruding TSV tips for enhanced heat dissipation for IC devices
US8273616B2 (en) 2010-02-19 2012-09-25 Taiwan Semiconductor Manufacturing Company, Ltd. Gated-varactors
US20110227216A1 (en) 2010-03-16 2011-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Under-Bump Metallization Structure for Semiconductor Devices
US8587121B2 (en) 2010-03-24 2013-11-19 International Business Machines Corporation Backside dummy plugs for 3D integration
US8466059B2 (en) 2010-03-30 2013-06-18 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-layer interconnect structure for stacked dies
US8174124B2 (en) 2010-04-08 2012-05-08 Taiwan Semiconductor Manufacturing Co., Ltd. Dummy pattern in wafer backside routing
US9293366B2 (en) 2010-04-28 2016-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Through-substrate vias with improved connections
US8896136B2 (en) 2010-06-30 2014-11-25 Taiwan Semiconductor Manufacturing Company, Ltd. Alignment mark and method of formation
US8796135B2 (en) 2010-07-23 2014-08-05 Tessera, Inc. Microelectronic elements with rear contacts connected with via first or via middle structures
US8466553B2 (en) 2010-10-12 2013-06-18 Advanced Semiconductor Engineering, Inc. Semiconductor device and semiconductor package having the same
US20120193778A1 (en) 2011-01-27 2012-08-02 Texas Instruments Incorporated Integrated circuit having protruding bonding features with reinforcing dielectric supports
US8273604B2 (en) 2011-02-22 2012-09-25 STAT ChipPAC, Ltd. Semiconductor device and method of forming WLCSP structure using protruded MLP
US8481425B2 (en) 2011-05-16 2013-07-09 United Microelectronics Corp. Method for fabricating through-silicon via structure
US8791009B2 (en) 2011-06-07 2014-07-29 International Business Machines Corporation Method of forming a through-silicon via utilizing a metal contact pad in a back-end-of-line wiring level to fill the through-silicon via
US8900994B2 (en) 2011-06-09 2014-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method for producing a protective structure

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6451684B1 (en) * 1998-07-21 2002-09-17 Samsung Electronics Co., Ltd. Semiconductor device having a conductive layer side surface slope which is at least 90° and method for manufacturing the same
TW200535978A (en) * 2004-03-31 2005-11-01 Nec Electronics Corp Method for manufacturing semiconductor device
US20070045780A1 (en) * 2005-09-01 2007-03-01 Salman Akram Methods of forming blind wafer interconnects, and related structures and assemblies

Also Published As

Publication number Publication date
US9312225B2 (en) 2016-04-12
TW201023331A (en) 2010-06-16
US20100140805A1 (en) 2010-06-10
CN101752336B (zh) 2012-05-23
CN101752336A (zh) 2010-06-23
US20130299992A1 (en) 2013-11-14
US8513119B2 (en) 2013-08-20

Similar Documents

Publication Publication Date Title
TWI416692B (zh) 半導體裝置及其製造方法
US10163756B2 (en) Isolation structure for stacked dies
US8466059B2 (en) Multi-layer interconnect structure for stacked dies
US11600551B2 (en) Through-silicon via with low-K dielectric liner
US20230386976A1 (en) Semiconductor Device Having Backside Interconnect Structure on Through Substrate Via
US8816491B2 (en) Stacked integrated chips and methods of fabrication thereof
TWI399827B (zh) 堆疊晶粒的形成方法
TW201935583A (zh) 形成用於半導體裝置連接的通孔的方法
TWI718722B (zh) 接合結構及其形成方法
TWI677036B (zh) 封裝及其製造方法
US20230377968A1 (en) Redistribution layer metallic structure and method