TWI405248B - 沉積摻雜碳之磊晶半導體層之方法、沉積半導體材料的方法與裝置及在反應腔室中之基板上形成電晶體設備之方法 - Google Patents
沉積摻雜碳之磊晶半導體層之方法、沉積半導體材料的方法與裝置及在反應腔室中之基板上形成電晶體設備之方法 Download PDFInfo
- Publication number
- TWI405248B TWI405248B TW095147892A TW95147892A TWI405248B TW I405248 B TWI405248 B TW I405248B TW 095147892 A TW095147892 A TW 095147892A TW 95147892 A TW95147892 A TW 95147892A TW I405248 B TWI405248 B TW I405248B
- Authority
- TW
- Taiwan
- Prior art keywords
- carbon
- depositing
- doped epitaxial
- semiconductor layer
- deposition
- Prior art date
Links
- 238000000151 deposition Methods 0.000 title claims abstract description 168
- 238000000034 method Methods 0.000 title claims abstract description 118
- 239000004065 semiconductor Substances 0.000 title claims abstract description 100
- 239000000758 substrate Substances 0.000 title claims abstract description 88
- 239000000463 material Substances 0.000 title claims abstract description 85
- 229910052799 carbon Inorganic materials 0.000 title claims abstract description 55
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 title claims abstract description 53
- 238000006243 chemical reaction Methods 0.000 title claims description 54
- 239000013078 crystal Substances 0.000 claims abstract description 57
- 230000008569 process Effects 0.000 claims abstract description 27
- MROCJMGDEKINLD-UHFFFAOYSA-N dichlorosilane Chemical compound Cl[SiH2]Cl MROCJMGDEKINLD-UHFFFAOYSA-N 0.000 claims abstract description 12
- 239000007833 carbon precursor Substances 0.000 claims abstract description 10
- 230000008021 deposition Effects 0.000 claims description 103
- YMWUJEATGCHHMB-UHFFFAOYSA-N Dichloromethane Chemical compound ClCCl YMWUJEATGCHHMB-UHFFFAOYSA-N 0.000 claims description 66
- 239000007789 gas Substances 0.000 claims description 49
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 claims description 45
- 229910052732 germanium Inorganic materials 0.000 claims description 41
- 238000012545 processing Methods 0.000 claims description 41
- 239000002019 doping agent Substances 0.000 claims description 37
- DIOQZVSQGTUSAI-UHFFFAOYSA-N decane Chemical compound CCCCCCCCCC DIOQZVSQGTUSAI-UHFFFAOYSA-N 0.000 claims description 22
- 239000012159 carrier gas Substances 0.000 claims description 16
- 150000004678 hydrides Chemical class 0.000 claims description 15
- 238000002955 isolation Methods 0.000 claims description 14
- 229910052734 helium Inorganic materials 0.000 claims description 13
- 239000001307 helium Substances 0.000 claims description 13
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 claims description 13
- 238000005530 etching Methods 0.000 claims description 11
- 239000000203 mixture Substances 0.000 claims description 10
- 238000009826 distribution Methods 0.000 claims description 8
- 238000005229 chemical vapour deposition Methods 0.000 claims description 7
- 239000001257 hydrogen Substances 0.000 claims description 3
- 229910052739 hydrogen Inorganic materials 0.000 claims description 3
- 230000036961 partial effect Effects 0.000 claims description 3
- VDFVNEFVBPFDSB-UHFFFAOYSA-N 1,3-dioxane Chemical compound C1COCOC1 VDFVNEFVBPFDSB-UHFFFAOYSA-N 0.000 claims description 2
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 claims description 2
- 239000000969 carrier Substances 0.000 claims 1
- 238000004140 cleaning Methods 0.000 claims 1
- 229910000078 germane Inorganic materials 0.000 claims 1
- 125000004435 hydrogen atom Chemical group [H]* 0.000 claims 1
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 abstract description 7
- 229910052710 silicon Inorganic materials 0.000 abstract description 7
- 239000010703 silicon Substances 0.000 abstract description 7
- 239000010408 film Substances 0.000 description 73
- 239000002243 precursor Substances 0.000 description 33
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 description 24
- 229910052707 ruthenium Inorganic materials 0.000 description 22
- 230000012010 growth Effects 0.000 description 19
- 235000012431 wafers Nutrition 0.000 description 12
- XYFCBTPGUUZFHI-UHFFFAOYSA-N Phosphine Chemical compound P XYFCBTPGUUZFHI-UHFFFAOYSA-N 0.000 description 10
- ZHKKNUKCXPWZOP-UHFFFAOYSA-N 1-chloroundecane Chemical compound CCCCCCCCCCCCl ZHKKNUKCXPWZOP-UHFFFAOYSA-N 0.000 description 9
- 238000005137 deposition process Methods 0.000 description 9
- 230000000694 effects Effects 0.000 description 9
- 230000015572 biosynthetic process Effects 0.000 description 7
- 238000004519 manufacturing process Methods 0.000 description 7
- 230000002829 reductive effect Effects 0.000 description 7
- 238000006467 substitution reaction Methods 0.000 description 7
- 238000002230 thermal chemical vapour deposition Methods 0.000 description 7
- 125000004429 atom Chemical group 0.000 description 6
- 150000002012 dioxanes Chemical class 0.000 description 6
- 239000000376 reactant Substances 0.000 description 6
- 229910052715 tantalum Inorganic materials 0.000 description 6
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 6
- RSJKGSCJYJTIGS-UHFFFAOYSA-N undecane Chemical compound CCCCCCCCCCC RSJKGSCJYJTIGS-UHFFFAOYSA-N 0.000 description 6
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 description 5
- OAICVXFJPJFONN-UHFFFAOYSA-N Phosphorus Chemical compound [P] OAICVXFJPJFONN-UHFFFAOYSA-N 0.000 description 5
- 230000008901 benefit Effects 0.000 description 5
- 239000000460 chlorine Substances 0.000 description 5
- 229910052801 chlorine Inorganic materials 0.000 description 5
- 229910052698 phosphorus Inorganic materials 0.000 description 5
- 239000011574 phosphorus Substances 0.000 description 5
- 229910000073 phosphorus hydride Inorganic materials 0.000 description 5
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 4
- VEXZGXHMUGYJMC-UHFFFAOYSA-N Hydrochloric acid Chemical compound Cl VEXZGXHMUGYJMC-UHFFFAOYSA-N 0.000 description 4
- 238000000354 decomposition reaction Methods 0.000 description 4
- 230000007547 defect Effects 0.000 description 4
- 238000011065 in-situ storage Methods 0.000 description 4
- 239000007788 liquid Substances 0.000 description 4
- WOCIAKWEIIZHES-UHFFFAOYSA-N ruthenium(iv) oxide Chemical compound O=[Ru]=O WOCIAKWEIIZHES-UHFFFAOYSA-N 0.000 description 4
- IXADHCVQNVXURI-UHFFFAOYSA-N 1,1-dichlorodecane Chemical group CCCCCCCCCC(Cl)Cl IXADHCVQNVXURI-UHFFFAOYSA-N 0.000 description 3
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 description 3
- 125000004432 carbon atom Chemical group C* 0.000 description 3
- 239000003989 dielectric material Substances 0.000 description 3
- 229910000449 hafnium oxide Inorganic materials 0.000 description 3
- WIHZLLGSGQNAGK-UHFFFAOYSA-N hafnium(4+);oxygen(2-) Chemical compound [O-2].[O-2].[Hf+4] WIHZLLGSGQNAGK-UHFFFAOYSA-N 0.000 description 3
- 238000002347 injection Methods 0.000 description 3
- 239000007924 injection Substances 0.000 description 3
- 238000011068 loading method Methods 0.000 description 3
- 229910044991 metal oxide Inorganic materials 0.000 description 3
- 150000004706 metal oxides Chemical class 0.000 description 3
- 238000000059 patterning Methods 0.000 description 3
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 3
- 229910000077 silane Inorganic materials 0.000 description 3
- 239000000126 substance Substances 0.000 description 3
- 230000000153 supplemental effect Effects 0.000 description 3
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 2
- 229910052684 Cerium Inorganic materials 0.000 description 2
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 2
- 229910052786 argon Inorganic materials 0.000 description 2
- 229910052785 arsenic Inorganic materials 0.000 description 2
- RQNWIZPPADIBDY-UHFFFAOYSA-N arsenic atom Chemical compound [As] RQNWIZPPADIBDY-UHFFFAOYSA-N 0.000 description 2
- 150000001721 carbon Chemical group 0.000 description 2
- GWXLDORMOJMVQZ-UHFFFAOYSA-N cerium Chemical group [Ce] GWXLDORMOJMVQZ-UHFFFAOYSA-N 0.000 description 2
- 230000007423 decrease Effects 0.000 description 2
- 125000003963 dichloro group Chemical group Cl* 0.000 description 2
- 238000009792 diffusion process Methods 0.000 description 2
- 238000000407 epitaxy Methods 0.000 description 2
- 238000010438 heat treatment Methods 0.000 description 2
- 239000011261 inert gas Substances 0.000 description 2
- 239000012212 insulator Substances 0.000 description 2
- 238000004518 low pressure chemical vapour deposition Methods 0.000 description 2
- 229910052751 metal Inorganic materials 0.000 description 2
- 239000002184 metal Substances 0.000 description 2
- 238000004062 sedimentation Methods 0.000 description 2
- 239000012686 silicon precursor Substances 0.000 description 2
- 125000006850 spacer group Chemical group 0.000 description 2
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 2
- BGHCVCJVXZWKCC-UHFFFAOYSA-N tetradecane Chemical compound CCCCCCCCCCCCCC BGHCVCJVXZWKCC-UHFFFAOYSA-N 0.000 description 2
- 239000010409 thin film Substances 0.000 description 2
- 230000007704 transition Effects 0.000 description 2
- IANXAXNUNBAWBA-UHFFFAOYSA-N 2,2,3-trimethylundecane Chemical compound CCCCCCCCC(C)C(C)(C)C IANXAXNUNBAWBA-UHFFFAOYSA-N 0.000 description 1
- QDKSGHXRHXVMPF-UHFFFAOYSA-N 2,2-dimethylundecane Chemical compound CCCCCCCCCC(C)(C)C QDKSGHXRHXVMPF-UHFFFAOYSA-N 0.000 description 1
- GTJOHISYCKPIMT-UHFFFAOYSA-N 2-methylundecane Chemical compound CCCCCCCCCC(C)C GTJOHISYCKPIMT-UHFFFAOYSA-N 0.000 description 1
- HCVDENZMQSPJRI-UHFFFAOYSA-N 3,3,4-triethyldodecane Chemical compound CCCCCCCCC(CC)C(CC)(CC)CC HCVDENZMQSPJRI-UHFFFAOYSA-N 0.000 description 1
- KUZXQXCWRNFIHK-UHFFFAOYSA-N 3,3-diethyldodecane Chemical compound CCCCCCCCCC(CC)(CC)CC KUZXQXCWRNFIHK-UHFFFAOYSA-N 0.000 description 1
- RPDFRSKJKOQCIT-UHFFFAOYSA-N 3-ethyldodecane Chemical compound CCCCCCCCCC(CC)CC RPDFRSKJKOQCIT-UHFFFAOYSA-N 0.000 description 1
- 241000233805 Phoenix Species 0.000 description 1
- 238000002441 X-ray diffraction Methods 0.000 description 1
- 125000000217 alkyl group Chemical group 0.000 description 1
- 230000003698 anagen phase Effects 0.000 description 1
- 230000004888 barrier function Effects 0.000 description 1
- 238000009835 boiling Methods 0.000 description 1
- 230000008859 change Effects 0.000 description 1
- SURLGNKAQXKNSP-DBLYXWCISA-N chlorin Chemical compound C\1=C/2\N/C(=C\C3=N/C(=C\C=4NC(/C=C\5/C=CC/1=N/5)=CC=4)/C=C3)/CC\2 SURLGNKAQXKNSP-DBLYXWCISA-N 0.000 description 1
- 229910017052 cobalt Inorganic materials 0.000 description 1
- 239000010941 cobalt Substances 0.000 description 1
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 description 1
- 239000000356 contaminant Substances 0.000 description 1
- 238000011109 contamination Methods 0.000 description 1
- 230000003247 decreasing effect Effects 0.000 description 1
- 230000002950 deficient Effects 0.000 description 1
- 230000000994 depressogenic effect Effects 0.000 description 1
- 238000003795 desorption Methods 0.000 description 1
- 238000010586 diagram Methods 0.000 description 1
- 230000009699 differential effect Effects 0.000 description 1
- 238000010790 dilution Methods 0.000 description 1
- 239000012895 dilution Substances 0.000 description 1
- SNRUBQQJIBEYMU-UHFFFAOYSA-N dodecane Chemical compound CCCCCCCCCCCC SNRUBQQJIBEYMU-UHFFFAOYSA-N 0.000 description 1
- 238000005516 engineering process Methods 0.000 description 1
- 238000011049 filling Methods 0.000 description 1
- 230000004907 flux Effects 0.000 description 1
- 238000004868 gas analysis Methods 0.000 description 1
- 239000011521 glass Substances 0.000 description 1
- BHEPBYXIRTUNPN-UHFFFAOYSA-N hydridophosphorus(.) (triplet) Chemical compound [PH] BHEPBYXIRTUNPN-UHFFFAOYSA-N 0.000 description 1
- 238000005470 impregnation Methods 0.000 description 1
- 238000010348 incorporation Methods 0.000 description 1
- 239000012774 insulation material Substances 0.000 description 1
- 230000002452 interceptive effect Effects 0.000 description 1
- 150000002500 ions Chemical class 0.000 description 1
- 230000000873 masking effect Effects 0.000 description 1
- 238000005259 measurement Methods 0.000 description 1
- 150000002739 metals Chemical class 0.000 description 1
- 239000013081 microcrystal Substances 0.000 description 1
- 230000000877 morphologic effect Effects 0.000 description 1
- 229910052754 neon Inorganic materials 0.000 description 1
- GKAOGPIIYCISHV-UHFFFAOYSA-N neon atom Chemical compound [Ne] GKAOGPIIYCISHV-UHFFFAOYSA-N 0.000 description 1
- 229910052759 nickel Inorganic materials 0.000 description 1
- 229910052758 niobium Inorganic materials 0.000 description 1
- 239000010955 niobium Substances 0.000 description 1
- GUCVJGMIXFAOAE-UHFFFAOYSA-N niobium atom Chemical compound [Nb] GUCVJGMIXFAOAE-UHFFFAOYSA-N 0.000 description 1
- 150000004767 nitrides Chemical class 0.000 description 1
- 229910052757 nitrogen Inorganic materials 0.000 description 1
- 230000006911 nucleation Effects 0.000 description 1
- 238000010899 nucleation Methods 0.000 description 1
- 230000003647 oxidation Effects 0.000 description 1
- 238000007254 oxidation reaction Methods 0.000 description 1
- YCOZIPAWZNQLMR-UHFFFAOYSA-N pentadecane Chemical compound CCCCCCCCCCCCCCC YCOZIPAWZNQLMR-UHFFFAOYSA-N 0.000 description 1
- 230000000737 periodic effect Effects 0.000 description 1
- -1 phosphine Chemical class 0.000 description 1
- 230000000704 physical effect Effects 0.000 description 1
- 238000002360 preparation method Methods 0.000 description 1
- 238000009877 rendering Methods 0.000 description 1
- 229910052703 rhodium Inorganic materials 0.000 description 1
- 239000010948 rhodium Substances 0.000 description 1
- MHOVAHRLVXNVSD-UHFFFAOYSA-N rhodium atom Chemical compound [Rh] MHOVAHRLVXNVSD-UHFFFAOYSA-N 0.000 description 1
- 150000003839 salts Chemical class 0.000 description 1
- 238000001179 sorption measurement Methods 0.000 description 1
- 241000894007 species Species 0.000 description 1
- 238000001228 spectrum Methods 0.000 description 1
- 230000003068 static effect Effects 0.000 description 1
- 238000003860 storage Methods 0.000 description 1
- 230000003746 surface roughness Effects 0.000 description 1
- 238000003786 synthesis reaction Methods 0.000 description 1
- XSOKHXFFCGXDJZ-UHFFFAOYSA-N telluride(2-) Chemical compound [Te-2] XSOKHXFFCGXDJZ-UHFFFAOYSA-N 0.000 description 1
- 238000012546 transfer Methods 0.000 description 1
- 238000011144 upstream manufacturing Methods 0.000 description 1
- 238000007740 vapor deposition Methods 0.000 description 1
- 239000006200 vaporizer Substances 0.000 description 1
- 238000001039 wet etching Methods 0.000 description 1
- 229910052724 xenon Inorganic materials 0.000 description 1
- FHNFHKCVQCLJFQ-UHFFFAOYSA-N xenon atom Chemical compound [Xe] FHNFHKCVQCLJFQ-UHFFFAOYSA-N 0.000 description 1
- RUQSMSKTBIPRRA-UHFFFAOYSA-N yttrium Chemical compound [Y].[Y] RUQSMSKTBIPRRA-UHFFFAOYSA-N 0.000 description 1
- GRJUENNHVNYCHD-UHFFFAOYSA-N ξ-3-methyldodecane Chemical compound CCCCCCCCCC(C)CC GRJUENNHVNYCHD-UHFFFAOYSA-N 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/20—Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
-
- C—CHEMISTRY; METALLURGY
- C30—CRYSTAL GROWTH
- C30B—SINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
- C30B25/00—Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
- C30B25/02—Epitaxial-layer growth
-
- C—CHEMISTRY; METALLURGY
- C30—CRYSTAL GROWTH
- C30B—SINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
- C30B29/00—Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
- C30B29/02—Elements
- C30B29/06—Silicon
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02367—Substrates
- H01L21/0237—Materials
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02367—Substrates
- H01L21/0237—Materials
- H01L21/02373—Group 14 semiconducting materials
- H01L21/02381—Silicon, silicon germanium, germanium
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02518—Deposited layers
- H01L21/02521—Materials
- H01L21/02524—Group 14 semiconducting materials
- H01L21/02529—Silicon carbide
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02518—Deposited layers
- H01L21/02521—Materials
- H01L21/02524—Group 14 semiconducting materials
- H01L21/02532—Silicon, silicon germanium, germanium
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02518—Deposited layers
- H01L21/0257—Doping during depositing
- H01L21/02573—Conductivity type
- H01L21/02576—N-type
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02612—Formation types
- H01L21/02617—Deposition types
- H01L21/0262—Reduction or decomposition of gaseous compounds, e.g. CVD
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02612—Formation types
- H01L21/02617—Deposition types
- H01L21/02636—Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
- H01L21/02639—Preparation of substrate for selective deposition
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Chemical & Material Sciences (AREA)
- Materials Engineering (AREA)
- Crystallography & Structural Chemistry (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Thin Film Transistor (AREA)
- Insulated Gate Type Field-Effect Transistor (AREA)
- Chemical Vapour Deposition (AREA)
Description
本發明大體關於磊晶沈積,且更明確地說,關於摻雜碳之半導體材料的原位選擇性磊晶沈積。
在半導體製造工業中使用多種方法來將材料沈積於表面上。舉例而言,此等方法中之最廣泛使用中之一者為化學氣相沈積(chemical vapor deposition,“CVD”),其中包含於氣相沈積中之原子或分子沈積於表面上且聚集以形成薄膜。咸信使用習知矽源以及在某些表面上之沈積方法的含矽材料(諸如絕緣體)之沈積以若干不同階段進行。當前幾個原子或分子沈積於表面上且形成晶核時,發生第一階段,長晶。長晶大大地受到下層基板表面之性質及品質的影響。在第二階段期間,經隔離的晶核形成小島狀物,此小島狀物生長為較大的島狀物。在第三階段,生長的島狀物開始聚結為連續薄膜。就此而言,薄膜通常具有數百埃之厚度且稱為“過渡”薄膜(“transition”film)。其一般具有與在形成過渡薄膜後開始生長之較厚的塊體薄膜(bulk film)不同之化學以及物理特性。
在一些應用中,需要在絕緣(例如,二氧化矽)及半導體(例如,矽)表面上達成均一或“毯覆式”沈積。在其他應用中,需要選擇性地沈積於暴露於不同材料之場(諸如,場隔離氧化物)內的半導體窗上。舉例而言,異質接面雙極電晶體常使用僅在活性區域上磊晶沈積單晶半導體
薄膜之選擇性沈積技術被製造。其他電晶體設計受益於升高的源極/汲極結構,其提供由源極/汲極接觸製程消耗之額外的矽,從而使所得之淺接面設備之效能不受影響。在源極/汲極區上之選擇性磊晶有利地使隨後圖案化以及蝕刻步驟之數目得以減少。
一般地,在於不同材料上之沈積期間,選擇性沈積利用差異長晶。選擇性沈積一般涉及正被沈積之材料的同時蝕刻及沈積。選擇之前驅物一般具有在一表面上較快以及在另一表面上較不快地成核及生長之趨勢。舉例而言,矽烷將最終將矽沈積於二氧化矽以及矽上,但在二氧化矽上存在顯著較長的晶核生長期(nucleation phase)。從而,在長晶階段開始時,相對於矽上之經合併、連續的薄膜,氧化物上之不連續的薄膜具有高暴露的表面區域。因此,與矽上之快速長晶薄膜相比,添加至製程之蝕刻劑將對氧化物上之不良長晶薄膜具有較大的效應。藉由調整影響沈積速率之因素(例如,前驅物流動速率、溫度以及壓力)以及影響蝕刻速率之因素(例如,蝕刻劑流動速率、溫度以及壓力),製程之相對選擇性從而為可調的。諸如此等之變數的改變一般導致對蝕刻速率以及沈積速率之差異效應。通常,選擇性沈積製程經調節以產生相關之窗上可行之最高沈積速率,同時在場區(field region)中完成極少或無沈積。已知的選擇性矽沈積製程包括諸如矽烷以及具有氫載氣之氫氯酸的反應物。
已使用多種方法製造具有在半導體工業中之應用的含
有應變單一結晶矽之材料。一方法涉及在製造設備(諸如電晶體)前在基板位準處展開應變。舉例而言,藉由將矽層磊晶沈積於應變放鬆矽鍺層上,薄單一結晶矽層可具備拉伸應變。在此實例中,經磊晶沈積的矽由於其晶格常數遵循下層矽鍺之較大晶格常數而經應變。拉伸應變之經磊晶沈積的矽通常展現出增加的電子遷移率。
製造含有應變矽結晶矽之材料的另一方法是藉由取代摻雜,其中摻雜劑置換晶格結構中之矽原子。舉例而言,用於單一結晶矽之晶格結構中之一些矽原子的鍺原子的取代在所得的經取代摻雜的單一結晶矽鍺材料中產生壓縮應變,因為鍺原子大於置換的矽原子。或者,藉由以碳之取代摻雜在單一結晶矽中提供拉伸應變,因為碳原子小於其置換的矽原子。
不利地,蝕刻劑之使用造成許多選擇性沈積化學產生慢沈積速率,使得藉由省略圖案化以及蝕刻步驟獲得的一些或所有產量歸因於較慢的沈積速率而失去。此外,摻雜劑間隙地併入矽內之域或叢集中之趨勢而非取代晶格結構中之矽原子常使取代摻雜複雜。因此,已發展了執行經摻雜的半導體材料之選擇性磊晶沈積之改良的方法。
在本發明之一實施例中,一種沈積摻雜碳之磊晶半導體層之方法包括在容納具有暴露的單晶材料之經圖案化的基板之處理腔室中維持大於約700托之壓力。此方法更包括提供矽源氣體之流動至處理腔室。矽源氣體包括二氯矽
烷。此方法更包括提供碳前驅物之流動至處理腔室。此方法更包括選擇性地將摻雜碳之磊晶半導體層沈積於暴露的單晶材料上。
在本發明之另一實施例中,一種方法包括在處理腔室中定位經圖案化的基板。經圖案化的基板具有由場隔離氧化物遮罩界定之半導體材料之多個暴露場。此方法更包括提供(SiHz
Cl 3-z
)x
CH4-x-y
Cl y
之流動至處理腔室,其中對於SiH3
Cl 3-z
群中之每一者,1x4以及0y3,且(x+y)4以及0z3。此方法更包括提供矽源氣體之流動至處理腔室。此方法更包括選擇性地將摻雜碳之磊晶半導體材料沈積於半導體材料之多個暴露場上。在沈積期間,將處理腔室維持在大於約500托之壓力下。以大於約5 nm min-1
之速率,將摻雜碳之磊晶半導體材料沈積於半導體材料之多個暴露場上。
在本發明之另一實施例中,一種在反應腔室中於基板上形成電晶體設備之方法包括於基板上在多個淺渠槽隔離元件(trench isolation element)之間界定多個活性區域。此方法更包括提供二氯矽烷之流動至反應腔室中。此方法更包括提供(SiHz
Cl 3-z
)x
CH4-x-y
Cl y
之流動至反應腔室中,其中對於SiH3
Cl 3-z
群中之每一者,1x4以及0y3,且(x+y)4以及0z3。此方法更包括以第一沈積速率d1
沈積拉伸應變Si:C材料於活性區域上。第一沈積速率d1
大於約5 nm min-1
。此方法更包括以第二沈積速率d2
沈積Si:C材料於渠槽隔離元件上,其中d1 100d2
。
在本發明之另一實施例中,一種用於沈積半導體材料之裝置包括二氯矽烷蒸氣源。此裝置更包括(SiHz
Cl 3-z
)x
CH4-x-y
Cl y
蒸氣源,其中對於SiH3
Cl 3-z
群中之每一者,1x4以及0y3,且(x+y)4以及0z3。此裝置更包括載氣源(carrier gas source)。此裝置更包括氣體分佈網路(gas distribution network),此氣體分佈網路連接二氯矽烷蒸氣源、(SiHz
Cl 3-z
)x
CH4-x-y
Cl y
蒸氣源以及載氣源至經組態用於在大氣壓力下沈積半導體材料之單一晶圓化學氣相沈積腔室。此裝置更包括控制系統(control system),此控制系統經組態以在適合於將Si:C材料選擇性沈積於沈積腔室內之基板之部分上而不沈積於基板之其他部分上的條件下傳遞二氯矽烷蒸氣以及(SiHz
Cl 3-z
)x
CH4-x-y
Cl y
蒸氣至氣體分佈網路。
介紹。
本文中所揭露的是執行半導體材料(包括原位摻雜碳之半導體材料)之選擇性磊晶沈積之改良方法的例示性實施例。本文中揭露的某些CVD技術產生具有改良的晶體品質、合併的摻雜劑之改良的電活性以及改良的生長速率之半導體薄膜。在某些實施例中,在大氣條件下,使用二氯矽烷作為矽前驅物、摻雜劑氫化物以及可選的HCl
來改良選擇性,高n-摻雜選擇性沈積為可能的。視情況,將諸如甲基矽烷(CH3
SiH3
)之碳前驅物添加至處理氣體混合物以形成包括碳之薄膜。視情況,以高摻雜劑合併以及高沈
積速率,在高於低壓化學氣相沈積(low pressure chemical vapor deposition,“LPCVD”)之壓力以及減小的壓力化學氣相沈積(reduced pressure chemical vapor deposition,“RPCVD”)壓力狀況(較佳地大於約500托,更佳地大於約700托,且最佳地在大氣壓力下)下之沈積為選擇性的。
本文中所揭露之製程尤其對於沈積含矽薄膜於多種基板上為可用的,但某些實施例特別可用於在“混合基板”上之沈積。如本文中所使用,術語“混合基板”指代(除了其常規意義之外)具有兩個或兩個以上不同類型的表面之基板。表面相互間以一或多種不同方式而不同。舉例而言,在某些應用中,表面由含不同矽(諸如,矽、氮化矽以及二氧化矽)之材料製成。即使在表面包括相同元素之應用中,若其他特性(諸如表面電特性)不同,則仍將表面考慮為不同。舉例而言,在典型應用中,含矽層選擇性地形成於半導體材料上,同時使在鄰近介電質上之沈積最小化,且更佳地避免在鄰近介電質上之沈積。典型介電材料之實例包括二氧化矽、氮化矽、金屬氧化物以及金屬矽酸鹽。
混合基板包括具有第一部分(具有第一表面形態)以及第二部分(具有第二表面形態)的基板。如本文中所使用,“表面形態”指代(除了其常規意義之外)基板表面之結晶結構。舉例而言,多晶形態為由有序晶體之無序排列組成且從而具有中間程度之次序的結晶結構。使多晶材料中之原子在晶體內有序,但晶體自身缺乏相對於彼此之間
的長範圍次序。非晶形態為具有低程度之次序的非結晶結構,因為原子缺乏明確的週期排列。其他表面形態包括微晶以及單一結晶。磊晶薄膜之特徵在於與其上生長磊晶薄膜之基板相同的晶體結構以及定向(其通常為單晶形態)。
在許多半導體應用中特別有用之單晶形態為具有高程度次序之結晶結構。更具體言之,如本文中所使用,形態描述“單晶”以及“單一結晶”指代(除了其常規意義之外)其中具有容許數目之疵點之顯著大的晶體結構。層體之結晶性一般屬於自非晶至多晶至單一結晶之連續體;一般熟習此項技術者能夠易於判定是否將晶體結構考慮為單一結晶,不管疵點之低密度。具有單晶形態之材料中之原子以在相對長的距離(按原子比例)上持續的晶格狀結構經排列。
舉例而言,混合基板之特定實例包括單晶以及多晶;單晶以及非晶;磊晶以及多晶;磊晶以及非晶;單晶以及介電質;磊晶以及介電質;導體以及介電質;以及半導體以及介電質。術語“混合基板”包括具有兩個以上不同類型之表面的基板,且從而,本文中描述用於將含矽薄膜沈積於具有兩種類型之表面的混合基板上之某些方法亦可應用於具有三個或三個以上不同類型之表面的混合基板。
如本文中所使用,術語“基板”指代(除了其常規意義之外)其上需要沈積之工件或暴露至沈積氣體之表面。基板之實例包括單晶矽晶圓;絕緣半導體(semiconductor on insulator,“SOI”)基板;或沈積於下層基板上之磊晶矽、
矽鍺或III-V材料。基板不限於晶圓,且亦包括玻璃、塑料或在半導體處理中使用之其他基板。半導體處理通常用於積體電路之製造,其需要特別嚴格的品質要求,但此等處理亦用於多種其他領域中。舉例而言,半導體處理技術常用於使用各種各樣技術之平板顯示器的製造中,以及微機電系統(microelectromechanical systems,“MEMS”)之製造中。
如本文中所使用,“選擇性”沈積指代(除了其常規意義之外)其中沈積以兩個顯著不同的生長速率在兩個不同表面上同時發生之沈積製程。以最少10倍快於第二表面上之沈積速率的速率在第一表面上發生沈積。較佳地,以最少100倍快於第二表面上之沈積速率的速率在第一表面上發生沈積。“完全”選擇性沈積製程通常指代其中沈積發生於第一表面上同時在第二表面上不存在淨沈積之製程。其他沈積比用於選擇性沈積之其他實施例中。
根據各種CVD方法合適地進行沈積,但當根據本文中揭露之CVD方法進行沈積時獲得最大益處。藉由使用CVD合適地實踐所揭露之方法,CVD包括電漿增強型化學氣相沈積(plasma-enhanced chemical vapor deposition,“PECVD”)、紫外光輔助CVD或熱CVD。然而,與PECVD相比,熱CVD有利地使得有效地達成選擇性沈積,其具有損壞基板以及裝備之減小的風險。
通常,至基板表面之前驅物氣體的傳遞藉由引入氣體混合物至具有安置於其中之基板之合適的腔室而完成。在
實例實施例中,腔室為經輻射加熱之單一晶圓、單一通道、層狀水平氣流腔室。此類型之合適的反應器包括EpsilonTM
系列的單一晶圓反應器,其可購自Arizona,Phoenix的ASM America,Inc.。雖然本文中所揭露之方法可用於替代性反應器組態(諸如,簇射頭配置),但已發現在使用旋轉基板之EpsilonTM
腔室之水平、單一通道層狀氣流配置中,增加的均一性以及沈積速率之益處特別有效。在使用低處理氣體滯留時間之製程中,此等優勢特別明顯。視情況,原位引入電漿產物或將其引入至遠端電漿產生器之下游,但如上所提及,熱CVD為較佳的。
在有效地將含矽薄膜沈積於基板上之基板溫度下進行熱CVD。較佳地,在小於700℃之溫度下進行熱CVD。舉例而言,在較佳實施例中,在約350℃至約675℃之範圍內,較佳地在約500℃與約660℃之間,且最佳地在約600℃與約650℃之間進行熱CVD。舉例而言,在一實施例中,在約630℃與約650℃之間進行熱CVD。此等溫度範圍為可調的以說明實際製造之現實,諸如熱預算、沈積速率、腔室容積(包括單一晶圓以及分批反應器)、較佳的全部以及部分壓力以及類似者。使用多種方法(諸如,電阻加熱以及燈加熱)加熱基板。
藉由使用二氯矽烷之CVD將摻雜劑併入選擇性沈積的含矽薄膜較佳藉由使用摻雜劑前驅物之原位摻雜來完成。n型電摻雜劑之較佳前驅物包括摻雜劑氫化物,其包括n型摻雜劑前驅物,諸如膦、砷蒸氣以及胂。矽烷基膦
[(H3
Si)3-x
PRx
]以及矽烷基胂[(H3
Si)3-x
AsRx
](其中,0x2以及R=H及/或D)為磷與砷摻雜劑之替代性前驅物。此等摻雜劑前驅物可用於如上下文描述之較佳薄膜(較佳地,摻雜磷之矽以及Si:C薄膜)的製備。如本文中所使用,“Si:C”表示包括矽、碳以及視情況諸如摻雜劑之其他元素的材料。“Si:C”本身並非化學計量化學式,且從而不限於包括所指示之元素的特定比之材料。然而,在較佳實施例中,摻雜碳之矽薄膜具有小於約3%的碳含量。
實例製程綜合。
圖1說明實例矽晶圓基板10。視情況,基板10包括形成於晶圓或SOI基板上之磊晶層。場隔離區12已藉由習知淺渠槽隔離(“STI”)技術而形成,其界定STI元件之間的窗中之活性區域14。或者,其他合適的方法用以定義場絕緣材料,包括矽局部氧化(local oxidation of silicon,“LOCOS”)以及LOCOS或STI之許多變化型式。通常,若干活性區域同時由基板10上之STI界定,且STI常形成相互間之網狀分離電晶體活性區域14。在實例實施例中,在適合於通道形成之位準下背景摻雜基板。
圖2說明在於活性區域14上形成閘電極16後的基板10。在圖2中說明的實例實施例中,將閘電極16說明為傳統的矽電極,其由絕緣間隔劑及頂蓋層包圍,且與下層基板10隔有閘介電層18。然而,在其他實施例中,電晶體閘極堆疊具有其他組態。舉例而言,在一些處理流程中,省略間隔劑。在所說明的實施例中,閘電極16界定活性區
域14內之電晶體閘電極16之任一側上的源極/汲極區20。閘電極16亦界定閘電極16下以及源極與汲極區20之間的通道區22。
圖3說明移除暴露的矽之選擇性蝕刻步驟之結果。在實例實施例中,反應性離子蝕刻(reactive ion etch,“RIE”)用以增強垂直側壁清晰度以及減少對暴露的氧化物與氮化物材料之損壞,但應瞭解,本文中之方法可應用於斜壁凹座。較佳地,凹座之深度小於待沈積於凹座中之層體的臨界厚度,但藉由沈積至大於臨界厚度之深度亦獲得通道上之應變。“臨界厚度”為應變層在一特定組之條件下自發放鬆之厚度。當經蝕刻之暴露的矽基本上為活性區域14之源極/汲極區20時,此蝕刻被稱作源極/汲極凹座。在某些實施例中,視情況使用清除源極/汲極區20上之閘介電層18之初步步驟。
圖4展示使用選擇性沈積製程再填充下凹的源極/汲極區20之結果。舉例而言,在某些實施例中,使用本文中所揭露之技術將拉伸應變n--摻雜Si:C薄膜沈積至下凹的源極/汲極區20中。用以獲得此沈積之實例饋入氣體包括二氯矽烷、諸如膦之摻雜劑氫化物、CH3
SiH3
以及HCl
之混合物。有利地,選擇性沈積的異質磊晶(heteroepitaxial)薄膜30填充源極/汲極區20且施加應力於通道區22上。在所說明的實施例中,異質磊晶薄膜30近似與通道區22之表面齊平。在沈積前,視情況清潔暴露、下凹的半導體表面(諸如藉由HF蒸氣或HF持續浸漬),藉此剩下其上
之磊晶的乾淨表面。
圖5說明形成具有擴展的異質磊晶薄膜32之升高的源極/汲極區20之選擇性沈積之可選擴展。當通道區22之表面下的異質磊晶薄膜30之部分在通道區22上施加橫向應力時,基板之表面上之擴展的異質磊晶薄膜32無需包括背離自然矽晶格常數之同樣多的或任何晶格。因此,視情況,對於在通道區22之表面上的選擇性沈積之部分,逐漸減少或停止碳源氣體,而繼續二氯矽烷流動。在此等實施例中,在擴展的異質磊晶薄膜32之沈積期間,可繼續電摻雜劑源氣體(特別是膦)。
圖5之擴展的異質磊晶薄膜32在基板10之表面上有利地提供額外的矽材料。在某些實施例中,經由隨後處理,沈積絕緣層且經由絕緣薄膜進行至源極以及汲極區20之接觸。額外的矽材料有助於矽化物接觸之形成,經由歐姆接觸之形成,矽化物接觸減小了接觸電阻。因此,在此等實施例中,鎳、鈷或其他金屬經沈積至接觸孔中,且允許其在不干擾下層源極/汲極區20之淺接面之電特性的情況下消耗過量的矽。
圖6展示其中圖2之結構經受拉伸應變n--摻雜Si:C薄膜之選擇性沈積(而不介入源極/汲極下凹處理)之修改的實施例。在此情況下,選擇性沈積升高源極/汲極區20,藉此提供過量的矽34以准許接觸矽化在不毀壞淺接面之情況下的消耗。視情況,在其中整個過量的矽34待由接觸矽化消耗之實施例中省略摻雜劑。
有利地,拉伸應變n--摻雜Si:C薄膜之選擇性性質消除了隨後的自場區上移除過量沈積之圖案化以及蝕刻步驟。即使有缺陷的選擇性亦有利地允許使用定時的濕式蝕刻來移除絕緣表面上之非所要的沈積,而不需要昂貴的遮罩步驟。此外,不管相對低的溫度,以相對高的沈積速率獲得優越的薄膜品質,從而改良產量。舉例而言,某些製程實施例用以形成異質雙極電晶體(heterobipolar transistor,“HBT”)之基礎結構。其他製程實施例用以形成升高的源極/汲極(elevated source/drain,“ESD”)結構、下凹的源極/汲極結構、用於動態隨機存取記憶體(dynamic random access memory,“DRAM”)及/或靜態隨機存取記憶體(static random access memory,“SRAM”)之接觸插塞。
拉伸應變n-摻雜Si:C薄膜之沈積。
單晶矽之晶格常數為約5.431 Å,而菱形形式之單晶碳歸因於與矽原子相比較小尺寸的碳原子而具有約3.567 Å之晶格常數。因此,藉由以碳之取代摻雜,可能將拉伸應變引入至單一結晶矽中。另外,較小碳原子之取代合併為大摻雜劑原子創造出較多空間。對於此製程,將少量的有機矽前驅物(諸如,單甲基矽烷)添加至處理腔室作為矽以及碳之源。
拉伸應變Si:C薄膜有利地展現出半導體中之改良的電載流子遷移率(electrical carrier mobility)以及特別地電洞遷移率(hole mobility),藉此改良設備效率。當Si:C薄膜經沈積至小於臨界厚度之厚度且諸如膦之摻雜劑氫化物
經添加至處理流程時,經沈積的層體保持拉伸應變且電洞遷移率得到顯著改良,其在n通道金屬氧化物半導體(n-channel metal oxide semiconductor,“NMOS”)應用中特別有利。此類似於用於p通道金屬氧化物半導體(p-channel metal oxide semiconductor,“PMOS”)設備中之摻雜硼之矽鍺薄膜。
此外,使用膦摻雜Si:C薄膜提供即使當使用其他n型摻雜劑時不存在的優勢。舉例而言,當Si:C薄膜摻雜有膦時,薄膜中之拉伸應變得到維持,或甚至得到稍微增加(例如,增加約0.2%)。Si:C晶格中之碳的存在抑制了磷摻雜劑擴散,藉此使得形成具有明顯摻雜劑分佈之薄膜。當使用其他n型摻雜劑時,難以生長具有明顯摻雜劑分佈之薄膜。
然而,自實際觀點看,低電阻n-摻雜Si:C薄膜之選擇性磊晶生長呈現出在p-摻雜矽鍺薄膜之選擇性磊晶生長之環境中不存在的挑戰。舉例而言,申請者已判定藉由較低沈積溫度以及較高生長速率增強取代碳合併。然而,隨著沈積溫度之降低,Si:C薄膜之生長速率減小了。此外,將二氯矽烷用作用於單晶矽薄膜之選擇性磊晶生長的矽前驅物通常導致低生長速率,且藉由使用相對高的沈積溫度(例如,約800℃與約850℃之間)而得以增強。使用習知沈積技術,在小於約750℃之溫度下將二氯矽烷供應為矽前驅物導致氯終止表面(僅具有可忽略的解吸附)。
如本文中所揭露,HCl
常用以增強選擇性,特別在使
用自身不參與選擇性生長之矽前驅物之製程中,此等矽前驅物諸如矽烷、Si2
H6
、Si3
H8
以及部分或全部氯化的二矽烷(亦即,Si2
Hn
Cl 6-n
,其中1n6)。咸信,在矽沈積期間,在反應腔室中之HCl
的存在導致蝕刻產物SiCl 3
H以及SiCl 4
,其並不受理論限制。在此情況下,有核矽表面之蝕刻根據下列淨反應進行:Si+3HCl
→ SiCl 3
H↑+H2
↑ Si+4HCl
→ SiCl 4
↑+2H2
↑
歸因於在矽基板之表面上的此等化學反應之質量改變影響在基板表面處的各別物質之濃度。具體言之,在基板表面處的物質之濃度受到此等化學反應與由濃度以及溫度梯度產生之擴散通量(diffusion flux)之間的平衡支配。
藉由殘餘氣體分析獲得之能譜提供關於產生蝕刻產物SiCl 3
H以及SiCl 4
之連續反應的額外資訊。咸信此等反應如下(並不受理論限制):
*SiCl 2
+HCl
→ SiCl 3
H↑
星號符號*指示矽基板之表面上的SiCl
以及SiCl 2
之化學吸附狀態。所得之SiCl 3
H並未保留於基板表面上,因為其具有相對低的沸點(約32℃)以及相對高的蒸氣壓力。由於在矽基板附近存在氣相的HCl
之相對大的濃度,所以SiCl 3
H與HCl
反應以形成SiCl 4
,其根據下列反應:
此反應發生於氣相中。
二氯矽烷以及SiHCl 3
皆為用於單晶矽之磊晶生長之合適的矽前驅物,其根據下列反應:SiCl 2
H2
→ SiCl 2
+H2
SiCl 2
H2
→ SiHCl
+HCl
SiHCl 3
→ SiCl 2
+HCl
*SiCl 2
+H2
→ Si(s)+2HCl
↑
沈積或蝕刻之平衡根據下列反應
且由以下之比率判定
在實例實施例中,藉由保持二氯矽烷之流量相對恆定同時增加至反應腔室中之HCl
的流量直至達成選擇性沈積而調節此平衡。在替代性實施例中,減少H2
之流量以支持蝕刻製程,或增加H2
之流量以支持沈積製程。藉由減少稀釋、增加蝕刻劑之部分壓力以及減小氣體速度,減少H2
之流量幫助改良前驅物消耗。
諸如矽烷、Si2
H6
、Si3
H8
以及部分或全部氯化之二矽烷(亦即,Si2
Hn
Cl 6-n
,其中1n6)之其他矽前驅物亦為用於單晶矽之磊晶生長之合適的矽前驅物,尤其當用作亦包括二氯矽烷之矽前驅物氣體混合物之組份時。應瞭解,由於根據半導體處理標準,市購的HCl
通常具有高污染位準(例如,濕氣),所以包括二氯矽烷之矽前驅物氣體混合物之使用有利地使能夠減少存在於反應腔室中之HCl
的量,藉此導致較高的薄膜純度。不存在HCl
時之二氯矽烷的吸熱反應變成存在HCl
時之發熱反應。在其中矽源氣體基本上由二氯矽烷組成之實施例中,在本文中所揭露之反應溫度以及壓力下,存在相對少的二氯矽烷分解,從而導致相對低的前驅物利用。藉由逐漸減少儲存於矽烷、Si2
H6
、Si3
H8
或者部分或全部氯化之二矽烷(亦即,Si2
Hn
Cl 6-n
,其中1n6)分子中之能量,將諸如矽烷、Si2
H6
、Si3
H8
及/或部分或全部氯化之二矽烷(亦即,Si2
Hn
Cl 6-n
,其中1n6)之一或多個補充矽源添加至反應腔室,或者以一或多個此等補充矽源置換二氯矽烷使反應變得更發熱。此使前驅物分解之能量障壁較易於被克服,從而導致較佳的前驅物利用以及較高的生長速率。
舉例而言,在某些實施例中,將矽烷而非二氯矽烷用作矽前驅物。在其中將約75 sccm至約100 sccm的矽烷提供至反應腔室之實施例中,將HCl
流量增加至約80 sccm與約160 sccm之間。在此等實施例中,對於給定HCl
流動速率,可調整矽烷流動速率,或者對於給定矽烷流動速率,可調整HCl
流動速率。如本文中所描述,在其中將矽烷而非二氯矽烷用作矽前驅物之實施例中,可達成較高的前驅物利用,且因此可使用較低的前驅物流動速率。
在某些實施例中,經由HCl
以及PCl 3
(其為揮發性蝕刻產物)之形成,使用諸如PH3
之摻雜劑氫化物移除表面氯。根據本文中提出之反應,與固有矽生長相比,表面氯之移除有利地改良生長速率。從而,當將PH3
供應至反
應腔室時,PH3
流量之部分不對摻雜有影響,而對PCl 3
之形成有影響。在此等實施例中,PCl 3
根據下列反應而形成:*3SiCl 2
+PH3
→ 3Si(s)+PCl 3
+3HCl
↑
如上文所揭露,使用諸如矽烷、Si2
H6
、Si3
H8
以及部分或全部氯化之二矽烷(亦即,Si2
Hn
Cl 6-n
,其中1n6)之補充矽源使得反應變得更發熱。在其中將PH3
供應至反應腔室之實施例中,此增強PCl 3
、P2
以及P4
之形成。
在其中將諸如CH3
SiH3
之甲基矽烷用作碳源來沈積摻雜碳之薄膜的某些實施例中,咸信沈積根據下列反應而進行(並不受理論限制):*3SiCl 2
+CH3
SiH3
→ 4Si(s)+C(s)+6HCl
↑
在一實施例中,低電阻率單晶矽薄膜包括取代摻雜的碳以及電活性摻雜劑(諸如,磷)。較佳地在0.1%與5%之間,更佳地在0.5%與2%之間,且最佳地在0.8%與1.2%之間取代摻雜碳。視情況,使用x射線繞射以及Kelieres/Berti反應判定取代摻雜之位準。薄膜較佳地具有約1.0 mΩ‧cm或更小的電阻率,更佳地0.7 mΩ‧cm或更小的電阻率,且最佳地0.5 mΩ‧cm或更小的電阻率。
在實例實施例中,在較佳地約350℃與約675℃之間、更佳地約500℃與約660℃之間且最佳地約600℃與約650℃之間的溫度下沈積低電阻率單晶矽薄膜。舉例而言,在一實施例中,在約630℃與約650℃之間進行沈積。不管此低沈積溫度,較佳地在大於約2 nm min-1
、更佳地在大於約5 nm min-1
且最佳地在大於約8 nm min-1
下生長薄膜。
藉由控制沈積時間,調整薄膜之厚度;較佳地,薄膜具有約20 nm與約80 nm之間且較佳地約25 nm與約50 nm之間的厚度。薄膜較佳地具有約0.4 mΩ‧cm與約1.1 mΩ‧cm且更佳地0.5 mΩ‧cm與約1.0 mΩ‧cm之間的電阻率。反應腔室中之二氯矽烷的部分壓力較佳地處於約10托與約50托之間,更佳地約20托與約40托之間且最佳地約25托與約35托之間。表A中提供了饋入氣體混合物之各種組份之例示性流動速率。
在此實例中,反應腔室之總壓力較佳地大於約500托,更佳地大於約700托且最佳地在約大氣壓力下。雖然在大氣壓力或接近大氣壓力下之沈積與多數選擇性沈積製程相反,但申請者已發現在此壓力狀況下之選擇性沈積對於本文中揭露之某些製程為可工作且有利的。詳言之,使用本文中揭露之某些製程的沈積有利地導致較高的沈積速率以及良好的碳合併位準。
如上提及,包括二氯矽烷之矽前驅物氣體混合物之使用有利地使能夠減少存在於反應腔室中之HCl
的量。因為將HCl
引入反應腔室中亦造成將污染物引入反應腔室中,所以減少在反應腔室中之HCl
的量通常一般地導致增
加的薄膜純度。
其他製程組份用於其他實施例中。具體言之,視待沈積之薄膜之特徵而定,處理氣體混合物包括由矽源、碳源以及磷源組成之群中選出之一或多個前驅物。此等源之特定實例包括:作為矽源之矽烷、Si2
H6
、Si3
H8
、部分或全部氯化之二矽烷(亦即,Si2
Hn
Cl 6-n
,其中1n6)以及四矽烷;碳源;作為碳以及矽源之單矽烷基甲烷、二矽烷基甲烷、三矽烷基甲烷、四矽烷基甲烷以及特別甲基矽烷,諸如單甲基矽烷、二甲基矽烷、三甲基矽烷、四甲基矽烷、乙基矽烷、二乙基矽烷、三乙基矽烷、四乙基矽烷以及甲基乙基矽烷;以及作為諸如磷之電活性n型摻雜劑之源的各種摻雜劑前驅物。在一些實施例中,碳源包括化學式為(SiHz
Cl 3-z
)x
CH4-x-y
Cl y
之氯甲基矽烷,其中1x4,以及0y3,以及(x+y)4,以及
對於SiH3
Cl 3-z
群中之每一者,0z3。
在其他實施例中,碳源包括H3
Si-CH2
-SiH2
-CH3
(1,3-二矽丁烷)。
氯甲基矽烷有利地提供碳源以及蝕刻劑源。咸信由於氯甲基矽烷中之碳原子因矽原子而相互分開,所以氯甲基矽烷促進了在分子以及原子位準上之碳合併,其並不受理論限制。此減小了碳原子在沈積期間一起鍵接入碳鏈以及叢集中之趨勢。氯甲基矽烷之使用亦傾向於增強選擇性以
及增加薄膜生長速率,同時亦使能夠在不修改蝕刻劑流動速率之情況下操縱沈積的薄膜中之碳濃度。在實例實施例中,結合用於在大氣壓力下的Si:C薄膜之選擇性沈積之單獨的矽源以及單獨的蝕刻劑使用氯甲基矽烷。
藉由提供具有類似於本文中所揭露之矽前驅物以及蝕刻劑之分解速率的分解速率之碳前驅物,氯甲基矽烷之使用有利地增強薄膜均一性。具體言之,若給定某些處理條件(諸如,某些沈積溫度以及壓力),則在此等條件下以類似速率分解之前驅物以及蝕刻劑之使用促進了薄膜均一性。氯之量以及從而特定氯甲基矽烷之重量影響彼氯甲基矽烷之分解速率。
在修改的實施例中,替代H2
或除了H2
之外,將氦用作主載流子流。如本文中所描述,此等實施例提供將二氯矽烷分解為SiCl 2
以及H2
的更有效分解。對於使用HCl
之矽蝕刻,不需要H2
。在其他實施例中,替代H2
被用作主載流子流之其他惰性氣體包括(但不限於)氬、氖、氙以及氮。
本文中所揭露之某些實施例有利地致能包括取代摻雜的碳以及電活性摻雜劑之低電阻率單晶矽薄膜的選擇性沈積。在某些實施例中,以約5 nm min-1
與約14 nm min-1
之間的商業有用速率生長此等薄膜。與二氯矽烷組合使用摻雜劑氫化物(諸如,PH3
)增加了所得之薄膜的生長速率。二氯矽烷之高部分壓力之使用有利地產生足夠的蝕刻劑以獲得選擇性沈積,而無需待添加至製程之實質量的
HCl
。本文中所揭露之某些方法可用於選擇性沈積具有良好晶體品質、低電阻率(薄層電阻)、低表面粗糙度以及低缺陷密度之n摻雜Si:C薄膜。
此外,當使用本文中揭露之某些實施例時,亦減小了微負載效應。在於經圖案化的晶圓上之選擇性沈積之環境中,微負載效應指代晶圓表面上之經圖案化的窗內之生長速率以及薄膜組合物之局部沈積圖案非均一性。舉例而言,切面為造成選擇性沈積圖案之邊緣周圍的磊晶層變薄的微負載效應。切面不利地使在磊晶沈積後執行的自對準矽化步驟複雜。在某些實施例中,減小沈積壓力及/或減小沈積溫度幫助減少或消除微負載效應。在一實施例中,在經選擇的沈積窗內,在沈積窗上存在小於20%的非均一性。當使用本文中所揭露之某些實施例時,在晶圓表面上,少許(若有)負載效應為可偵測的。詳言之,已發現使用包括二氯矽烷之矽前驅物、n型摻雜劑以及大氣壓力沈積環境之實施例在減小負載效應中特別有效。發現非均一性在晶圓表面上各窗間為大約相同的,不管窗尺寸的差異。
從而,x cm2
之窗的平均非均一性將與約x cm2
之窗的平均非均一性有小於約5%的不同。
在某些實施例中,本文中所揭露之方法用以在(100)矽基板之凹進窗中選擇性地沈積拉伸應變Si:C薄膜。通常,當在<110>方向中使遮罩對準(100)矽基板中之蝕刻凹進窗時,暴露(111)表面。在某些組態中,(111)表面上之拉伸應變半導體薄膜之選擇性沈積導致薄膜中之增加
的缺陷密度。然而,藉由在<100>方向中使遮罩對準(100)矽基板中之蝕刻凹進窗,未暴露(111)表面。因此,當本文中所揭露之某些方法用以在(100)矽基板之凹進窗中選擇性沈積拉伸應變Si:C薄膜時,視情況旋轉遮罩45°,使得其在<100>方向中被對準,藉此防止(111)表面之暴露。
實例反應器系統。
圖7說明使用載氣、矽前驅物以及蝕刻劑氣體之實例反應器系統100。在一實施例中,矽前驅物為二氯矽烷。如圖示,淨化器102經定位於載氣源104之下游。一些惰性氣流以起泡器106之形式被分流至汽化器,載氣自起泡器106載運經汽化的二氯矽烷108。或者,二氯矽烷經加熱以增加液體之上的空間中之二氯矽烷的蒸氣壓力,且當載氣穿過彼空間時,其獲得二氯矽烷。在任一情況下,液體反應物源容器106之下游為分析器110,分析器110藉由量測經由蒸氣之聲音的速度而判定流動氣體之反應物濃度。基於彼量測,經軟體控制的下游質量流量控制器(mass flow controller,“MFC”)112之設定點由分析器110改變。此等分析器可市購。
經由MFC 112之流量與經由主載氣MFC 114之主載氣以及在氣體面板處(沈積腔室122之注入歧管120的上游)之其他反應物合併。或者,流量可在反應器系統100內之任一點合併以供應所得之饋入氣體至基板。亦提供蝕刻劑氣源130,諸如氯蒸氣或氫氯酸蒸氣之源。在所說明的實施例中,亦提供碳源132以及摻雜劑氫化物源134。
如所說明,反應器系統100亦包括中央控制器150,中央控制器150電連接至系統100之各種可控制組份。控制器經程式化以提供氣流、溫度、壓力以及類似物來實踐如本文中描述之容納於反應腔室122內之基板140上的沈積製程。控制器150通常包括記憶體以及微處理器,且可由軟體、硬體或兩者之組合程式化,且可在位於不同實體位置中之處理器之間分佈控制器之功能性。因此,控制器150亦可表示經由系統100分佈的多個控制器。
雖然前述實施方式揭露了本發明之若干實施例,但應理解,本揭露案僅為說明性的且並非本發明之限制。應瞭解,所揭露之特定組態以及操作可與上文描述之彼等不同,且本文中描述之方法可用於除了摻雜的半導體材料之選擇性磊晶之外的環境中。
10‧‧‧矽晶圓基板
12‧‧‧場隔離區
14‧‧‧活性區域
16‧‧‧閘電極
18‧‧‧閘介電層
20‧‧‧源極/汲極區
22‧‧‧通道區
30‧‧‧異質磊晶薄膜/摻雜碳之磊晶半導體層
32‧‧‧擴展的異質磊晶薄膜
34‧‧‧過量的矽
100‧‧‧反應器系統
102‧‧‧淨化器
104‧‧‧載氣源
106‧‧‧起泡器/液體反應物源容器
108‧‧‧經汽化的二氯矽烷
110‧‧‧分析器
112‧‧‧質量流量控制器(MFC)
114‧‧‧主載氣MFC
120‧‧‧注入歧管
122‧‧‧沈積腔室/反應腔室/處理腔室
130‧‧‧蝕刻劑氣源
132‧‧‧碳源/碳前驅物
134‧‧‧摻雜劑氫化物源
140‧‧‧基板
150‧‧‧中央控制器
本文中所揭露之方法以及結構之例示性實施例說明於附圖中,其僅用於說明性目的。圖式包括下列圖,其中相同的數字指示相同零件。
圖1為具有多個場隔離區之實例矽晶圓基板的截面圖。
圖2為在於活性區域中之一者上形成閘電極後的圖1之基板的截面圖。
圖3為執行移除暴露的矽之選擇性蝕刻步驟後的圖2之基板的截面圖。
圖4為在使用選擇性沈積製程以異質磊晶拉伸應變n-摻雜Si:C薄膜再填充下凹的源極/汲極區後的圖3之基板的截面圖。
圖5為在形成升高的源極/汲極區之選擇性沈積之可選擴展後的圖4之基板的截面圖。
圖6為在選擇性沈積拉伸應變n-摻雜Si:C薄膜後的圖2之基板的截面圖。
圖7為根據本發明之實例實施例的使用可用以沈積Si:C層之矽源氣源、蝕刻劑源以及載氣源之裝置的示意圖。
100‧‧‧反應器系統
102‧‧‧淨化器
104‧‧‧載氣源
106‧‧‧起泡器/液體反應物源容器
108‧‧‧經汽化的二氯矽烷
110‧‧‧分析器
112‧‧‧質量流量控制器(MFC)
114‧‧‧主載氣(MFC)
120‧‧‧注入歧管
122‧‧‧沈積腔室/反應腔室/處理腔室
130‧‧‧蝕刻劑氣源
132‧‧‧碳源/碳前驅物
134‧‧‧摻雜劑氫化物源
140‧‧‧基板
150‧‧‧中央控制器
Claims (56)
- 一種沈積摻雜碳之磊晶半導體層之方法,所述方法包括:在容納具有暴露的單晶材料之經圖案化的基板之處理腔室中維持大於約700托之壓力;提供矽源氣體之流動至所述處理腔室,其中所述矽源氣體包括二氯矽烷;提供碳前驅物之流動至所述處理腔室,其中所述碳前驅物包括(SiHz Cl 3-z )x CH4-x-y Cl y ,其中對於SiH3 Cl 3-z 群中之每一者,1x4以及0y3,且(x+y)4以及0z3;選擇性地將所述摻雜碳之磊晶半導體層沈積於所述暴露的單晶材料上。
- 如申請專利範圍第1項所述之沈積摻雜碳之磊晶半導體層之方法,其中以大於約5 nm min-1 之速率將所述摻雜碳之磊晶半導體層沈積於所述暴露的單晶材料上。
- 如申請專利範圍第1項所述之沈積摻雜碳之磊晶半導體層之方法,更包括提供n摻雜劑氫化物之流動至所述處理腔室。
- 如申請專利範圍第1項所述之沈積摻雜碳之磊晶半導體層之方法,更包括提供HCl 之流動至所述處理腔室。
- 如申請專利範圍第1項所述之沈積摻雜碳之磊晶半導體層之方法,更包括以在約10 sccm與約160 sccm之間的流動速率提供HCl 之流動至所述處理腔室。
- 如申請專利範圍第1項所述之沈積摻雜碳之磊晶半導體層之方法:更包括以在約80 sccm與約160 sccm之間的流動速率提供HCl 之流動至所述處理腔室;以及其中所述矽源氣體更包括矽烷。
- 如申請專利範圍第1項所述之沈積摻雜碳之磊晶半導體層之方法:更包括以在約10 sccm與約40 sccm之間的流動速率提供HCl 之流動至所述處理腔室;以及其中所述矽源氣體混合物基本上由二氯矽烷組成。
- 如申請專利範圍第1項所述之沈積摻雜碳之磊晶半導體層之方法,更包括提供載流子至所述處理腔室,其中所述載流子選自由氫以及氦組成之群族。
- 如申請專利範圍第1項所述之沈積摻雜碳之磊晶半導體層之方法,更包括以在約1 slm與約10 slm之間的流動速率提供載流子至所述處理腔室。
- 如申請專利範圍第1項所述之沈積摻雜碳之磊晶半導體層之方法,其中所述矽源氣體更包括矽烷。
- 如申請專利範圍第1項所述之沈積摻雜碳之磊晶半導體層之方法,其中所述矽源氣體基本上由二氯矽烷組成。
- 如申請專利範圍第1項所述之沈積摻雜碳之磊晶半導體層之方法,其中所述矽源氣體更包括矽烷、Si3 H8 以及Si2 Hn Cl 6-n (其中,1n6)中之至少一者。
- 如申請專利範圍第1項所述之沈積摻雜碳之磊晶 半導體層之方法,其中所述矽源氣體在所述處理腔室中具有約25托與約35托之間的部分壓力。
- 如申請專利範圍第1項所述之沈積摻雜碳之磊晶半導體層之方法,其中以在約200 sccm與約500 sccm之間的流動速率提供所述矽源氣體至所述處理腔室。
- 如申請專利範圍第1項所述之沈積摻雜碳之磊晶半導體層之方法,其中以在約50 sccm與約70 sccm之間的流動速率提供所述碳前驅物至所述處理腔室。
- 如申請專利範圍第1項所述之沈積摻雜碳之磊晶半導體層之方法,其中所述碳前驅物選自由C(SiH3 )4 、CH3 SiH3 以及1,3-二矽丁烷組成之群。
- 如申請專利範圍第1項所述之沈積摻雜碳之磊晶半導體層之方法,更包括以在約100 sccm與約500 sccm之間的流動速率提供n摻雜劑氫化物之流動至所述處理腔室。
- 如申請專利範圍第1項所述之沈積摻雜碳之磊晶半導體層之方法,更包括提供PH3 之流動至所述處理腔室。
- 如申請專利範圍第1項所述之沈積摻雜碳之磊晶半導體層之方法,其中在所述處理腔室中維持之所述壓力為大氣壓。
- 如申請專利範圍第1項所述之沈積摻雜碳之磊晶半導體層之方法,其中所述摻雜碳之磊晶半導體層包括單晶矽中約0.8%與約1.2%之間取代摻雜的碳。
- 如申請專利範圍第1項所述之沈積摻雜碳之磊晶 半導體層之方法,其中摻雜碳之磊晶半導體層具有小於約0.7 mΩ‧cm之電阻率。
- 如申請專利範圍第1項所述之沈積摻雜碳之磊晶半導體層之方法,其中摻雜碳之磊晶半導體層具有小於約0.5 mΩ‧cm之電阻率。
- 如申請專利範圍第1項所述之沈積摻雜碳之磊晶半導體層之方法,其中在所述摻雜碳之磊晶半導體層之沈積期間在約630℃與約650℃之間的溫度下固持所述經圖案化的基板。
- 如申請專利範圍第1項所述之沈積摻雜碳之磊晶半導體層之方法,其中在所述摻雜碳之磊晶半導體層之沈積期間在約600℃與約660℃之間的溫度下固持所述經圖案化的基板。
- 如申請專利範圍第1項所述之沈積摻雜碳之磊晶半導體層之方法,其中在所述摻雜碳之磊晶半導體層之沈積期間在約600℃與約675℃之間的溫度下固持所述經圖案化的基板。
- 如申請專利範圍第1項所述之沈積摻雜碳之磊晶半導體層之方法,其中所述處理腔室為單一晶圓處理腔室。
- 一種沈積半導體材料的方法,包括:在處理腔室中定位經圖案化的基板,所述經圖案化的基板具有由場隔離氧化物遮罩界定之半導體材料之多個暴露場;提供(SiHz Cl 3-z )x CH4-x-y Cl y 之流動至所述處理腔室, 其中對於SiH3 Cl 3-z 群中之每一者,1x4以及0y3,且(x+y)4以及0z3;提供矽源氣體之流動至所述處理腔室,其中所述矽源氣體為二氯矽烷;以及選擇性地將摻雜碳之磊晶半導體材料沈積於半導體材料之所述多個暴露場上;其中在所述沈積期間,將所述處理腔室維持在大於約500托之壓力下;以及其中以大於約5 nm min-1 之速率將所述摻雜碳之磊晶半導體材料沈積於半導體材料之所述多個暴露場上。
- 如申請專利範圍第27項所述之沈積半導體材料的方法,更包括提供蝕刻劑之流動至所述處理腔室。
- 如申請專利範圍第27項所述之沈積半導體材料的方法,其中所述摻雜碳之磊晶半導體材料經沈積至約20 nm與約80 nm之間的厚度。
- 如申請專利範圍第27項所述之沈積半導體材料的方法,其中所述摻雜碳之磊晶半導體材料形成電晶體結構之升高的源極/汲極區之部分。
- 如申請專利範圍第27項所述之沈積半導體材料的方法,其中將所述處理腔室維持在大氣壓力下,同時選擇性地沈積所述摻雜碳之磊晶半導體材料。
- 如申請專利範圍第27項所述之沈積半導體材料的方法,其中將所述處理腔室維持在小於約700℃之溫度下,同時選擇性地沈積所述摻雜碳之磊晶半導體材料。
- 如申請專利範圍第27項所述之沈積半導體材料的方法,其中將所述處理腔室維持在約350℃與約675℃之間的溫度下,同時選擇性地沈積所述摻雜碳之磊晶半導體材料。
- 如申請專利範圍第27項所述之沈積半導體材料的方法,更包括執行定時的濕式蝕刻來實質上移除所述場隔離遮罩上之沈積。
- 如申請專利範圍第27項所述之沈積半導體材料的方法,其中選擇性地沈積包括將所述摻雜碳之磊晶半導體材料沈積於所述多個暴露場上,而在所述場隔離遮罩上不發生實質沈積。
- 一種在反應腔室中之基板上形成電晶體設備之方法,所述方法包括:在所述基板上於多個淺渠槽隔離元件之間界定多個活性區域;提供二氯矽烷之流動至所述反應腔室中;提供(SiHz Cl 3-z )x CH4-x-y Cl y 之流動至所述反應腔室中,其中對於SiH3 Cl 3-z 群中之每一者,1x4以及0y3,且(x+y)4以及0z3;以第一沈積速率d1 將拉伸應變Si:C材料沈積於所述活性區域上,其中所述第一沈積速率d1 大於約5 nm min-1 ;以及以第二沈積速率d2 將Si:C材料沈積於所述渠槽隔離元件上,其中d1 100d2 。
- 如申請專利範圍第36項所述之在反應腔室中之基板上形成電晶體設備之方法,其中所述拉伸應變Si:C材料具有約0.1%與約5%之間取代摻雜之碳。
- 如申請專利範圍第36項所述之在反應腔室中之基板上形成電晶體設備之方法,其中所述拉伸應變Si:C材料具有約0.5%與約2%之間取代摻雜之碳。
- 如申請專利範圍第36項所述之在反應腔室中之基板上形成電晶體設備之方法,更包括蝕刻經沈積於所述淺渠槽隔離元件上之所述Si:C材料。
- 如申請專利範圍第36項所述之在反應腔室中之基板上形成電晶體設備之方法,其中所述電晶體設備為異質雙極電晶體。
- 如申請專利範圍第36項所述之在反應腔室中之基板上形成電晶體設備之方法,更包括提供n摻雜劑氫化物之流動以及蝕刻劑之流動至所述反應腔室中。
- 如申請專利範圍第36項所述之在反應腔室中之基板上形成電晶體設備之方法,更包括在所述拉伸應變Si:C材料之沈積期間改變(SiHz Cl 3-z )x CH4-x-y Cl y 之流動速率。
- 如申請專利範圍第36項所述之在反應腔室中之基板上形成電晶體設備之方法,更包括在沈積所述拉伸應變Si:C材料前使用HF蒸氣清潔所述活性區域。
- 如申請專利範圍第36項所述之在反應腔室中之基板上形成電晶體設備之方法,其中相對於所述淺渠槽隔離元件升高所述拉伸應變Si:C材料。
- 如申請專利範圍第44項所述之在反應腔室中之基板上形成電晶體設備之方法,其中所述拉伸應變Si:C材料為未摻雜的。
- 如申請專利範圍第36項所述之在反應腔室中之基板上形成電晶體設備之方法,更包括在沈積所述拉伸應變Si:C材料前選擇性蝕刻所述活性區域,使得相對於所述淺渠槽隔離元件,所述拉伸應變Si:C材料為下凹的。
- 如申請專利範圍第46項所述之在反應腔室中之基板上形成電晶體設備之方法,其中所述活性區域經選擇性地蝕刻至小於所述拉伸應變Si:C材料之臨界厚度的深度。
- 如申請專利範圍第46項所述之在反應腔室中之基板上形成電晶體設備之方法,其中所述拉伸應變Si:C材料為n摻雜的。
- 一種沈積半導體材料之裝置,所述裝置包括:二氯矽烷蒸氣源;(SiHz Cl 3-z )x CH4-x-y Cl y 蒸氣源,其中對於SiH3 Cl 3-z 群中之每一者,1x4以及0y3,且(x+y)4以及0z3;載氣源;氣體分佈網路,其連接所述二氯矽烷蒸氣源、所述(SiHz Cl 3-z )x CH4-x-y Cl y 蒸氣源以及所述載氣源至經組態用於在大氣壓力下沈積半導體材料之單一晶圓化學氣相沈積腔室;以及控制系統,其經組態以在適合於將Si:C材料選擇性沈 積於所述沈積腔室內之基板之部分上而不沈積於所述基板之其他部分上的條件下傳遞二氯矽烷蒸氣以及(SiHz Cl 3-z )x CH4-x-y Cl y 蒸氣至所述氣體分佈網路。
- 如申請專利範圍第49項所述之沈積半導體材料之裝置,更包括加熱器,其中所述控制系統經組態以使用所述加熱器將所述沈積腔室維持在約600℃與約675℃之間的溫度下。
- 如申請專利範圍第49項所述之沈積半導體材料之裝置,其中所述載氣源選自由氫氣源以及氦氣源組成之群族。
- 如申請專利範圍第49項所述之沈積半導體材料之裝置,更包括摻雜劑氫化物蒸氣源,其中所述氣體分佈網路亦連接所述摻雜劑氫化物蒸氣源至所述沈積腔室。
- 如申請專利範圍第52項所述之沈積半導體材料之裝置,其中所述摻雜劑氫化物為PH3 。
- 如申請專利範圍第49項所述之沈積半導體材料之裝置,更包括蝕刻劑蒸氣源,其中所述氣體分佈網路亦連接所述蝕刻劑蒸氣源至所述沈積腔室。
- 如申請專利範圍第54項所述之沈積半導體材料之裝置,其中所述蝕刻劑蒸氣源包括HCl 源。
- 如申請專利範圍第49項所述之沈積半導體材料之裝置,其中所述控制系統經組態以傳遞二氯矽烷蒸氣至所述氣體分佈網路,使得所述沈積腔室中之二氯矽烷蒸氣之部分壓力處於約25托與約35托之間。
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US75456905P | 2005-12-22 | 2005-12-22 |
Publications (2)
Publication Number | Publication Date |
---|---|
TW200731355A TW200731355A (en) | 2007-08-16 |
TWI405248B true TWI405248B (zh) | 2013-08-11 |
Family
ID=38228739
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW095147892A TWI405248B (zh) | 2005-12-22 | 2006-12-20 | 沉積摻雜碳之磊晶半導體層之方法、沉積半導體材料的方法與裝置及在反應腔室中之基板上形成電晶體設備之方法 |
Country Status (5)
Country | Link |
---|---|
US (1) | US7863163B2 (zh) |
JP (1) | JP2009521801A (zh) |
KR (1) | KR20080089403A (zh) |
TW (1) | TWI405248B (zh) |
WO (1) | WO2007078802A2 (zh) |
Families Citing this family (70)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7528045B2 (en) * | 2007-01-31 | 2009-05-05 | United Microelectronics Corp. | MOS transistor and manufacturing methods thereof |
US9064960B2 (en) * | 2007-01-31 | 2015-06-23 | Applied Materials, Inc. | Selective epitaxy process control |
US7776698B2 (en) * | 2007-10-05 | 2010-08-17 | Applied Materials, Inc. | Selective formation of silicon carbon epitaxial layer |
US7700424B2 (en) * | 2008-02-27 | 2010-04-20 | Applied Materials, Inc. | Method of forming an embedded silicon carbon epitaxial layer |
US20100038715A1 (en) * | 2008-08-18 | 2010-02-18 | International Business Machines Corporation | Thin body silicon-on-insulator transistor with borderless self-aligned contacts |
JP5564311B2 (ja) * | 2009-05-19 | 2014-07-30 | 株式会社日立国際電気 | 半導体装置の製造方法、基板処理装置及び基板の製造方法 |
US8273617B2 (en) | 2009-09-30 | 2012-09-25 | Suvolta, Inc. | Electronic devices and systems, and methods for making and using the same |
US8421162B2 (en) | 2009-09-30 | 2013-04-16 | Suvolta, Inc. | Advanced transistors with punch through suppression |
US8530286B2 (en) | 2010-04-12 | 2013-09-10 | Suvolta, Inc. | Low power semiconductor transistor structure and method of fabrication thereof |
US8569128B2 (en) | 2010-06-21 | 2013-10-29 | Suvolta, Inc. | Semiconductor structure and method of fabrication thereof with mixed metal types |
US8759872B2 (en) | 2010-06-22 | 2014-06-24 | Suvolta, Inc. | Transistor with threshold voltage set notch and method of fabrication thereof |
US8404551B2 (en) | 2010-12-03 | 2013-03-26 | Suvolta, Inc. | Source/drain extension control for advanced transistors |
US8461875B1 (en) | 2011-02-18 | 2013-06-11 | Suvolta, Inc. | Digital circuits having improved transistors, and methods therefor |
US10011920B2 (en) * | 2011-02-23 | 2018-07-03 | International Business Machines Corporation | Low-temperature selective epitaxial growth of silicon for device integration |
US8525271B2 (en) | 2011-03-03 | 2013-09-03 | Suvolta, Inc. | Semiconductor structure with improved channel stack and method for fabrication thereof |
US8748270B1 (en) | 2011-03-30 | 2014-06-10 | Suvolta, Inc. | Process for manufacturing an improved analog transistor |
US8796048B1 (en) | 2011-05-11 | 2014-08-05 | Suvolta, Inc. | Monitoring and measurement of thin film layers |
US8999861B1 (en) | 2011-05-11 | 2015-04-07 | Suvolta, Inc. | Semiconductor structure with substitutional boron and method for fabrication thereof |
US8811068B1 (en) | 2011-05-13 | 2014-08-19 | Suvolta, Inc. | Integrated circuit devices and methods |
US8569156B1 (en) | 2011-05-16 | 2013-10-29 | Suvolta, Inc. | Reducing or eliminating pre-amorphization in transistor manufacture |
US9218962B2 (en) | 2011-05-19 | 2015-12-22 | Globalfoundries Inc. | Low temperature epitaxy of a semiconductor alloy including silicon and germanium employing a high order silane precursor |
US8735987B1 (en) | 2011-06-06 | 2014-05-27 | Suvolta, Inc. | CMOS gate stack structures and processes |
US8664126B2 (en) | 2011-06-10 | 2014-03-04 | Applied Materials, Inc. | Selective deposition of polymer films on bare silicon instead of oxide surface |
US8995204B2 (en) | 2011-06-23 | 2015-03-31 | Suvolta, Inc. | Circuit devices and methods having adjustable transistor body bias |
US8629016B1 (en) | 2011-07-26 | 2014-01-14 | Suvolta, Inc. | Multiple transistor types formed in a common epitaxial layer by differential out-diffusion from a doped underlayer |
US8748986B1 (en) | 2011-08-05 | 2014-06-10 | Suvolta, Inc. | Electronic device with controlled threshold voltage |
KR101891373B1 (ko) | 2011-08-05 | 2018-08-24 | 엠아이이 후지쯔 세미컨덕터 리미티드 | 핀 구조물을 갖는 반도체 디바이스 및 그 제조 방법 |
US8645878B1 (en) | 2011-08-23 | 2014-02-04 | Suvolta, Inc. | Porting a circuit design from a first semiconductor process to a second semiconductor process |
US8614128B1 (en) | 2011-08-23 | 2013-12-24 | Suvolta, Inc. | CMOS structures and processes based on selective thinning |
US8713511B1 (en) | 2011-09-16 | 2014-04-29 | Suvolta, Inc. | Tools and methods for yield-aware semiconductor manufacturing process target generation |
US9236466B1 (en) | 2011-10-07 | 2016-01-12 | Mie Fujitsu Semiconductor Limited | Analog circuits having improved insulated gate transistors, and methods therefor |
US8895327B1 (en) | 2011-12-09 | 2014-11-25 | Suvolta, Inc. | Tipless transistors, short-tip transistors, and methods and circuits therefor |
US8819603B1 (en) | 2011-12-15 | 2014-08-26 | Suvolta, Inc. | Memory circuits and methods of making and designing the same |
US8883600B1 (en) | 2011-12-22 | 2014-11-11 | Suvolta, Inc. | Transistor having reduced junction leakage and methods of forming thereof |
US8599623B1 (en) | 2011-12-23 | 2013-12-03 | Suvolta, Inc. | Circuits and methods for measuring circuit elements in an integrated circuit device |
US8877619B1 (en) | 2012-01-23 | 2014-11-04 | Suvolta, Inc. | Process for manufacture of integrated circuits with different channel doping transistor architectures and devices therefrom |
US8970289B1 (en) | 2012-01-23 | 2015-03-03 | Suvolta, Inc. | Circuits and devices for generating bi-directional body bias voltages, and methods therefor |
US9093550B1 (en) | 2012-01-31 | 2015-07-28 | Mie Fujitsu Semiconductor Limited | Integrated circuits having a plurality of high-K metal gate FETs with various combinations of channel foundation structure and gate stack structure and methods of making same |
US9406567B1 (en) | 2012-02-28 | 2016-08-02 | Mie Fujitsu Semiconductor Limited | Method for fabricating multiple transistor devices on a substrate with varying threshold voltages |
US8863064B1 (en) | 2012-03-23 | 2014-10-14 | Suvolta, Inc. | SRAM cell layout structure and devices therefrom |
TWI505331B (zh) * | 2012-06-19 | 2015-10-21 | Hermes Epitek Corp | 磊晶成長製程及結構 |
US9299698B2 (en) | 2012-06-27 | 2016-03-29 | Mie Fujitsu Semiconductor Limited | Semiconductor structure with multiple transistors having various threshold voltages |
US8610201B1 (en) * | 2012-08-16 | 2013-12-17 | Kabushiki Kaisha Toshiba | FinFET comprising a punch-through stopper |
US8637955B1 (en) | 2012-08-31 | 2014-01-28 | Suvolta, Inc. | Semiconductor structure with reduced junction leakage and method of fabrication thereof |
US9112057B1 (en) | 2012-09-18 | 2015-08-18 | Mie Fujitsu Semiconductor Limited | Semiconductor devices with dopant migration suppression and method of fabrication thereof |
US9041126B2 (en) | 2012-09-21 | 2015-05-26 | Mie Fujitsu Semiconductor Limited | Deeply depleted MOS transistors having a screening layer and methods thereof |
CN104854698A (zh) | 2012-10-31 | 2015-08-19 | 三重富士通半导体有限责任公司 | 具有低变化晶体管***电路的dram型器件以及相关方法 |
US8816754B1 (en) | 2012-11-02 | 2014-08-26 | Suvolta, Inc. | Body bias circuits and methods |
US9093997B1 (en) | 2012-11-15 | 2015-07-28 | Mie Fujitsu Semiconductor Limited | Slew based process and bias monitors and related methods |
US9070477B1 (en) | 2012-12-12 | 2015-06-30 | Mie Fujitsu Semiconductor Limited | Bit interleaved low voltage static random access memory (SRAM) and related methods |
US9112484B1 (en) | 2012-12-20 | 2015-08-18 | Mie Fujitsu Semiconductor Limited | Integrated circuit process and bias monitors and related methods |
US9268885B1 (en) | 2013-02-28 | 2016-02-23 | Mie Fujitsu Semiconductor Limited | Integrated circuit device methods and models with predicted device metric variations |
US9564321B2 (en) * | 2013-03-11 | 2017-02-07 | Taiwan Semiconductor Manufacturing Co., Ltd. | Cyclic epitaxial deposition and etch processes |
US9299801B1 (en) | 2013-03-14 | 2016-03-29 | Mie Fujitsu Semiconductor Limited | Method for fabricating a transistor device with a tuned dopant profile |
US9478571B1 (en) | 2013-05-24 | 2016-10-25 | Mie Fujitsu Semiconductor Limited | Buried channel deeply depleted channel transistor |
US9112030B2 (en) | 2013-11-04 | 2015-08-18 | United Microelectronics Corp. | Epitaxial structure and process thereof for non-planar transistor |
US9412843B2 (en) * | 2014-05-23 | 2016-08-09 | International Business Machines Corporation | Method for embedded diamond-shaped stress element |
DE102014108352A1 (de) * | 2014-06-13 | 2015-12-17 | Forschungszentrum Jülich GmbH | Verfahren zum Abscheiden einer Kristallschicht bei niedrigen Temperaturen, insbesondere einer photolumineszierenden IV-IV-Schicht auf einem IV-Substrat, sowie ein eine derartige Schicht aufweisendes optoelektronisches Bauelement |
US9704708B2 (en) * | 2014-07-11 | 2017-07-11 | Applied Materials, Inc. | Halogenated dopant precursors for epitaxy |
US9710006B2 (en) | 2014-07-25 | 2017-07-18 | Mie Fujitsu Semiconductor Limited | Power up body bias circuits and methods |
US9319013B2 (en) | 2014-08-19 | 2016-04-19 | Mie Fujitsu Semiconductor Limited | Operational amplifier input offset correction with transistor threshold voltage adjustment |
CN105609406B (zh) * | 2014-11-19 | 2018-09-28 | 株式会社日立国际电气 | 半导体器件的制造方法、衬底处理装置、气体供给*** |
US20160155818A1 (en) * | 2014-11-27 | 2016-06-02 | United Microelectronics Corp. | Method for fabricating semiconductor device |
US9761693B2 (en) | 2014-11-27 | 2017-09-12 | United Microelectronics Corp. | Method for fabricating semiconductor device |
US10431654B2 (en) | 2015-06-25 | 2019-10-01 | International Business Machines Corporation | Extrinsic base doping for bipolar junction transistors |
US9853129B2 (en) | 2016-05-11 | 2017-12-26 | Applied Materials, Inc. | Forming non-line-of-sight source drain extension in an nMOS finFET using n-doped selective epitaxial growth |
RU2733941C2 (ru) * | 2019-04-01 | 2020-10-08 | Федеральное государственное бюджетное образовательное учреждение высшего образования "Кабардино-Балкарский государственный университет им. Х.М. Бербекова" (КБГУ) | Способ изготовления полупроводниковой структуры |
KR20210035449A (ko) | 2019-09-24 | 2021-04-01 | 삼성전자주식회사 | 반도체 소자 및 이의 제조 방법 |
CN115627454A (zh) * | 2022-10-28 | 2023-01-20 | 长鑫存储技术有限公司 | 一种薄膜沉积方法、薄膜及存储器 |
CN117690954B (zh) * | 2024-02-01 | 2024-05-07 | 合肥晶合集成电路股份有限公司 | 一种半导体器件及其制作方法 |
Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20040224089A1 (en) * | 2002-10-18 | 2004-11-11 | Applied Materials, Inc. | Silicon-containing layer deposition with silicon compounds |
US20050250298A1 (en) * | 2004-04-23 | 2005-11-10 | Matthias Bauer | In situ doped epitaxial films |
Family Cites Families (121)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
SE393967B (sv) | 1974-11-29 | 1977-05-31 | Sateko Oy | Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket |
FI57975C (fi) | 1979-02-28 | 1980-11-10 | Lohja Ab Oy | Foerfarande och anordning vid uppbyggande av tunna foereningshinnor |
US5769950A (en) | 1985-07-23 | 1998-06-23 | Canon Kabushiki Kaisha | Device for forming deposited film |
US4910153A (en) | 1986-02-18 | 1990-03-20 | Solarex Corporation | Deposition feedstock and dopant materials useful in the fabrication of hydrogenated amorphous silicon alloys for photovoltaic devices and other semiconductor devices |
US4761269A (en) | 1986-06-12 | 1988-08-02 | Crystal Specialties, Inc. | Apparatus for depositing material on a substrate |
US4747367A (en) | 1986-06-12 | 1988-05-31 | Crystal Specialties, Inc. | Method and apparatus for producing a constant flow, constant pressure chemical vapor deposition |
US5071670A (en) | 1990-06-11 | 1991-12-10 | Kelly Michael A | Method for chemical vapor deposition under a single reactor vessel divided into separate reaction chambers each with its own depositing and exhausting means |
US5153295A (en) | 1990-07-20 | 1992-10-06 | Rensselaer Polytechnic Institute | Carbosilane polymer precursors to silicon carbide ceramics |
US5068203A (en) | 1990-09-04 | 1991-11-26 | Delco Electronics Corporation | Method for forming thin silicon membrane or beam |
US5124278A (en) | 1990-09-21 | 1992-06-23 | Air Products And Chemicals, Inc. | Amino replacements for arsine, antimony and phosphine |
US5225032A (en) * | 1991-08-09 | 1993-07-06 | Allied-Signal Inc. | Method of producing stoichiometric, epitaxial, monocrystalline films of silicon carbide at temperatures below 900 degrees centigrade |
JPH05343350A (ja) | 1992-06-08 | 1993-12-24 | Mitsubishi Electric Corp | 半導体装置およびその製造方法 |
US5175330A (en) | 1992-07-16 | 1992-12-29 | Dow Corning Corporation | Process for the recovery of hydrogen chloride and monomeric alkoxysilanes from mixtures of chloride-containing silicon compounds |
US5306666A (en) | 1992-07-24 | 1994-04-26 | Nippon Steel Corporation | Process for forming a thin metal film by chemical vapor deposition |
US6004683A (en) | 1992-11-04 | 1999-12-21 | C. A. Patents, L.L.C. | Plural layered metal repair tape |
JP2646961B2 (ja) * | 1993-05-13 | 1997-08-27 | 日本電気株式会社 | シリコン炭素混晶エピタキシャル膜の成長方法およびその装置 |
US5656531A (en) | 1993-12-10 | 1997-08-12 | Micron Technology, Inc. | Method to form hemi-spherical grain (HSG) silicon from amorphous silicon |
DE19581590T1 (de) | 1994-03-25 | 1997-04-17 | Amoco Enron Solar | Erhöhung eines Stabilitätsverhaltens von Vorrichtungen auf der Grundlage von amorphem Silizium, die durch Plasmaablagerung unter hochgradiger Wasserstoffverdünnung bei niedrigerer Temperatur hergestellt werden |
FI97731C (fi) | 1994-11-28 | 1997-02-10 | Mikrokemia Oy | Menetelmä ja laite ohutkalvojen valmistamiseksi |
US6153920A (en) * | 1994-12-01 | 2000-11-28 | Lucent Technologies Inc. | Process for controlling dopant diffusion in a semiconductor layer and semiconductor device formed thereby |
JPH08213343A (ja) | 1995-01-31 | 1996-08-20 | Sony Corp | 半導体装置およびその製造方法 |
DE19520175A1 (de) | 1995-06-01 | 1996-12-12 | Wacker Siltronic Halbleitermat | Verfahren zur Herstellung einer epitaktisch beschichteten Halbleiterscheibe |
US5654589A (en) | 1995-06-06 | 1997-08-05 | Advanced Micro Devices, Incorporated | Landing pad technology doubled up as local interconnect and borderless contact for deep sub-half micrometer IC application |
US5869389A (en) | 1996-01-18 | 1999-02-09 | Micron Technology, Inc. | Semiconductor processing method of providing a doped polysilicon layer |
US5916365A (en) | 1996-08-16 | 1999-06-29 | Sherman; Arthur | Sequential chemical vapor deposition |
KR100236069B1 (ko) | 1996-12-26 | 1999-12-15 | 김영환 | 캐패시터 및 그 제조방법 |
JP3050152B2 (ja) | 1997-01-23 | 2000-06-12 | 日本電気株式会社 | 半導体装置の製造方法 |
US5879459A (en) | 1997-08-29 | 1999-03-09 | Genus, Inc. | Vertically-stacked process reactor and cluster tool system for atomic layer deposition |
US6351039B1 (en) | 1997-05-28 | 2002-02-26 | Texas Instruments Incorporated | Integrated circuit dielectric and method |
US6069068A (en) | 1997-05-30 | 2000-05-30 | International Business Machines Corporation | Sub-quarter-micron copper interconnections with improved electromigration resistance and reduced defect sensitivity |
US5904565A (en) | 1997-07-17 | 1999-05-18 | Sharp Microelectronics Technology, Inc. | Low resistance contact between integrated circuit metal levels and method for same |
US6287965B1 (en) | 1997-07-28 | 2001-09-11 | Samsung Electronics Co, Ltd. | Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor |
US6100184A (en) | 1997-08-20 | 2000-08-08 | Sematech, Inc. | Method of making a dual damascene interconnect structure using low dielectric constant material for an inter-level dielectric layer |
KR100274603B1 (ko) | 1997-10-01 | 2001-01-15 | 윤종용 | 반도체장치의제조방법및그의제조장치 |
TW439151B (en) | 1997-12-31 | 2001-06-07 | Samsung Electronics Co Ltd | Method for forming conductive layer using atomic layer deposition process |
US6042654A (en) | 1998-01-13 | 2000-03-28 | Applied Materials, Inc. | Method of cleaning CVD cold-wall chamber and exhaust lines |
US5933761A (en) | 1998-02-09 | 1999-08-03 | Lee; Ellis | Dual damascene structure and its manufacturing method |
US6303523B2 (en) | 1998-02-11 | 2001-10-16 | Applied Materials, Inc. | Plasma processes for depositing low dielectric constant films |
US6181012B1 (en) | 1998-04-27 | 2001-01-30 | International Business Machines Corporation | Copper interconnection structure incorporating a metal seed layer |
FR2779573B1 (fr) | 1998-06-05 | 2001-10-26 | St Microelectronics Sa | Transistor bipolaire vertical comportant une base extrinseque de rugosite reduite, et procede de fabrication |
US6048790A (en) | 1998-07-10 | 2000-04-11 | Advanced Micro Devices, Inc. | Metalorganic decomposition deposition of thin conductive films on integrated circuits using reducing ambient |
KR100275738B1 (ko) | 1998-08-07 | 2000-12-15 | 윤종용 | 원자층 증착법을 이용한 박막 제조방법 |
US6144050A (en) | 1998-08-20 | 2000-11-07 | The United States Of America As Represented By The Secretary Of The Navy | Electronic devices with strontium barrier film and process for making same |
US6188134B1 (en) | 1998-08-20 | 2001-02-13 | The United States Of America As Represented By The Secretary Of The Navy | Electronic devices with rubidium barrier film and process for making same |
US6291876B1 (en) | 1998-08-20 | 2001-09-18 | The United States Of America As Represented By The Secretary Of The Navy | Electronic devices with composite atomic barrier film and process for making same |
US6077775A (en) | 1998-08-20 | 2000-06-20 | The United States Of America As Represented By The Secretary Of The Navy | Process for making a semiconductor device with barrier film formation using a metal halide and products thereof |
DE19840238C1 (de) | 1998-09-03 | 2000-03-16 | Siemens Ag | Verfahren zur Herstellung einer dotierten Siliziumschicht und mikroelektronische Struktur mit einem leitfähigen Element aus dotiertem Silizium |
KR100287180B1 (ko) | 1998-09-17 | 2001-04-16 | 윤종용 | 계면 조절층을 이용하여 금속 배선층을 형성하는 반도체 소자의 제조 방법 |
TW382787B (en) | 1998-10-02 | 2000-02-21 | United Microelectronics Corp | Method of fabricating dual damascene |
KR100327328B1 (ko) | 1998-10-13 | 2002-05-09 | 윤종용 | 부분적으로다른두께를갖는커패시터의유전막형성방버뵤 |
JP2000150647A (ja) | 1998-11-11 | 2000-05-30 | Sony Corp | 配線構造およびその製造方法 |
US6313017B1 (en) | 1999-01-26 | 2001-11-06 | University Of Vermont And State Agricultural College | Plasma enhanced CVD process for rapidly growing semiconductor films |
US6200893B1 (en) | 1999-03-11 | 2001-03-13 | Genus, Inc | Radical-assisted sequential CVD |
EP1036807B1 (en) | 1999-03-18 | 2007-12-12 | Kaneka Corporation | Curable composition |
US6207567B1 (en) | 1999-04-12 | 2001-03-27 | United Microelectronics Corp. | Fabricating method of glue layer and barrier layer |
US6197669B1 (en) | 1999-04-15 | 2001-03-06 | Taiwan Semicondcutor Manufacturing Company | Reduction of surface defects on amorphous silicon grown by a low-temperature, high pressure LPCVD process |
US6037258A (en) | 1999-05-07 | 2000-03-14 | Taiwan Semiconductor Manufacturing Company | Method of forming a smooth copper seed layer for a copper damascene structure |
US6146517A (en) | 1999-05-19 | 2000-11-14 | Infineon Technologies North America Corp. | Integrated circuits with copper metallization for interconnections |
KR20010001543A (ko) | 1999-06-05 | 2001-01-05 | 김기범 | 구리 배선 구조를 가지는 반도체 소자 제조 방법 |
JP4726369B2 (ja) | 1999-06-19 | 2011-07-20 | エー・エス・エムジニテックコリア株式会社 | 化学蒸着反応炉及びこれを利用した薄膜形成方法 |
US6391785B1 (en) | 1999-08-24 | 2002-05-21 | Interuniversitair Microelektronica Centrum (Imec) | Method for bottomless deposition of barrier layers in integrated circuit metallization schemes |
US6727169B1 (en) | 1999-10-15 | 2004-04-27 | Asm International, N.V. | Method of making conformal lining layers for damascene metallization |
US6203613B1 (en) | 1999-10-19 | 2001-03-20 | International Business Machines Corporation | Atomic layer deposition with nitrate containing precursors |
KR20010047128A (ko) | 1999-11-18 | 2001-06-15 | 이경수 | 액체원료 기화방법 및 그에 사용되는 장치 |
JP3925780B2 (ja) | 1999-12-15 | 2007-06-06 | エー・エス・エムジニテックコリア株式会社 | 触媒及び化学気相蒸着法を用いて銅配線及び薄膜を形成する方法 |
KR100510996B1 (ko) | 1999-12-30 | 2005-08-31 | 주식회사 하이닉스반도체 | 선택적 에피텍셜 성장 공정의 최적화 방법 |
US6184128B1 (en) | 2000-01-31 | 2001-02-06 | Advanced Micro Devices, Inc. | Method using a thin resist mask for dual damascene stop layer etch |
TW408653U (en) | 2000-02-03 | 2000-10-11 | Hu Hou Fei | Ratcheting tool |
EP1123991A3 (en) | 2000-02-08 | 2002-11-13 | Asm Japan K.K. | Low dielectric constant materials and processes |
WO2001066832A2 (en) | 2000-03-07 | 2001-09-13 | Asm America, Inc. | Graded thin films |
WO2001078123A1 (en) | 2000-04-11 | 2001-10-18 | Genitech Co., Ltd. | Method of forming metal interconnects |
KR100332363B1 (ko) | 2000-04-12 | 2002-04-12 | 최승철 | 화학기계적 연마장치의 연마패드를 위한 컨디셔너와 그컨디셔닝 방법 |
KR100363088B1 (ko) | 2000-04-20 | 2002-12-02 | 삼성전자 주식회사 | 원자층 증착방법을 이용한 장벽 금속막의 제조방법 |
US6458718B1 (en) | 2000-04-28 | 2002-10-01 | Asm Japan K.K. | Fluorine-containing materials and processes |
US6630413B2 (en) | 2000-04-28 | 2003-10-07 | Asm Japan K.K. | CVD syntheses of silicon nitride materials |
US6482733B2 (en) | 2000-05-15 | 2002-11-19 | Asm Microchemistry Oy | Protective layers prior to alternating layer deposition |
US6969875B2 (en) | 2000-05-26 | 2005-11-29 | Amberwave Systems Corporation | Buried channel strained silicon FET using a supply layer created through ion implantation |
US6342448B1 (en) | 2000-05-31 | 2002-01-29 | Taiwan Semiconductor Manufacturing Company | Method of fabricating barrier adhesion to low-k dielectric layers in a copper damascene process |
EP2293322A1 (en) | 2000-06-08 | 2011-03-09 | Genitech, Inc. | Method for forming a metal nitride layer |
TW512529B (en) | 2000-06-14 | 2002-12-01 | Infineon Technologies Ag | Silicon bipolar transistor, circuit arrangement and method for producing a silicon bipolar transistor |
US20040224504A1 (en) | 2000-06-23 | 2004-11-11 | Gadgil Prasad N. | Apparatus and method for plasma enhanced monolayer processing |
US6368954B1 (en) | 2000-07-28 | 2002-04-09 | Advanced Micro Devices, Inc. | Method of copper interconnect formation using atomic layer copper deposition |
US6583015B2 (en) | 2000-08-07 | 2003-06-24 | Amberwave Systems Corporation | Gate technology for strained surface channel and strained buried channel MOSFET devices |
US20020127766A1 (en) | 2000-12-27 | 2002-09-12 | Memc Electronic Materials, Inc. | Semiconductor wafer manufacturing process |
US6444495B1 (en) | 2001-01-11 | 2002-09-03 | Honeywell International, Inc. | Dielectric films for narrow gap-fill applications |
US6583048B2 (en) | 2001-01-17 | 2003-06-24 | Air Products And Chemicals, Inc. | Organosilicon precursors for interlayer dielectric films with low dielectric constants |
US6713813B2 (en) | 2001-01-30 | 2004-03-30 | Fairchild Semiconductor Corporation | Field effect transistor having a lateral depletion structure |
EP1421607A2 (en) * | 2001-02-12 | 2004-05-26 | ASM America, Inc. | Improved process for deposition of semiconductor films |
US7026219B2 (en) | 2001-02-12 | 2006-04-11 | Asm America, Inc. | Integration of high k gate dielectric |
US6576535B2 (en) * | 2001-04-11 | 2003-06-10 | Texas Instruments Incorporated | Carbon doped epitaxial layer for high speed CB-CMOS |
US6455417B1 (en) * | 2001-07-05 | 2002-09-24 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for forming damascene structure employing bi-layer carbon doped silicon nitride/carbon doped silicon oxide etch stop layer |
JP2003068654A (ja) * | 2001-08-27 | 2003-03-07 | Hoya Corp | 化合物単結晶の製造方法 |
JP4060580B2 (ja) | 2001-11-29 | 2008-03-12 | 株式会社ルネサステクノロジ | ヘテロ接合バイポーラトランジスタ |
DE10211312A1 (de) | 2002-03-14 | 2003-10-02 | Wacker Siltronic Halbleitermat | Verfahren und Vorrichtung zur epitaktischen Beschichtung einer Halbleiterscheibe sowie epitaktisch beschichtete Halbleiterscheibe |
US6605498B1 (en) | 2002-03-29 | 2003-08-12 | Intel Corporation | Semiconductor transistor having a backfilled channel material |
US7307273B2 (en) | 2002-06-07 | 2007-12-11 | Amberwave Systems Corporation | Control of strain in device layers by selective relaxation |
US7335545B2 (en) | 2002-06-07 | 2008-02-26 | Amberwave Systems Corporation | Control of strain in device layers by prevention of relaxation |
US7074623B2 (en) | 2002-06-07 | 2006-07-11 | Amberwave Systems Corporation | Methods of forming strained-semiconductor-on-insulator finFET device structures |
WO2003105206A1 (en) | 2002-06-10 | 2003-12-18 | Amberwave Systems Corporation | Growing source and drain elements by selecive epitaxy |
US7186630B2 (en) | 2002-08-14 | 2007-03-06 | Asm America, Inc. | Deposition of amorphous silicon-containing films |
US7045845B2 (en) | 2002-08-16 | 2006-05-16 | Semiconductor Components Industries, L.L.C. | Self-aligned vertical gate semiconductor device |
WO2004070003A2 (en) | 2002-12-03 | 2004-08-19 | Prodigene, Inc. | Methods for selecting and screening for trasformants |
US7238595B2 (en) | 2003-03-13 | 2007-07-03 | Asm America, Inc. | Epitaxial semiconductor deposition methods and structures |
US7005160B2 (en) | 2003-04-24 | 2006-02-28 | Asm America, Inc. | Methods for depositing polycrystalline films with engineered grain structures |
US7208362B2 (en) | 2003-06-25 | 2007-04-24 | Texas Instruments Incorporated | Transistor device containing carbon doped silicon in a recess next to MDD to create strain in channel |
TWI270986B (en) | 2003-07-29 | 2007-01-11 | Ind Tech Res Inst | Strained SiC MOSFET |
US7208427B2 (en) | 2003-08-18 | 2007-04-24 | Advanced Technology Materials, Inc. | Precursor compositions and processes for MOCVD of barrier materials in semiconductor manufacturing |
US7132338B2 (en) * | 2003-10-10 | 2006-11-07 | Applied Materials, Inc. | Methods to fabricate MOSFET devices using selective deposition process |
US7166528B2 (en) * | 2003-10-10 | 2007-01-23 | Applied Materials, Inc. | Methods of selective deposition of heavily doped epitaxial SiGe |
TW200531157A (en) * | 2004-02-10 | 2005-09-16 | Renesas Tech Corp | Method for manufacturing semiconductor device |
US7332439B2 (en) * | 2004-09-29 | 2008-02-19 | Intel Corporation | Metal gate transistors with epitaxial source and drain regions |
US7560352B2 (en) | 2004-12-01 | 2009-07-14 | Applied Materials, Inc. | Selective deposition |
US7682940B2 (en) | 2004-12-01 | 2010-03-23 | Applied Materials, Inc. | Use of Cl2 and/or HCl during silicon epitaxial film formation |
US7312128B2 (en) | 2004-12-01 | 2007-12-25 | Applied Materials, Inc. | Selective epitaxy process with alternating gas supply |
US7195985B2 (en) | 2005-01-04 | 2007-03-27 | Intel Corporation | CMOS transistor junction regions formed by a CVD etching and deposition sequence |
US7235492B2 (en) | 2005-01-31 | 2007-06-26 | Applied Materials, Inc. | Low temperature etchant for treatment of silicon-containing surfaces |
US7438760B2 (en) | 2005-02-04 | 2008-10-21 | Asm America, Inc. | Methods of making substitutionally carbon-doped crystalline Si-containing materials by chemical vapor deposition |
US8105908B2 (en) | 2005-06-23 | 2012-01-31 | Applied Materials, Inc. | Methods for forming a transistor and modulating channel stress |
JP2007188976A (ja) | 2006-01-11 | 2007-07-26 | Shinko Electric Ind Co Ltd | 発光装置の製造方法 |
US20080026149A1 (en) | 2006-05-31 | 2008-01-31 | Asm America, Inc. | Methods and systems for selectively depositing si-containing films using chloropolysilanes |
US8278176B2 (en) | 2006-06-07 | 2012-10-02 | Asm America, Inc. | Selective epitaxial formation of semiconductor films |
-
2006
- 2006-12-14 JP JP2008547324A patent/JP2009521801A/ja active Pending
- 2006-12-14 WO PCT/US2006/047646 patent/WO2007078802A2/en active Application Filing
- 2006-12-14 KR KR1020087017457A patent/KR20080089403A/ko not_active Application Discontinuation
- 2006-12-20 TW TW095147892A patent/TWI405248B/zh active
- 2006-12-22 US US11/644,673 patent/US7863163B2/en active Active
Patent Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20040224089A1 (en) * | 2002-10-18 | 2004-11-11 | Applied Materials, Inc. | Silicon-containing layer deposition with silicon compounds |
US20050250298A1 (en) * | 2004-04-23 | 2005-11-10 | Matthias Bauer | In situ doped epitaxial films |
Non-Patent Citations (1)
Title |
---|
Shuhei Yagi, et al. "NOVEL CARBON SOURCE (1,3-DISILABUTANE) FOR THE DEPOSITION OF MICROCRYSTALLINE SILICON CARBON" 3rd World Conference on Photovoltaic Energy Conversion, May 11-18, 2003 Osaka, Japan。 * |
Also Published As
Publication number | Publication date |
---|---|
TW200731355A (en) | 2007-08-16 |
WO2007078802A2 (en) | 2007-07-12 |
US7863163B2 (en) | 2011-01-04 |
WO2007078802A3 (en) | 2008-01-24 |
JP2009521801A (ja) | 2009-06-04 |
KR20080089403A (ko) | 2008-10-06 |
US20070161216A1 (en) | 2007-07-12 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TWI405248B (zh) | 沉積摻雜碳之磊晶半導體層之方法、沉積半導體材料的方法與裝置及在反應腔室中之基板上形成電晶體設備之方法 | |
TWI385714B (zh) | 含矽薄膜的選擇性沉積 | |
KR100870507B1 (ko) | 트리실란을 사용한, 혼합 기판상의 증착 | |
US7939447B2 (en) | Inhibitors for selective deposition of silicon containing films | |
US7186630B2 (en) | Deposition of amorphous silicon-containing films | |
US20080026149A1 (en) | Methods and systems for selectively depositing si-containing films using chloropolysilanes | |
EP1887617A2 (en) | Deposition method over mixed substrates using trisilane |