TWI364779B - Method and apparatus for photomask plasma etching - Google Patents

Method and apparatus for photomask plasma etching Download PDF

Info

Publication number
TWI364779B
TWI364779B TW094110929A TW94110929A TWI364779B TW I364779 B TWI364779 B TW I364779B TW 094110929 A TW094110929 A TW 094110929A TW 94110929 A TW94110929 A TW 94110929A TW I364779 B TWI364779 B TW I364779B
Authority
TW
Taiwan
Prior art keywords
processing chamber
ion
plasma
substrate
shield
Prior art date
Application number
TW094110929A
Other languages
English (en)
Other versions
TW200601429A (en
Inventor
Ajay Kumar
Madhavi Chandrachood
Scott Alan Anderson
Peter Satitpunwaycha
Wai Fan Yau
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW200601429A publication Critical patent/TW200601429A/zh
Application granted granted Critical
Publication of TWI364779B publication Critical patent/TWI364779B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32651Shields, e.g. dark space shields, Faraday shields
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/20Masks or mask blanks for imaging by charged particle beam [CPB] radiation, e.g. by electron beam; Preparation thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32871Means for trapping or directing unwanted particles
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/80Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/02Details
    • H01J2237/022Avoiding or removing foreign or contaminating particles, debris or deposits on sample or tube
    • H01J2237/0225Detecting or monitoring foreign particles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/31Processing objects on a macro-scale
    • H01J2237/3151Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Power Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • General Physics & Mathematics (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • ing And Chemical Polishing (AREA)

Description

1364779 第 f/彳”小7號翊案卿t月修正
1¾¾邊^痛.:龜德先,:.座:乂讀^'. 【發明所屬之技術領域】 本發明實施例大想上係有關於電漿蝕刻光罩的方法及 設備,更特定地,係有關於使用一半遠端(quasi_rem〇te) 電漿來蝕刻光罩的方法及設備。 【先前技術】 在積體電路(IC)或晶片的製造中,晶片設計者會創造 出可代表晶片〇同層的圖樣…系列的罩幕s戈光罩會從 這一圖樣中被I生出來用以在製處理期間將每—晶片層的 設計轉移到一半導想基材上。罩幕圖樣產生系統使用精密 的雷射或電子束來將該晶片的每_層的設計成像至各自的 這二罩幕然後就像照片的底片般地被使用,將每 一層的電路圓樣轉移到-半導體基材上。4些層藉由使用 】的處理而被堆累及轉變為構成每一完整晶片的微小 電晶體及電子電路。因Λ,在罩幕上的任何缺陷都將會被 轉移到晶片上,而對a + B曰片的性能造成不利的影響。太嚴重 的缺陷會讓該罩幕完全無法使用。典型地,一組包含15 個至30個的罩幕會址 破用來建構一晶片且可被重復地使用。 該罩幕典型地為一 一 破墦或一石央基材,在其一側上有 層鉻。該罩幕亦可句人 _)。該鉻層被覆蓋層氣化秒⑻Ν)其被換雜钥 ^ . 几反射塗層及一感光阻劑。在一形 成圖樣的處理中,藉由 該感光阻劑的一部分曝露在紫外 線下,讓經過曝照的部八 77可溶解到一顯影溶液中而使電路 3 1364779 曰修(更)正本 設計寫到該罩幕上。該抗腐蝕劑之可溶解的部分然後被去 除掉,讓底下之鉻的待蝕刻部分露出來。蝕刻處理將位在 抗姓刻劑被除掉的位置處的鉻及抗反射層從該罩幕上去除 掉’即去除露出來的鉻。
在一被稱為乾式姓刻,反應離子钱刻,或電聚钱刻的 钱刻處理中,一電漿被用來加強在該罩幕之外露區域上的 化學反應,藉以將目標層去除掉。令人不滿意地,該蝕刻 處理並沒有產生該電路設計圖樣之一完美的複製品於該罩 幕上。因為用於鉻蝕刻的光阻的輪廓以及罩幕材質的選擇 性的關係’該圖樣的部分收縮會發生在該經過蝕刻的罩幕 上。此收縮被稱為银刻偏移(etch bias) 此外,該钱刻偏 移在整個罩幕上可能不是均一的。此現象被稱為關鍵尺度 均一性或CDU。在傳統的罩幕蝕刻處理中,該蝕刻偏移典 型地是在約60至70奈米(nm)的範圍内且該CDU是在約 10至15nm的範圍内》對於65nm大小的特徵結構的容限 (tolerance)而言,其蝕刻偏移約20nm及關鍵尺度均一性約 5nm °因此,當形成在該晶片上之特徵結構的節點尺寸持 續縮小時’既有製程的能力將會變得愈來愈不敷所需,特 別是在節點尺寸接近65nm的大小時。 因此’對於一種用於製造光罩之改良的蝕刻處理存在 著需求。 【發明内容】 本發明大體上提供一種用於蝕刻光罩的方法及設備β 1364779 ?7年修(更)正本 在一實施例令,一種蝕刻一光罩的方法包括提供一處理 室’其具有一基材支撐座用來接收位於其上之一光罩基材 (在此技藝中其被稱為光罩幕或光罩)。一離子-自由基屏蔽 • 件被提供在該座上方。一基材被放置在該座上位在該離子_ •自由基屏蔽件底下。一處理氣體被引入到該處理室内且從 該處理氣體中形成一電漿。該基材主要係被穿過該屏蔽件 的自由基所蝕刻》 在本發明的另一態樣中,一種設備被提供來蝕刻一光 ® 罩基材。在一實施例中,一處理室具有一基材支撐座於其 内。該座適以支撐一光罩基材。一 RF電源被耦合至該處 理室用以在該處理室內形成—電漿。一離子_自由基屏蔽件 被設置在該處理室内位於該座上方。該屏蔽件係適用於控 制該電漿之帶電物質及電中性物質的空間分布。該屏蔽件 包括一爲平件其與室壁彼此為電性隔絕並包含複數個孔, 其垂直地延伸穿過該扁平件。
【實施方式】 本發明提供用來改良微影成像光罩幕或光罩的方法及 設備。該設備包括一離子-自由基屏蔽件其被設置在—電聚 處理室内》該離子·自由基屏蔽件在處理期間控制在該室内 之電物質與電中性物質的空間分布。該離子自由基屏蔽件 被設置在該電聚與該光罩之Ρβ1,使得電毁以—半遠端的方 式被形成在該處理室之位在該屏蔽件上方的上處理區内。 在-實施例卜該離子·自丨基屏蔽件包含_陶篆板其 5 1364779 年乙月f日射更)正木
有一或多個穿孔形成於其上》該板被設置在該室内位在座 上方的位置。該板與該室的室壁及該座彼此為電性隔絕’ 使得在該板與地極之間沒有接地路徑。在處理期間’因為 來自電漿之電子轟擊結果而在該板表面上逐漸形成一電 位。該電位吸引來自電漿的離子,有效地將它們從電漿中 過濾出來,同時讓電中性的自由基可通過該板上的孔。因 此’離子-自由基屏蔽件可防止離子到達待蝕刻的光罩表 面’同時又可以一更受控制的方式讓自由基與光罩起反應 並蝕刻光罩,藉以減少該光罩阻劑的腐蝕以及降低該阻劑 喷濺到該被形成圖樣的鉻的側壁上。降低蝕刻及喷濺可改 善蝕刻偏移及關鍵尺度的均一性。
第1圖顯示一具有一離子-自由基屏蔽件170之蝕刻反 應器100的示意圖。可使揭示於本文中之教導一起使用之 適合的反應器包括,例如,由設在美國加州Santa Clara 市的 Applied Materials 公司所製造的 Decoupled Plasma Source (DPS®)II反應器,或Tetra I及Tetra II光罩钱刻系 統。該DPS® II反廨器亦可被用作為一同樣是由App丨ied Materials公司所製造的Centura®g合的半導體晶圓處理 系統的一處理模組。示於本文中之反應器1〇〇的此一特定 的實施例只是作為舉例的目的其不應被用來限制本發明的 範圍。 該反應器100大體上包含一處理室1〇2其具有一位在 -導電本體(壁)1〇4内的基材座124,及—控制器146。該 室102具有_扁平的介電質天花板1〇8。該室} 〇2的其它 6 平ι月修(更)正本 變化可具有其它種類的天花板,如一圓頂形的天花板。一 天線110被設置在該天花板108上方。該天線11〇包含一 或多個感應線圈元件,該些感應線圈元件可被選擇性地控 制(兩個同軸元件110a及110b被示於第1圖中。天線no 經由第一配接電路114被耦合至電漿電源112。該電衆電 源112典整地可在約50kHz至約13.56MHz之可調的頻率 範圍内產生高達约3000W的功率。 該基材座(陰極)124經由第二配接網絡丨42被耦合至 —偏壓電源140。該偏壓電源14〇大致上為一可在約 1 3.56MHz的頻率下產生約5〇〇 w的電源,其為能夠產生連 續的或脈衝式功率的電源。或者,該電源14〇可以是一 DC 或脈衝式DC的電源。 在一實施例中,該基材支撐座124包含一靜電夾盤 160。該靜電夾盤16〇包含至少一夾持電極132且是由一夾 盤電源1 66來控制。在其它實施例中,基材座1 24可包含 基材固持機構’像是-載件(suseeptor)失環,-機械式夾 盤,及類此者。 _光罩轉接器182被用來將基材(光罩)122固定到該 基材支撐座124上。該光罩轉接器182大艎上包括下部184 其被磨製用以覆蓋該座124的上表面(例如,該靜電夾盤 16〇)及一上部186其具有一開口 188,該開口被作成可固 持該基材1 22的大小及形狀。開口 1 8 8是被開設在相對於 該座124的中央位置處。轉接器182大體上是由一單件的 耐蝕刻及耐尚溫材質製成,像是聚醯胺陶瓷或石英。一適 1364779 __ 9f年'Μ 乂日修(更)正本 合的光罩轉接器被揭示在20 01年6月26曰發出之美國專 利第6,25 1,21 7號中,該專利案的内容藉由此參照而被併 於本文中。一邊缘環126會覆蓋該轉接器182及/或將該接 器182固定到該座124上。
一舉升機構138無用來降低或升高該轉接器182,及 將基材122放到該基材支撐座124上或抬離該基材支撐座 124。大致上,舉升機構138包含複數個舉升銷130(圖上 顯示一個舉升銷)’該些舉升銷130係可行進穿過各自的導 引扎》 在運作時’基材122的溫度是藉由將基材支撐座124 予以穩定化來控制。在一實施例中,該基材支撐座1 24包 含一電阻式加熱器144及一散熱器128。該電阻式加熱器 144大體上包含至少一加熱元件134且由一加熱器電源供 應器168來管理。來自該氣體源156之背側氣體(如,氦氣 (He))經由一氣體導管158而被提供至位於形成在該基材 底下之該座表面内的通道中。該背側氣體被用來促進該座 124與該基材122之間的熱交換。在處理期間,座124可 被埋設的電阻式加熱器1 44加熱至一穩態溫度,其與該氦 氣的背側氣體一起共同促進該基材122的均勻加熱。使用 此種熱控制,該基材可被保持在一介於約〇°C至約350 °C之 間的溫度》 一離子-自由基屏蔽件170被設置在該室1〇2内之在該 座124的上方處。該離子-自由基屏蔽件17〇與該室壁1〇4 及座124間為電性隔絕且包含一扁平的板172及複數隻腳 8 今产ι月"^修(更)正本 1 - — ^__L·, 176。板172被腳176支撐在該室1〇2内位在該座的上方 處。板172昇有一或多個開口(孔)174其在該板172的表 面上界定出一所想要的開孔區。該離子-自由基屏蔽件17〇 的開孔區控制著從形成在該處理室1 02的一上處理空間 178内的電漿通過到位在該離子-自由基屏蔽件170與該基 材122之間的下處理空間180的離子數量。該開孔區愈大, 可通過該離子-自由基屏蔽件 170的離子數量就愈多。因 此,孔1 7 4的大小控制著在處理空間1 8 〇中的離子密度。 因此,該離子-自由基屏蔽件170為一離子過滤器。 第2圖顯示該離子-自由基屏蔽件17〇的一特定實施例 的立體圖。在此實施例中’該離子-自由基屏蔽件17〇包含 一板丨72其具有複數個孔174及複數隻腳176。板172是 用陶瓷(如氧化鋁),石英,陽極化鋁,或其它可與處理化 學相容的物質製成。在另一實施例中,該板172可包含一 網篩(screen)或網狀物(mesh),其中該網薛或該網狀物的開 孔區域係對應於孔1 74所提供之所想要的開孔區。或者’ 一種板子與網篩或網狀物的組合亦可被使用。 該等複數個孔I74在該板172的表面上的大小’間距’ 及形狀係可被改變。孔1 74的大小大致上其範圍是在〇 〇3 英吋(0.07公分)至約3英忖(7.62公分)之間。孔174可被 安排來界定一開孔區’其佔該板1 7 2的表面的比例從約2 0/〇 至約90%。在一實施例中,該一或多個孔174包括複數個 直徑約半英吋(1.25公分)的孔洞,其被安排成一方形的格 子圖樣且界定出一約3 0 /〇的開孔區。該等孔動可安排成其 1364779 行年匕月^"日修(更)正本丨 它幾何或隨機圖樣’其形狀使用其它尺寸的孔或不同尺寸 的孔。該等孔满的尺寸’形狀及圓樣可隨著在該下處理空 間1 8 0中所想要之離子密度而被改變。例如,多個小直徑 的孔洞可被用來增加在該處理空間180中之自由基/離子 密度的比例。在其它情況下,數個大孔洞可點綴地散置在 小孔洞之間用以提高在該處理空間180中之離子/自由基 的密度。或者’大孔洞可被設在該板172的特定的區域上 用以規畫出在該處理空間180内的離子分布。
該離子-自由基屏蔽件170被支樓的高度可改變用以 進一步控制蝕刻處理。該離子·自由基屏蔽件1 70離天花板 1 0 8愈近,該上處理空間1 7 8就愈小。一小的上處理空間 178可促進電漿更加穩定。在一實施例令,該離子-自由基 屏蔽件170被設置在離該天花板108約1英吋(2.54公分) 的地方。藉由將該離子-自由基屏蔽件170放置在離該座 124較近的地方(即離基材122較近),則可獲得一較快的 蝕刻率。或者,藉由將該離子-自由基屏蔽件170放置在離
該座124較遠的地方,則可獲得一較慢但較可受控制的蝕 刻率。因此,藉由調整該離子-自由基屏蔽件丨70的高度來 控制餘刻率可以在較快的蝕刻率與改善的關鍵尺度均一性 ,減小的蝕刻偏移之間取得平衡。在一實施例中,該離子^ 自由基@ μ 蔽件170被設置在離該座124約2英吋(5公分1 的位置虚丄 ) 板1〇8 。在—具有6英吋(15‘24公分)的基材122與天花 17〇 , ^間的距離之處理室1〇2内,該離子_自由基屏蔽件 S '範圍在約1.5英时(3.81公分)至約4英付(10.16 10 公分)之間。該離子-自由基屏蔽件170可位在具有不同的 幾何形狀,例如更大或更小的處理室中的不同高度處。 為了要將板 1 72保持在一與基材 1 22間隔開來的關 係,板172被設置在該座124上的複數隻腳176支撐住。 該等腳176大致上係位在該座124或邊緣環126的外週邊 處,且是用與板172同樣的材質來製成。在一實施例中, 三隻腳176被用來提供該離子-自由基屏蔽件170 —穩定的 支撐。腳176大體上將該板子保持在一與基材122或座124 平行的狀態。然而,藉由讓該等腳176有不同的長度,亦 可將該板子保持在一與座成一角度的狀態。 該等腳176的一上端可被壓嵌入到該板172上的一對 應孔内。或者,該等腳176的一上端可被旋入到板172内 或旋入到一固定在該板172的底側上的一托架内》其它不 會與處理條件相衝突之傳統的固定件亦可被用來將腳 176 固定到板172上。 腳176可置放在該座124,轉接器182,或邊緣環126 上。或者,腳176可延伸進入到在該座124,轉接器182, 或邊緣環126上的接收孔(未示出)中。其它的固定方法亦 可被用來將該離子-自由基屏蔽件170固定到該座124,轉 接器1 8 2,或邊緣環12 6上,例如,藉由螺絲,鉚釘及類 此者。當被固定到邊緣環126上時,該離子-自由基屏蔽件 170可以是一易於更換的處理套件的一部分,以便於使 用,維修,更換及類此者。該離子-自由基屏蔽件170可被 建構成能夠被輕易地改裝而適用到既有的處理室上。
1364779 或者,該離子-自由基屏蔽件170可被其它的機構支撐 在該座124的上方,像是藉由使用一接附在該室壁1〇4或 在該處理室102内的其它結構上的托架(未示出當板172 被接附在該室壁104或在該處理室102内的其它結構上的 托架時’板172會與其它的接地路徑,像是地極ι〇6,隔 離開來。 再次參照第1圖,一或多種處理氣體從—氣體面板12〇 被提供到該處理室102。該等處理氣體典型地係經由一或 ^ 多個位在該基材座124上方之入口 116(如,開孔,注入器, 及類此者)而被供應。在第1圖所示的實施例中,處理氣體 係使用一環形氣體通道118被提供到入口 116β氣體通道 118可被形成在壁1〇4内或形成在耦合到壁1〇4的氣體環 (如圖所示)内。在一钱刻處理期間,藉由將來自電漿源U2 的功率施加到天線11〇來將處理氣體激勵成為一電漿。 在處理室1 02内的壓力係使用一節流閥i 62及一真空 幫浦164來控制的。壁丨〇4的溫度可使用繞經壁丨〇4之裝 φ 有液趙的導管(未示出)來控制。典型地,室壁104是由金 屬(如,鋁,不銹鋼及類此者)製成的且被耦合到一電性地 。 極1 06。該處理室1 〇2亦包含傳統用於處理控制’内部診 斷,終點偵測及類此者的系統。這些系統被概括地標示為 支援系統1 5 4。 控制器146包含一中央處理單元(Cpu) 150 , —記憶體 148’及CPU 150的支援電路152,且促進該處理室1〇2的 構件的控制,如蚀刻處理的控制,這將在下文中詳細說明。 12 1364779 修(更)正本 控制器146可以是可被使用在一用來 设制不同室之工業裝 置中之一般用途電腦處理器或副處理装 、 35的任何一種形式。 該CPU150之記憶體,或電腦可讀 取的媒體可以是一或多 個可以很容易取得之記憶體,如隨 一 -&碰 機存取記憶體(RAM), 唯讀記憶體(ROM),軟碟片,硬碟播 、何’或其它形式的數位 儲存裝置’本地的或遠端的。支接 入钱電路丨52被耦合至 CPU150’以傳統的方式來支援該處 比抽# 器。這些電路包括快
取記憶體,電源供應,時脈電路,輪 ^ ^ ^ 掏入/輪出電路及子系統, 及類此者。本發明的方法以軟趙程或从 ^ 1/10 . 式的形式被儲存在記憶 體148中。或者,此軟體程式亦 J被儲存及/或被一第二 cpu(未示出)執行,該第二CPu # 1立在該硬體的遠端且受 CPU150所控制。
本發明之使用該離子-自由基屏蔽件no來钱刻一光 罩基材的示範性方法3GG被示於第3圖的流程时且係參 照第!圖來說明。方法300自㈣3〇2開始,即基材122 被放在一基材支撐座124上且在一處理室1〇2内的一離子_ 自由基屏蔽件170底下β該離子_自由基屏蔽件17〇被放在 該座124上方約2英吋(5公分)處。基材122躺在該轉接 器182的開孔188内》典型地,基材122大體包含一光學 透明的矽基物質,如石英(即,二氧化矽,si〇2),其具有 一設置在該石英的表面上之不透明的金屬遮光層,其通稱 為一光罩物質。用作為光罩物質的金屬典型地包括鉻或氮 氧化鉻。基材122亦可包括一摻雜了鉬(M〇)之氮化矽層’ 其被放在石英與鉻之間。 13 1364779 修(更)正本丨
在步驟3 04,一或多種處理氣體經由氣體入口 116被 引入到處理室102中。示範性的處理氣體包括氧氣(〇2)或 含氧氣體,如一氧化碳(CO),及/或含鹵素的氣體,如用來 蝕刻金屬層之含氯氣醴。處理氡體可進一步包括鈍氣或其 匕含氧氣體。一氧化碳被有利地用來形成鈍態聚合物沉積 物於表面上’特別是在開孔的側壁上以及在形成於一圖樣 化的阻劑物質及被蝕刻的金屬層内的圖樣上。含氣氣體是 從由氣氣(C12),四氣化矽(SiCl4),三氣化硼(BC13)及它們 的混合物所構成的組群中選取的,且被用來供應高度反應 性的自由基來蝕刻金屬層。
在一實施例中,在提供10至1 000sccm流率的氣及〇 至lOOOsccm流率的氧之下,使用該Tetra ϊ, Tetra II,或 DPS® II蝕刻模組來蝕刻該包含鉻的基材ι22β 一介於5至 500W的基材偏壓功率被施加至該靜電夹盤16〇上且該基 材122被保持在小於約150 °C的溫度範圍内。在處理室内 的壓力被控制在約1 mTorr至約1 OmTorr的範圍内。一特定 的處理配方提供80sccm流率的氣及20sccm流率下氧,施 加15W的偏壓功率,及保持2mTorr的壓力。該處理提供 至少1 :1之鉻對光阻的蝕刻選擇性。
在步驟306,一電漿從該一或多種處理氣體被形成, 而用通過該離子-自由基屏蔽件170的自由基來蝕刻基材 122。該電漿大體上係藉由從該電漿電源Π2施加介於約 2 00至約20 00W的RF功率至天線110而被形成在上處理 空間178中。在一實施例中,功率水平在約35〇w的RF 14 1364779 作<曰修(更)正本< 功率疋在13.56MHz的頻率下被施加到天線no上。
备RF功率在步驟3〇6被施加時,一電漿被形成且電 子會爲擊該板子用以形成一電位於該離子-自由基屏蔽件 170的表面上。該電位吸引存在該電漿中的離子並限制通 過孔174進入到下處理空間18〇中的離子數。在該電漿中 之電中性的自由基通過在離子-自由基屏蔽件17〇上的孔 174進入到下處理空間18〇。因此,基材122主要是由電漿 所形成的自由基所蝕刻,同時撞擊到該基材122的離子數 量亦受到控制。撞擊到基材112的離子的減少可降低蝕刻 偏移且可改善基材122的關鍵尺度的均一性。詳言之,在 使用前述的處理蝕刻基材之後所測得的測量值透露出該蝕 刻偏移被減小至1 〇nm且良好的垂直外形在鉻側壁上被觀 察到》詳言之,側壁被觀察出具有一不大於89度的角度。 一沒有緩和部或腳部之陡峭的外形在被蝕刻區的底部與側 壁之間的界面處被觀察到。此外,該關鍵尺度均一性被改 善到小於5 n m。
雖然以上所述係有關於本發明的實施例,但本發明之 其它及進一步的實施例可在沒有偏離本發明的基本範圍下 被疋成,而本發明的範圍是由下面的申請專利範圍來界定 的。 【圖式簡單說明】 本發明之一更為特定的描述可藉由參照顯示於附圖中 之實施例而被作成,使得本發明之上述特徵,優點及目地 15 1364779 ?7年1月^修(更)正本- 可被詳細地瞭解。然而,應注意的是,附圖中所示者為本 發明之典型的實施例,因此不應被認為是本發明範圍的限 制,因為本發明可以有其它等效的實施例。 第1圖為一具有一離子-自由基屏蔽件蝕刻反應器的 不意圖, 第2圖為第1圖中之離子-自由基屏蔽件的實施例的一 部分立體圖;及 第3圖為蝕刻一光罩的方法的流程圖。
【主要元件符號說明】 100 反 應 器 102 處 理 室 104 室 壁 106 地 極 108 天 花 板 110 天 線 110a,110b 元件 112 電 漿 電 源 114 配 接 網絡 116 入 D 118 下 氣 體管 120 氣 體 面 板 122 基 材 124 基 材 支 撐座 126 邊 緣 環 128 散 熱 器 130 舉 升 銷 132 夾 持 電 極 134 加 熱 元件 136 導 引 孔 138 舉 升 機構 140 偏 壓 電 源 142 配 接 網絡 144 加 熱 器 146 控 制 器 148 記 憶 體 150 CPU 152 支 援 電 路
16 1364779 年ι月1^修(更)正本
154 支援 系 统 156 氦 氣 源 158 氣體 導 管 160 夾 盤 162 節流 閥 164 真 空 幫 浦 166 夾盤 電 源 168 加 熱 器 電 源 170 電漿 穩 定 器 172 板 174 孔洞 176 銷 178 上處 理 空 間 180 下 處 理 空 間 182 轉接 器 184 下 部 186 上部 188 開 孔 17

Claims (1)

1364779 ^修止1 W年广玥己,、芯士 j '// 第卻丨號專利案? f年丨厶月修正^一― /- .*、.‘!·- ·*--.-.**·* ί ., .. .... ί . ν' ' .·. 蘇’-铲請專利範:廚 1. 一種用於電漿蝕刻的設備,其至少包含: 一電感耦合電漿處理室; 一基材支撐座,其設置在該處理室中且適以接收一光 罩於其上; 一線圈,其設置在鄰近該處理室處,用以將功率電感 耦合到一形成在該處理室内的電漿;
一 RF電源,其耦接到該線圈,用來形成該電漿於該 處理室内; 一離子-自由基屏蔽件,其設置在該處理室内位在該基 材支撐座上方處且適以控制該電漿之帶電荷物質與電中性 物質的空間分布,其中該離子-自由基屏蔽件包含陽極化的 鋁,以及其中複數隻支撐腳用來將該離子-自由基屏蔽件支 撐於該座上方1. 5英吋(3 · 8 1公分)至4英吋(1 0 · 1 6公分)的 距離;及
一邊緣環,其係繞著該支撐座上表面的周邊而設置且 具有該複數隻支撐腳自其延伸。 2.如申請專利範圍第1項所述之設備,其中該RF電 源係電感耦合到該處理室。 3.如申請專利範圍第1項所述之設備,其中該離子-自由基屏蔽件更包含複數個孔洞穿過其中,該等孔洞的直 18 1364779 徑為1.2 5公分。 4.如申請專利範圍第1項所述之設備,其中該屏蔽件 被設置在該基材支撐座上方5公分處。 5.如申請專利範圍第1項所述之設備,其中該屏蔽件 更包含:
一實質扁平件,其係與該處理室彼此為電性隔絕;及 複數個孔洞。 6 ·如申請專利範圍第5項所述之設備,其中該扁平件 更包含: 一板,其具有複數個孔洞形成貫穿其中。
7.如申請專利範圍第6項所述之設備,其中位在該板 表面上該等孔洞的尺寸、形狀、位置及分布,係可在接近 該基材處界定出一離子與自由基密度的比例。 8.如申請專利範圍第1項所述之設備,其中該等腳係 相對於該座以實質平行且空間相距一定間隔的方式來支撐 該板。 9. 一種用於電漿蝕刻的設備,其至少包含: 19 1364779 修正 補充 一處理室; 一基材支撐座,其設置在該處理室中且適以接受一光 罩於其上; 一 RF電源,用來形成一電漿於該處理室内; 一天線,其設置以將來自該R_F電源之功率電感耦合 到一位在該處理室内的電漿;及
一離子-自由基屏蔽件,其設置在該處理室内位在該基 材支撐座上方處,並且包含複數個適以控制該電漿之帶電 荷物質與電中性物質的空間分布的孔洞;其中該離子-自由 基屏蔽件之面積區域大於該基材支撐座之面積區域,其中 複數隻支撐腳用來將該離子-自由基屏蔽件支撐於該座上 方約1.5英吋(3.8 1公分)至約4英吋(1 0.1 6公分)。 20
TW094110929A 2004-06-30 2005-04-06 Method and apparatus for photomask plasma etching TWI364779B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/882,084 US20060000802A1 (en) 2004-06-30 2004-06-30 Method and apparatus for photomask plasma etching

Publications (2)

Publication Number Publication Date
TW200601429A TW200601429A (en) 2006-01-01
TWI364779B true TWI364779B (en) 2012-05-21

Family

ID=35124457

Family Applications (2)

Application Number Title Priority Date Filing Date
TW094110929A TWI364779B (en) 2004-06-30 2005-04-06 Method and apparatus for photomask plasma etching
TW095146522A TWI372426B (en) 2004-06-30 2005-04-06 Method and apparatus for photomask plasma etching

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW095146522A TWI372426B (en) 2004-06-30 2005-04-06 Method and apparatus for photomask plasma etching

Country Status (5)

Country Link
US (3) US20060000802A1 (zh)
EP (1) EP1612840A3 (zh)
JP (5) JP4716791B2 (zh)
KR (1) KR20060045765A (zh)
TW (2) TWI364779B (zh)

Families Citing this family (185)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7521000B2 (en) 2003-08-28 2009-04-21 Applied Materials, Inc. Process for etching photomasks
US8349128B2 (en) 2004-06-30 2013-01-08 Applied Materials, Inc. Method and apparatus for stable plasma processing
US20060000802A1 (en) * 2004-06-30 2006-01-05 Ajay Kumar Method and apparatus for photomask plasma etching
US7879510B2 (en) 2005-01-08 2011-02-01 Applied Materials, Inc. Method for quartz photomask plasma etching
US7829243B2 (en) * 2005-01-27 2010-11-09 Applied Materials, Inc. Method for plasma etching a chromium layer suitable for photomask fabrication
US20070031609A1 (en) * 2005-07-29 2007-02-08 Ajay Kumar Chemical vapor deposition chamber with dual frequency bias and method for manufacturing a photomask using the same
US7829471B2 (en) 2005-07-29 2010-11-09 Applied Materials, Inc. Cluster tool and method for process integration in manufacturing of a photomask
KR101149332B1 (ko) * 2005-07-29 2012-05-23 주성엔지니어링(주) 플라즈마 식각 장치
US7375038B2 (en) 2005-09-28 2008-05-20 Applied Materials, Inc. Method for plasma etching a chromium layer through a carbon hard mask suitable for photomask fabrication
US8052885B2 (en) * 2006-01-12 2011-11-08 Kla-Tencor Corporation Structural modification using electron beam activated chemical etch
US7709792B2 (en) * 2006-01-12 2010-05-04 Kla-Tencor Technologies Corporation Three-dimensional imaging using electron beam activated chemical etch
WO2007100933A2 (en) * 2006-01-12 2007-09-07 Kla Tencor Technologies Corporation Etch selectivity enhancement, deposition quality evaluation, structural modification and three-dimensional imaging using electron beam activated chemical etch
US7945086B2 (en) * 2006-01-12 2011-05-17 Kla-Tencor Technologies Corporation Tungsten plug deposition quality evaluation method by EBACE technology
US7879730B2 (en) * 2006-01-12 2011-02-01 Kla-Tencor Technologies Corporation Etch selectivity enhancement in electron beam activated chemical etch
US7932181B2 (en) * 2006-06-20 2011-04-26 Lam Research Corporation Edge gas injection for critical dimension uniformity improvement
KR100944846B1 (ko) * 2006-10-30 2010-03-04 어플라이드 머티어리얼스, 인코포레이티드 마스크 에칭 프로세스
US7964818B2 (en) * 2006-10-30 2011-06-21 Applied Materials, Inc. Method and apparatus for photomask etching
US7943005B2 (en) 2006-10-30 2011-05-17 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US7919722B2 (en) 2006-10-30 2011-04-05 Applied Materials, Inc. Method for fabricating plasma reactor parts
US7909961B2 (en) * 2006-10-30 2011-03-22 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US20080156264A1 (en) 2006-12-27 2008-07-03 Novellus Systems, Inc. Plasma Generator Apparatus
US7614871B2 (en) * 2007-07-12 2009-11-10 Husky Injection Molding Systems Ltd Rotary valve assembly for an injection nozzle
AU2008278595A1 (en) * 2007-07-26 2009-01-29 Pipeline Financial Group, Inc. Block trading system and method providing price improvement to aggressive orders
US8609545B2 (en) * 2008-02-14 2013-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method to improve mask critical dimension uniformity (CDU)
US9591738B2 (en) * 2008-04-03 2017-03-07 Novellus Systems, Inc. Plasma generator systems and methods of forming plasma
US8916022B1 (en) * 2008-09-12 2014-12-23 Novellus Systems, Inc. Plasma generator systems and methods of forming plasma
US8834732B2 (en) * 2008-10-02 2014-09-16 Varian Semiconductor Equipment Associates, Inc. Plasma uniformity control using biased array
US8329055B2 (en) * 2008-10-02 2012-12-11 Varian Semiconductor Equipment Associates, Inc. Plasma uniformity control using biased array
JP5361651B2 (ja) 2008-10-22 2013-12-04 株式会社半導体エネルギー研究所 半導体装置の作製方法
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US20110315319A1 (en) * 2010-06-25 2011-12-29 Applied Materials, Inc. Pre-clean chamber with reduced ion current
US9793126B2 (en) 2010-08-04 2017-10-17 Lam Research Corporation Ion to neutral control for wafer processing with dual plasma source reactor
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) * 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
WO2012166265A2 (en) 2011-05-31 2012-12-06 Applied Materials, Inc. Apparatus and methods for dry etch with edge, side and back protection
JP6046128B2 (ja) 2011-05-31 2016-12-14 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 誘導結合プラズマ(icp)リアクタ用動的イオンラジカルシーブ及びイオンラジカルアパーチャ
US8617411B2 (en) * 2011-07-20 2013-12-31 Lam Research Corporation Methods and apparatus for atomic layer etching
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US9039911B2 (en) 2012-08-27 2015-05-26 Lam Research Corporation Plasma-enhanced etching in an augmented plasma processing system
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
JP5977592B2 (ja) * 2012-06-20 2016-08-24 東京応化工業株式会社 貼付装置
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9048190B2 (en) * 2012-10-09 2015-06-02 Applied Materials, Inc. Methods and apparatus for processing substrates using an ion shield
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
EP2783862B1 (en) 2013-03-28 2019-05-08 Brother Kogyo Kabushiki Kaisha Liquid cartridge
JP6136453B2 (ja) 2013-03-28 2017-05-31 ブラザー工業株式会社 インクカートリッジ及びインクカートリッジの製造方法
US9230819B2 (en) 2013-04-05 2016-01-05 Lam Research Corporation Internal plasma grid applications for semiconductor fabrication in context of ion-ion plasma processing
US9245761B2 (en) 2013-04-05 2016-01-26 Lam Research Corporation Internal plasma grid for semiconductor fabrication
US9017526B2 (en) 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
US9147581B2 (en) 2013-07-11 2015-09-29 Lam Research Corporation Dual chamber plasma etcher with ion accelerator
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US20150020974A1 (en) * 2013-07-19 2015-01-22 Psk Inc. Baffle and apparatus for treating surface of baffle, and substrate treating apparatus
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
JP6342195B2 (ja) * 2014-03-28 2018-06-13 株式会社アルバック 窒化ガリウム膜のエッチング方法
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20210343509A9 (en) * 2015-02-11 2021-11-04 Applied Materials, Inc. Conditioned semiconductor system parts
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9960049B2 (en) 2016-05-23 2018-05-01 Applied Materials, Inc. Two-step fluorine radical etch of hafnium oxide
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Family Cites Families (118)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS59172236A (ja) * 1983-03-18 1984-09-28 Matsushita Electric Ind Co Ltd 反応性イオンエツチング装置
US4496420A (en) * 1984-04-06 1985-01-29 Bmc Industries, Inc. Process for plasma desmear etching of printed circuit boards and apparatus used therein
US4600464A (en) * 1985-05-01 1986-07-15 International Business Machines Corporation Plasma etching reactor with reduced plasma potential
JPH02184029A (ja) * 1989-01-11 1990-07-18 Fujitsu Ltd ドライエッチング装置
JPH07101685B2 (ja) * 1989-01-26 1995-11-01 富士通株式会社 マイクロ波プラズマ処理装置
US5075256A (en) * 1989-08-25 1991-12-24 Applied Materials, Inc. Process for removing deposits from backside and end edge of semiconductor wafer while preventing removal of materials from front surface of wafer
JP2888258B2 (ja) * 1990-11-30 1999-05-10 東京エレクトロン株式会社 基板処理装置および基板処理方法
JPH04240725A (ja) * 1991-01-24 1992-08-28 Sumitomo Electric Ind Ltd エッチング方法
JPH05326452A (ja) * 1991-06-10 1993-12-10 Kawasaki Steel Corp プラズマ処理装置及び方法
US6238588B1 (en) * 1991-06-27 2001-05-29 Applied Materials, Inc. High pressure high non-reactive diluent gas content high plasma ion density plasma oxide etch process
JPH05175094A (ja) * 1991-12-24 1993-07-13 Toshiba Corp パタ−ン形成方法
US5803977A (en) * 1992-09-30 1998-09-08 Applied Materials, Inc. Apparatus for full wafer deposition
KR100276093B1 (ko) * 1992-10-19 2000-12-15 히가시 데쓰로 플라스마 에칭방법
US5820686A (en) * 1993-01-21 1998-10-13 Moore Epitaxial, Inc. Multi-layer susceptor for rapid thermal process reactors
US5662770A (en) * 1993-04-16 1997-09-02 Micron Technology, Inc. Method and apparatus for improving etch uniformity in remote source plasma reactors with powered wafer chucks
JP3257741B2 (ja) * 1994-03-03 2002-02-18 東京エレクトロン株式会社 プラズマエッチング装置及び方法
US5685914A (en) * 1994-04-05 1997-11-11 Applied Materials, Inc. Focus ring for semiconductor wafer processing in a plasma reactor
JPH08148473A (ja) * 1994-11-15 1996-06-07 Toshiba Corp プラズマ処理装置
US5811022A (en) * 1994-11-15 1998-09-22 Mattson Technology, Inc. Inductive plasma reactor
US5605637A (en) * 1994-12-15 1997-02-25 Applied Materials Inc. Adjustable dc bias control in a plasma reactor
US5673922A (en) * 1995-03-13 1997-10-07 Applied Materials, Inc. Apparatus for centering substrates on support members
JP3430277B2 (ja) * 1995-08-04 2003-07-28 東京エレクトロン株式会社 枚葉式の熱処理装置
JPH0982689A (ja) * 1995-09-19 1997-03-28 Toshiba Corp プラズマ処理装置およびその方法
JP3237743B2 (ja) * 1996-02-15 2001-12-10 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US6090717A (en) * 1996-03-26 2000-07-18 Lam Research Corporation High density plasma etching of metallization layer using chlorine and nitrogen
US5614026A (en) * 1996-03-29 1997-03-25 Lam Research Corporation Showerhead for uniform distribution of process gas
JP3561080B2 (ja) * 1996-04-23 2004-09-02 松下電器産業株式会社 プラズマ処理装置及びプラズマ処理方法
US6048435A (en) * 1996-07-03 2000-04-11 Tegal Corporation Plasma etch reactor and method for emerging films
US5846332A (en) * 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
JPH10107062A (ja) * 1996-09-27 1998-04-24 Matsushita Electric Ind Co Ltd プラズマクリーニング装置、プラズマクリーニング方法及び回路モジュールの製造方法
WO1998014636A1 (en) * 1996-09-30 1998-04-09 Lam Research Corporation Apparatus for reducing polymer deposition on substrate support
US6284093B1 (en) * 1996-11-29 2001-09-04 Applied Materials, Inc. Shield or ring surrounding semiconductor workpiece in plasma chamber
US6113731A (en) * 1997-01-02 2000-09-05 Applied Materials, Inc. Magnetically-enhanced plasma chamber with non-uniform magnetic field
JPH10270430A (ja) * 1997-03-27 1998-10-09 Mitsubishi Electric Corp プラズマ処理装置
US6071372A (en) * 1997-06-05 2000-06-06 Applied Materials, Inc. RF plasma etch reactor with internal inductive coil antenna and electrically conductive chamber walls
US5972781A (en) * 1997-09-30 1999-10-26 Siemens Aktiengesellschaft Method for producing semiconductor chips
JP2001520452A (ja) * 1997-10-15 2001-10-30 東京エレクトロン株式会社 プラズマの密度分布を調節する装置及び方法
KR20010032824A (ko) * 1997-12-05 2001-04-25 테갈 코퍼레이션 증착 실드를 갖는 플라즈마 리액터
US6077404A (en) * 1998-02-17 2000-06-20 Applied Material, Inc. Reflow chamber and process
US6203657B1 (en) * 1998-03-31 2001-03-20 Lam Research Corporation Inductively coupled plasma downstream strip module
US6129808A (en) * 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
US6335293B1 (en) * 1998-07-13 2002-01-01 Mattson Technology, Inc. Systems and methods for two-sided etch of a semiconductor substrate
US6299293B1 (en) * 1998-12-03 2001-10-09 Canon Kabushiki Kaisha Substrate for liquid discharge head, liquid discharge head and liquid discharge apparatus
US6261406B1 (en) * 1999-01-11 2001-07-17 Lsi Logic Corporation Confinement device for use in dry etching of substrate surface and method of dry etching a wafer surface
US6251217B1 (en) * 1999-01-27 2001-06-26 Applied Materials, Inc. Reticle adapter for a reactive ion etch system
JP2000277497A (ja) * 1999-03-27 2000-10-06 Sigma Meltec Ltd 金属薄膜のエッチング方法
US20020033233A1 (en) * 1999-06-08 2002-03-21 Stephen E. Savas Icp reactor having a conically-shaped plasma-generating section
US6375748B1 (en) * 1999-09-01 2002-04-23 Applied Materials, Inc. Method and apparatus for preventing edge deposition
US6287643B1 (en) * 1999-09-30 2001-09-11 Novellus Systems, Inc. Apparatus and method for injecting and modifying gas concentration of a meta-stable or atomic species in a downstream plasma reactor
US6364949B1 (en) * 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
US6589352B1 (en) * 1999-12-10 2003-07-08 Applied Materials, Inc. Self aligning non contact shadow ring process kit
US6553332B2 (en) * 1999-12-22 2003-04-22 Texas Instruments Incorporated Method for evaluating process chambers used for semiconductor manufacturing
US6676800B1 (en) * 2000-03-15 2004-01-13 Applied Materials, Inc. Particle contamination cleaning from substrates using plasmas, reactive gases, and mechanical agitation
US6900596B2 (en) * 2002-07-09 2005-05-31 Applied Materials, Inc. Capacitively coupled plasma reactor with uniform radial distribution of plasma
US7141757B2 (en) * 2000-03-17 2006-11-28 Applied Materials, Inc. Plasma reactor with overhead RF source power electrode having a resonance that is virtually pressure independent
AU2001247685A1 (en) * 2000-03-30 2001-10-15 Tokyo Electron Limited Method of and apparatus for tunable gas injection in a plasma processing system
US6514378B1 (en) * 2000-03-31 2003-02-04 Lam Research Corporation Method for improving uniformity and reducing etch rate variation of etching polysilicon
JP2001308065A (ja) * 2000-04-19 2001-11-02 Nec Corp ドライエッチング装置およびドライエッチング方法
US6635117B1 (en) * 2000-04-26 2003-10-21 Axcelis Technologies, Inc. Actively-cooled distribution plate for reducing reactive gas temperature in a plasma processing system
US20040011468A1 (en) * 2000-05-30 2004-01-22 Jun Hirose Gas introduction system for temperature adjustment of object to be processed
US6521292B1 (en) * 2000-08-04 2003-02-18 Applied Materials, Inc. Substrate support including purge ring having inner edge aligned to wafer edge
US6872281B1 (en) * 2000-09-28 2005-03-29 Lam Research Corporation Chamber configuration for confining a plasma
AU2002211730A1 (en) * 2000-10-16 2002-04-29 Tokyo Electron Limited Plasma reactor with reduced reaction chamber
JP4366856B2 (ja) * 2000-10-23 2009-11-18 東京エレクトロン株式会社 プラズマ処理装置
JP4877884B2 (ja) * 2001-01-25 2012-02-15 東京エレクトロン株式会社 プラズマ処理装置
US20020121501A1 (en) * 2001-03-05 2002-09-05 Choquette Scott F. Reduction of sodium contamination in a semiconductor device
US20020142612A1 (en) * 2001-03-30 2002-10-03 Han-Ming Wu Shielding plate in plasma for uniformity improvement
US6761796B2 (en) * 2001-04-06 2004-07-13 Axcelis Technologies, Inc. Method and apparatus for micro-jet enabled, low-energy ion generation transport in plasma processing
US6344631B1 (en) * 2001-05-11 2002-02-05 Applied Materials, Inc. Substrate support assembly and processing apparatus
US6652711B2 (en) * 2001-06-06 2003-11-25 Tokyo Electron Limited Inductively-coupled plasma processing system
KR20020095324A (ko) * 2001-06-14 2002-12-26 삼성전자 주식회사 고주파 파워를 이용하는 반도체장치 제조설비
KR100422446B1 (ko) * 2001-07-12 2004-03-12 삼성전자주식회사 건식식각장치의 이그저스트링
US6868800B2 (en) * 2001-09-28 2005-03-22 Tokyo Electron Limited Branching RF antennas and plasma processing apparatus
EP1444726A4 (en) * 2001-10-22 2008-08-13 Unaxis Usa Inc METHOD AND DEVICE FOR Etching PHOTOMASCIC SUBSTRATES USING PULSED PLASMA
TW567394B (en) * 2001-10-22 2003-12-21 Unaxis Usa Inc Apparatus for processing a photomask, method for processing a substrate, and method of employing a plasma reactor to etch a thin film upon a substrate
KR20030041495A (ko) * 2001-11-20 2003-05-27 주식회사 하이닉스반도체 반도체 소자 및 제조 방법
AU2002366943A1 (en) * 2001-12-20 2003-07-09 Tokyo Electron Limited Method and apparatus comprising a magnetic filter for plasma processing a workpiece
US20030194510A1 (en) * 2002-04-16 2003-10-16 Applied Materials, Inc. Methods used in fabricating gates in integrated circuit device structures
WO2003089990A2 (en) * 2002-04-19 2003-10-30 Applied Materials, Inc. Process for etching photomasks
US7013834B2 (en) * 2002-04-19 2006-03-21 Nordson Corporation Plasma treatment system
JP2003338491A (ja) * 2002-05-21 2003-11-28 Mitsubishi Electric Corp プラズマ処理装置および半導体装置の製造方法
US20040031565A1 (en) * 2002-08-13 2004-02-19 Taiwan Semiconductor Manufacturing Co., Ltd. Gas distribution plate for processing chamber
KR100465877B1 (ko) * 2002-08-23 2005-01-13 삼성전자주식회사 반도체 식각 장치
US7459098B2 (en) * 2002-08-28 2008-12-02 Kyocera Corporation Dry etching apparatus, dry etching method, and plate and tray used therein
US7252738B2 (en) * 2002-09-20 2007-08-07 Lam Research Corporation Apparatus for reducing polymer deposition on a substrate and substrate support
US6837966B2 (en) * 2002-09-30 2005-01-04 Tokyo Electron Limeted Method and apparatus for an improved baffle plate in a plasma processing system
US20030047536A1 (en) * 2002-10-02 2003-03-13 Johnson Wayne L. Method and apparatus for distributing gas within high density plasma process chamber to ensure uniform plasma
US20040069227A1 (en) * 2002-10-09 2004-04-15 Applied Materials, Inc. Processing chamber configured for uniform gas flow
JP2004165298A (ja) * 2002-11-11 2004-06-10 Canon Sales Co Inc プラズマ処理装置及びプラズマ処理方法
JP4479222B2 (ja) * 2002-11-22 2010-06-09 沖電気工業株式会社 化合物半導体層の表面処理方法及び半導体装置の製造方法
US7582186B2 (en) * 2002-12-20 2009-09-01 Tokyo Electron Limited Method and apparatus for an improved focus ring in a plasma processing system
JP2004214336A (ja) * 2002-12-27 2004-07-29 Tokyo Electron Ltd プラズマエッチング方法およびプラズマエッチング装置
US6806949B2 (en) * 2002-12-31 2004-10-19 Tokyo Electron Limited Monitoring material buildup on system components by optical emission
CN1777691B (zh) * 2003-03-21 2011-11-23 东京毅力科创株式会社 用于减少处理过程中基片背部的淀积的方法和装置
US6805779B2 (en) * 2003-03-21 2004-10-19 Zond, Inc. Plasma generation using multi-step ionization
WO2004095502A2 (en) * 2003-03-31 2004-11-04 Tokyo Electron Limited Plasma processing system and method
US6806651B1 (en) * 2003-04-22 2004-10-19 Zond, Inc. High-density plasma source
US7846254B2 (en) * 2003-05-16 2010-12-07 Applied Materials, Inc. Heat transfer assembly
JP2004349419A (ja) * 2003-05-21 2004-12-09 Tokyo Electron Ltd プラズマ処理装置の異常原因判定方法及び異常原因判定装置
US7241345B2 (en) * 2003-06-16 2007-07-10 Applied Materials, Inc. Cylinder for thermal processing chamber
US20040261718A1 (en) * 2003-06-26 2004-12-30 Kim Nam Hun Plasma source coil for generating plasma and plasma chamber using the same
US20050011447A1 (en) * 2003-07-14 2005-01-20 Tokyo Electron Limited Method and apparatus for delivering process gas to a process chamber
US20050066902A1 (en) * 2003-09-26 2005-03-31 Tokyo Electron Limited Method and apparatus for plasma processing
US7581511B2 (en) * 2003-10-10 2009-09-01 Micron Technology, Inc. Apparatus and methods for manufacturing microfeatures on workpieces using plasma vapor processes
JP4179614B2 (ja) * 2003-10-16 2008-11-12 ソフトバンクモバイル株式会社 移動体通信端末用外部装置、移動体通信端末及び移動体通信端末用外部表示システム
US7128806B2 (en) * 2003-10-21 2006-10-31 Applied Materials, Inc. Mask etch processing apparatus
US7244336B2 (en) * 2003-12-17 2007-07-17 Lam Research Corporation Temperature controlled hot edge ring assembly for reducing plasma reactor etch rate drift
US20050241767A1 (en) * 2004-04-30 2005-11-03 Ferris David S Multi-piece baffle plate assembly for a plasma processing system
US7449220B2 (en) * 2004-04-30 2008-11-11 Oc Oerlikon Blazers Ag Method for manufacturing a plate-shaped workpiece
US20050263070A1 (en) * 2004-05-25 2005-12-01 Tokyo Electron Limited Pressure control and plasma confinement in a plasma processing chamber
US7740704B2 (en) * 2004-06-25 2010-06-22 Tokyo Electron Limited High rate atomic layer deposition apparatus and method of using
US8349128B2 (en) * 2004-06-30 2013-01-08 Applied Materials, Inc. Method and apparatus for stable plasma processing
US20060000802A1 (en) * 2004-06-30 2006-01-05 Ajay Kumar Method and apparatus for photomask plasma etching
US20060162661A1 (en) * 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
US7829243B2 (en) * 2005-01-27 2010-11-09 Applied Materials, Inc. Method for plasma etching a chromium layer suitable for photomask fabrication
US7943005B2 (en) * 2006-10-30 2011-05-17 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US7964818B2 (en) * 2006-10-30 2011-06-21 Applied Materials, Inc. Method and apparatus for photomask etching
US7909961B2 (en) * 2006-10-30 2011-03-22 Applied Materials, Inc. Method and apparatus for photomask plasma etching

Also Published As

Publication number Publication date
JP2014013899A (ja) 2014-01-23
JP5456639B2 (ja) 2014-04-02
TW200715405A (en) 2007-04-16
KR20060045765A (ko) 2006-05-17
JP2006019719A (ja) 2006-01-19
JP2011071527A (ja) 2011-04-07
EP1612840A3 (en) 2007-07-25
US20060000802A1 (en) 2006-01-05
JP5989608B2 (ja) 2016-09-07
US20140190632A1 (en) 2014-07-10
TWI372426B (en) 2012-09-11
JP4716791B2 (ja) 2011-07-06
TW200601429A (en) 2006-01-01
JP3131039U (ja) 2007-04-19
JP2015201654A (ja) 2015-11-12
US20070017898A1 (en) 2007-01-25
EP1612840A2 (en) 2006-01-04

Similar Documents

Publication Publication Date Title
TWI364779B (en) Method and apparatus for photomask plasma etching
TWI385709B (zh) 用於光罩幕電漿蝕刻之方法與設備
TWI326796B (en) Cluster tool and method for process integration in manufacturing of a photomask
KR101445153B1 (ko) 포토마스크 플라즈마 에칭시 인시츄 챔버 건식 세정을 위한 방법 및 장치
EP1686421B1 (en) Method for plasma etching a chromium layer suitable for photomask fabrication
US7375038B2 (en) Method for plasma etching a chromium layer through a carbon hard mask suitable for photomask fabrication
TWI379354B (en) Method of etching extreme ultraviolet light(euv) photomasks
US20130048606A1 (en) Methods for in-situ chamber dry clean in photomask plasma etching processing chamber
TWI326467B (en) Method for quartz photomask plasma etching
JP5844025B2 (ja) 自己マスク層を有するフォトマスクとそのエッチング方法
JP2006209128A (ja) 保護マスクを使用したホトマスクプラズマエッチング方法
TW201327669A (zh) 蝕刻用於形成光罩之euv反射多重材料層之方法
JP2008070882A (ja) 位相シフトフォトマスク及びその製造方法
US7786019B2 (en) Multi-step photomask etching with chlorine for uniformity control
US9250514B2 (en) Apparatus and methods for fabricating a photomask substrate for EUV applications
KR100823949B1 (ko) 포토마스크 플라즈마 에칭 방법 및 장치

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees