JP5844025B2 - 自己マスク層を有するフォトマスクとそのエッチング方法 - Google Patents

自己マスク層を有するフォトマスクとそのエッチング方法 Download PDF

Info

Publication number
JP5844025B2
JP5844025B2 JP2007239726A JP2007239726A JP5844025B2 JP 5844025 B2 JP5844025 B2 JP 5844025B2 JP 2007239726 A JP2007239726 A JP 2007239726A JP 2007239726 A JP2007239726 A JP 2007239726A JP 5844025 B2 JP5844025 B2 JP 5844025B2
Authority
JP
Japan
Prior art keywords
layer
based material
self
bulk
tantalum
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2007239726A
Other languages
English (en)
Other versions
JP2008070883A (ja
JP2008070883A5 (ja
Inventor
ウー バンクイウ
ウー バンクイウ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2008070883A publication Critical patent/JP2008070883A/ja
Publication of JP2008070883A5 publication Critical patent/JP2008070883A5/ja
Application granted granted Critical
Publication of JP5844025B2 publication Critical patent/JP5844025B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/80Etching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/54Absorbers, e.g. of opaque materials
    • G03F1/58Absorbers, e.g. of opaque materials having two or more different absorber layers, e.g. stacked multilayer absorbers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/26Phase shift masks [PSM]; PSM blanks; Preparation thereof
    • G03F1/30Alternating PSM, e.g. Levenson-Shibuya PSM; Preparation thereof
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31551Of polyamidoester [polyurethane, polyisocyanate, polycarbamate, etc.]
    • Y10T428/31616Next to polyester [e.g., alkyd]

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Drying Of Semiconductors (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Description

発明の背景
(発明の分野)
本発明の実施形態は、概して、半導体デバイスの製造で使用するフォトマスク、更に具体的には自己マスク層を有するフォトマスクとそのエッチング方法に関する。
(関連技術の説明)
集積回路(IC)又はチップの製造において、チップの異なる層を表すパターンはチップ設計者によって作成される。製造工程中に各チップ層のパターンを半導体基板上に転写するために、一連の再使用可能なフォトマスク(本願ではマスクとも称される)をこれらのパターンから作製する。マスクパターン形成システムでは精密レーザや電子ビームを用いてチップの各層のデザインをそれぞれのマスク上に像形成する。次に、マスクを写真のネガのように用いて各層の回路パターンを半導体基板上に転写する。一連の処理を用いてこれらの層を積み重ねると、完成した各チップを構成する微小なトランジスタや電気回路となる。そのため、マスクに少しでも欠陥があるとチップに転写され、性能に悪影響を及ぼす可能性がある。性能に悪影響がでるほどに欠陥が深刻となると、マスクは完全に使い物にならなくなる。典型的には、1つのチップを1セット15〜30個のマスクを用いて構築し、これらは繰り返して使用可能である。
マスクは、通常、不透明な光吸収層をその上に有する透明基板を備える。常法では、典型的なマスクは片側にクロム層を有するガラス又は石英基板である。クロム層は反射防止膜と感光性レジストで被覆されている。パターニング処理中、レジストの部位を電子ビーム又は紫外線に曝露し、曝露部位を現像液可溶性とすることでマスク上に回路デザインを書き込む。次にレジストの可溶部位を除去すると、露出したその下のクロムがエッチング可能となる。エッチング処理によりレジストを除去した位置でクロムと反射防止層をマスクから除去する、つまり露出したクロムを除去する。
マスク製造の主要課題にはエッチング限界寸法(CD)バイアス制御、エッチングCD均一性、断面のプロファイル、エッチングCD直線性、エッチング選択性、欠陥性制御が含まれる。しかしながら、(IC内に形成されるトランジスタと電気回路の寸法の縮小に対応した)マスクの限界寸法の縮小化に伴い、現在の光リソグラフィ技術はその技術的限界に近づきつつある。例えば、現在の光バイナリマスクにおいて、65nm型処理向けの慣用のマスクのエッチングCDバイアスは最先端技術のエッチャー上で約15〜20nmである。このエッチングバイアス問題は主にフォトレジストの侵食により生じる。パターン転写中、吸収層のフォトレジストに対するエッチング速度選択性の限界により、フォトレジストは著しく消耗する。このフォトレジストの消耗によりパターン転写処理の忠実度が低下する。
従って、改善されたマスクとマスク製造方法が求められている。
発明の概要
本願ではフォトマスク構造とエッチング方法を提供する。一実施形態において、フォトマスクは半透明な基板と、基板上に配置された不透明な多層型吸収層を含む。不透明な多層型吸収層はバルク吸収層上に配置された自己マスク層を備える。自己マスク層は窒素化タンタル・ケイ素系材料(TaSiON)、タンタル・ホウ素酸化物系材料(TaBO)、又は酸化及び窒素化タンタル系材料(TaON)の1つを含む。バルク吸収層は、ケイ化タンタル系材料(TaSi)、窒素化ホウ化タンタル系材料(TaBN)、又は窒化タンタル系材料(TaN)の1つを含む。バルク吸収層エッチング工程中の自己マスク層のエッチング速度は低いため、自己マスク層はハードマスクとして機能する。
本発明の別の態様において、フォトマスクエッチング方法は多層型吸収層をその上に有する透明基板を備えるフォトマスクを用意し、多層型吸収層はバルク吸収層上に配置された自己マスク層を備え、ここで自己マスク層はタンタルと酸素を含み、バルク吸収層はタンタルを含み酸素を含まない工程と、第1エッチング処理を用いて自己マスク層をエッチングする工程と、第1エッチング処理とは異なる第2エッチング処理を用いてバルク吸収層をエッチングする工程を含む。
本発明の別の態様において、フォトレジスト層と、フォトレジスト層の下の反射防止サブ層とバルクサブ層とを有する不透明層と、不透明層の下の実質的に透明な基板層を備えるブランクフォトマスク上への像形成方法は、フォトレジスト層にパターン像を形成する工程と、パターン像に対応しないフォトレジスト層部位を除去することでパターン像に対応しない不透明層の反射防止サブ層の部位を露出させる工程と、第1エッチング処理を用いてパターン像に対応しない反射防止サブ層の露出部位を除去することでパターン像に対応しないバルクサブ層の部位を露出させる工程と、バルクサブ層除去速度が反射防止サブ層除去速度の少なくとも10倍である第2エッチング処理を用いて、パターン像に対応しない、反射防止サブ層の下のバルクサブ層の露出部位を除去することでパターン像に対応しない実質的に透明な層の部位を露出させる工程と、フォトレジスト層を除去する工程を含む。
詳細な説明
本発明は従来のマスクと比較してエッチングCDバイアスを低減しパターン転写忠実度を改善するための、光バイナリフォトマスク、組込・減衰型位相シフトマスク(EAPSM)、交互位相配置型マスク(AAPSM)用途に使用可能なフォトマスクとエッチング方法を提供する。
図1は本発明のブランクフォトマスクつまりマスク100の一実施形態を示す。図1に図示されるように、マスク100は多層型吸収層104を有する光透過性基板102を含む。基板102は、典型的には、石英等の光透過性ケイ素系材料(例えば、二酸化ケイ素SiO)を含む。基板102のサイズはフォトマスクとしての使用に適したものであればいずれであってもよい。一実施形態において、基板102の形状は長さ約5〜9インチの辺を有する矩形である。基板102の厚さは約0.15〜0.25インチであってもよい。一実施形態において、基板102の厚さは約0.25インチである。
多層型吸収層104は不透明な遮光層であり、厚さは約40〜110ナノメートル(nm)であってもよい。多層型吸収層104はバルク吸収層106(バルクサブ層とも称する)と自己マスク層108(反射防止サブ層とも称する)とを含む。バルク吸収層106の厚さは多層型吸収層104の約80〜85%であってもよい(つまり、厚さ約30〜90nm)。バルク吸収層106は基本的に酸素を含まないタンタル系材料、例えばケイ化タンタル系材料(以下、TaSiとする)、窒素化ホウ化タンタル系材料(以下、TaBNとする)、窒化タンタル系材料(以下、TaNとする)を含んでいてもよい。
自己マスク層108の厚さは多層型吸収層104の約15〜20パーセントであってもよい(つまり、厚さ約10〜30nm)。自己マスク層108の組成は、通常、タンタル及び酸素系材料を含む。自己マスク層108の組成はバルク吸収層106の組成と対応し、バルク吸収層106がTaSiを含む場合は酸化及び窒素化タンタル・ケイ素系材料(以下、TaSiONとする)を含み、バルク吸収層106がTaBNを含む場合はタンタル・ホウ素酸化物系材料(以下、TaBOとする)を含み、バルク吸収層106がTaNを含む場合は酸化及び窒素化タンタル系材料(TaON)を含む。
バルク吸収層106と自己マスク層108との組成の関係により、マスク100のエッチング中の欠陥の発生が軽減され、有利である。例えば、(以下でより詳細に説明するように)第1エッチング処理を用いて自己マスク層108をエッチングし、次に第2エッチング処理を用いて自己マスク層108に対するバルク吸収層106のエッチング選択性を高く維持しながらバルク吸収層106をエッチングすることで自己マスク層108をハードマスクつまり自己マスクとし、より薄いフォトレジスト層の使用を可能とする。この材料の組み合わせや多段階エッチング処理により、従来の「軟性」のフォトレジスト材料を用いたエッチング処理と比較してエッチングCDバイアスが低下し、CD均一性は向上して有利である。
ブランクマスク100から改善された限界寸法と均一性を有する完成マスクを形成する方法を図2〜3を参照して以下で説明する。図2A〜Cは本発明の方法の一実施形態を用いてマスク100をエッチングするための製造シーケンスの一実施形態を示す。図3は図1のマスクをエッチングするための方法300の一実施形態のフロー図であり、図2A〜Cを参照して説明する。図300は図4を参照して以下で説明するように、カリフォルニア州サンタクララのアプライドマテリアル社から入手可能なテトラ(商標名)I(TETRA)、テトラ(商標名)II、又はDPS(商標名)IIエッチングチャンバ、又はその他の適切なエッチングチャンバで行うことができる。方法300は制御装置のメモリ又はチャンバのその他の記憶媒体にコンピュータ可読形式で記憶させることができる。
方法300は工程302から始まり、自己マスク108上にフォトレジスト層202を配置しパターニングすることで(図2Aに図示されるように)マスク100に転写するデザインに対応した開口部204を形成し、自己マスク層108の対応する部位を露出させる。フォトレジスト層202はいずれの適切な感光性レジスト材料を含み、いずれの適切なやり方で堆積、パターニングしてもよい。フォトレジスト層202は、約100〜1000nmの厚さに堆積することができる。
次に、工程304で、フォトレジスト層202をマスクとして用い、自己マスク層108を第1エッチング処理でエッチングすることで、(図2Bに図示されるように)開口部204のパターンを自己マスク層108に転写し、バルク吸収層106の対応する部位を露出させる。自己マスク層108がTaSiONを含む実施形態の場合、第1エッチング処理中のレジストに対する自己マスク層108のエッチング選択性は約3.8であり、バルク吸収層106に対する自己マスク層108のエッチング選択性は8より大きい。
第1エッチング処理では自己マスク層108を(開口部204を通して)フッ素含有ガス、四塩化炭素(CCl)、又は塩化水素(HCl)の少なくとも1つを含む第1処理ガス(又は混合ガス)の種に曝露することで自己マスク層をエッチングする。適切なフッ素含有ガスの例には四フッ化炭素(CF)、六フッ化炭素(C)、六フッ化硫黄(SF)、トリフルオロメタン(CHF)等が含まれる。一実施形態において、CFは速度約10〜100標準立方センチメートル毎分(sccm)で供給する。任意で、ヘリウム(He)又はアルゴン(Ar)等のキャリアガスを流量約50〜200sccmで供給してもよい。ある特定の処方では、速度約50sccmでCFを流量約100sccmのキャリアガスと共に供給する。処理チャンバ内の圧力は約40mTorr未満に制御され、一実施形態においては約1〜約10mTorr、例えば2mTorrである。
以下で説明するように、プラズマは例えば約300〜600WのRF電力をプラズマ電源から処理チャンバのアンテナに印加することで第1処理ガスから形成する。別の方法でプラズマに点火することも考えられる。一実施形態においては、約420WのRF電力を周波数約13.56MHzで印加する。
任意で、基板バイアス電力を印加してマスク100をバイアスする。バイアス電力は約600W未満であってもよく、第1例においては約100W未満、第2例においては20〜約150Wである。ある特定の処理処方では約25Wのバイアス電力を印加する。更に、バイアス電力は周波数約1〜20MHz、又は一実施形態においては13.56MHzのRF信号であってもよい。
バイアス電力は任意でパルス伝送してもよい。バイアス電力は負荷サイクル約10〜95%でパルス伝送してもよく、一実施形態においては約20〜95%でパルス伝送される。一実施形態において、バイアス電源140はパルス周波数約1〜10kHz、負荷サイクル約10〜約95%で約600ワット未満のRF電力を供給するように構成されている。別の実施形態において、バイアス電源140はパルス周波数約2〜約5kHz、負荷サイクル約20〜約95%で約20〜約150ワットのRF電力を供給するように構成されている。
処理中、カソード温度は約15〜30℃に維持してもよく、チャンバ壁部温度は約50〜80℃に維持してもよい。一実施形態において、カソード温度は約20℃に維持してもよく、チャンバ壁部温度は約65℃に維持してもよい。
次に、工程306で、自己マスク層108と残留するフォトレジスト層202をマスクとして用いてバルク吸収層106を第2エッチング処理でエッチングすることで、(図2Cに図示されるように)開口部204のパターンをバルク吸収層106に転写し、基板102の対応する部位を露出させる。任意で、工程306を実行する前に残留フォトレジスト層202を除去、つまりは剥離してもよい。第2エッチング処理は有利には自己マスク層108に対してのバルク吸収層106の選択率が高く、これにより自己マスク層108はパターン(例えば、開口部204)をバルク吸収層106に転写するためのハードマスクとして機能可能である。第2エッチング処理は自己マスク層に対して、バルク吸収層の選択性を少なくとも10で維持する。バルク吸収層106がTaSiを含み、第2処理ガスがClを含む一実施形態において、レジストに対するバルク吸収層106のエッチング選択性は約3.8であり、自己マスク層108に対するバルク吸収層106のエッチング選択性は約15である。
第2エッチング処理ではバルク吸収層106を(開口部204を通して)少なくとも1つの塩素含有ガスを含む第2処理ガス(又は混合ガス)の種に曝露することでエッチングする。適切な塩素含有ガスの例には塩素(Cl)、炭素(CCl)、HCl等が含まれる。
一実施形態において、第2処理ガスは速度約10〜200標準立方センチメートル毎分(sccm)で供給される。任意で、ヘリウム(He)又はアルゴン(Ar)等のキャリアガスを流量約50〜200sccmで供給してもよい。ある特定の処理処方では、速度約100sccmの第2処理ガスを速度約100sccmのキャリアガスと共に供給する。処理チャンバ内の圧力は約40mTorr未満に制御され、一実施形態においては約1〜約10mTorr、例えば6mTorrである。
以下で説明するように、プラズマは例えば約300〜600WのRF電力をプラズマ電源から処理チャンバのアンテナに印加することで第2処理ガスから形成する。別の方法でプラズマに点火することも考えられる。一実施形態においては、約420WのRF電力を周波数約13.56MHzで印加する。
任意で、基板バイアス電力を印加してマスク100をバイアスする。バイアス電力は約600W未満であってもよく、第1例においては約100W未満、第2例においては20〜約150Wである。ある特定の処理処方では約20Wのバイアス電力を印加する。更に、バイアス電力は周波数約1〜20MHz、一実施形態においては13.56MHzのRF信号であってもよい。
バイアス電力は任意でパルス伝送してもよい。バイアス電力は負荷サイクル約10〜95%でパルス伝送してもよく、一実施形態においては約20〜95%である。一実施形態において、バイアス電源140はパルス周波数約1〜10kHz、負荷サイクル約10〜約95%で約600ワット未満のRF電力を供給するように構成されている。別の実施形態において、バイアス電源140はパルス周波数約2〜約5kHz、負荷サイクル約20〜約95%で約20〜約150ワットのRF電力を供給するように構成されている。
処理中、カソード温度は約15〜30℃に維持してもよく、チャンバ壁部温度は約50〜80℃に維持してもよい。一実施形態において、カソード温度は約20℃に維持してもよく、チャンバ壁部温度は約65℃に維持される。
工程306の完了をもって方法300は終了となり、マスク100には所望のパターンが転写されている。EAPSM又はAAPSM用途等の別の実施形態においては、当該分野で一般的に知られているように付加的な処理を継続することでマスクを完成させてもよい。例えば、EAPSMマスクにおいては、(モリブデン及びケイ素含有層等の(MoSi層とも称されることもある))多材料層をSF又はCFを含むガスを用いてエッチングしマスクを完成させてもよい。或いは、AAPSMマスクにおいて、石英基板をSF又はCFを含むガスを用いてエッチングすることでマスクを完成させてもよい。
方法300は従来のエッチング方法を比較して改善されたCDと均一性を有するマスク100を提供でき、有利である。例えば、工程306中にバルク吸収層106を第2エッチング処理を用いてエッチングする場合、残留するフォトレジスト202が開口部204のコーナー上で除去され、自己マスク層108の一部が塩素ガスプラズマに曝露される場合がある。しかしながら、塩素プラズマ内における自己マスク層108に対するバルク吸収層106の選択性の高さにより、フォトレジスト層202のCDが変化してもCDは顕著には減少しない。このため、最終CDは工程304中の自己マスク層108のエッチングにより主に決定され、自己マスク層108は比較的厚みが小さいことから、CDエッチングバイアスには大きく影響しないという利点がある。これに加え、局所的なエッチングCDバイアスはエッチングCD均一性に影響するため、CDバイアスが低いとCD均一性の制御にとっても有益である。方法300を有利に利用してCDバイアスの減少した、例えば約0〜10nm(つまり10nm未満)を有するマスクを供給することができる。
本願で提供する新奇なマスク構造とエッチング方法は、エッチングCDバイアスと均一性をより良好に制御でき有利である。本発明のマスク構造と方法により、慣用の材料とエッチング処理を用いてエッチングCD均一性制御の向上した「ゼロエッチングバイアス」を得ることができ、つまり技術的な課題に取り組む必要はない。CD平均対ターゲット(MTT)を制御するための現在の方策は主にデータサイジングの使用であり、通常、数時間(時には20時間以上にもなる)を要する。本発明の新奇なマスク構造とエッチング方法はデータサイジングの必要性を排除することから、慣用のマスクと比較すると納期の短縮化、高い生産収率、低製造コストが得られ、有利である。
図4は本発明の方法を実施し得るエッチング反応装置400の一実施形態の概略図である。本願で開示の教示との使用に適合し得る適切な反応装置には、例えば、分離型プラズマソース(DPS(商標名))II反応装置、テトラ(商標名)I、テトラ(商標名)IIフォトマスクエッチングシステムが含まれ、これらは全てカリフォルニア州サンタクララのアプライドマテリアル社から入手可能である。DPS(商標名)反応装置は同じくアプライドマテリアル社から入手可能なセンチュラ(商標名)集積半導体ウェハ処理システムの処理モジュールとしても使用することができる。本願で図示の反応装置400の特定の実施形態は例示を目的としたものであり、本発明の範囲を制限するために用いられるべきではない。
反応装置400は、通常、導電性本体部(壁部)404内に基板台座部424を有する処理チャンバ402と制御装置446とを備える。チャンバ402は実質的に平坦な誘電性天井部408を有する。別の改良型の処理チャンバ402は別のタイプの天井部、例えばドーム型天井部を有する。天井部408の上方にはアンテナ410が配置されている。アンテナ410は1つ以上の選択的に制御可能な誘導コイル素子(2つの同軸素子410a、410bが図4に図示されている)を備えている。アンテナ410は第1整合回路414を介してプラズマ電源412に連結されている。プラズマ電源412は、典型的には、調整可能な周波数約50kHz〜約13.56MHzで約3000ワット(W)までの電力を発生可能である。一実施形態において、プラズマ電源412は誘導結合RF電力約300〜約600ワットを供給する。
基板台座部(カソード)424は第2整合回路442を介してバイアス電源440に連結されている。バイアス電源440は調整可能なパルス周波数約1〜約10kHzで約0〜約600Wの電力を供給する。バイアス電源440はパルス方式RF出力を行う。或いは、バイアス電源440はパルス方式DC出力を行ってもよい。電源440が一定の出力を行うことも考えられる。
一実施形態において、バイアス電源440はパルス周波数約1〜10kHz、負荷サイクル約10〜約95%で約600ワット未満のRF電力を供給するように構成されている。別の実施形態において、バイアス電源440はパルス周波数約2〜約5kHz、負荷サイクル約80〜約95%で約20〜約150ワットのRF電力を供給するように構成されている。
一実施形態において、DPS(商標名)II反応装置の場合と同様に、基板支持台座部424は静電チャック460を含んでいてもよい。静電チャック460は少なくとも1つのクランプ電極432を備え、チャック電源466により制御する。別の実施形態において、基板台座部424はサセプタ締め付けリング、メカニカルチャック等の基板保持機構を備えていてもよい。
処理チャンバ402にはガスパネル420が連結されており、処理ガス及び/又はその他のガスを処理チャンバ402の内部に供給する。図4に図示の一実施形態において、ガスパネル420はチャンバ402の側壁404の流路418に形成された1つ以上の吸気口416に連結されている。1つ以上の吸気口416をその他の場所、例えば処理チャンバ402の天井部408に設置することも考えられる。
一実施形態において、ガスパネル420は処理中に1つ以上の処理ガスを吸気口416を介して処理チャンバ402の内部へと選択的に供給するようにと適合されている。例えば、一実施形態において、マスクのエッチング方法と関連して以下で説明するように、ガスパネル420は1つのフッ素含有及び/又は塩素含有処理ガス(又は複数のガス)を処理チャンバ402の内部へと選択的に供給するように適合させてもよい。処理中、プラズマをガスから形成し、プラズマ電源412からの電力の誘導結合によりプラズマを維持する。或いは、プラズマを遠隔的に形成しても、又はその他の方法を用いて点火してもよい。
チャンバ402内の圧力は絞り弁462と真空ポンプ464を用いて制御する。真空ポンプ464と絞り弁462はチャンバ圧力を約1〜約20mTorrの範囲で維持可能である。
壁部404の温度は壁部404内を通る液体含有導管(図示せず)を用いて制御してもよい。壁部の温度は通常、約65℃に維持される。典型的には、チャンバ壁部404は金属(例えば、アルミニウム、ステンレススチールその他)から成り、電気アース406に連結されている。処理チャンバ402は処理制御、内部診断、終点検知等のための慣用のシステムも備える。こういったシステムはサポートシステム454として集合的に図示している。
レチクルアダプタ482を用いて、基板(レチクル又はその他の加工対象物)422を基板支持台座部424上に固定してもよい。基板422は光透過性基板490とその上に配置された多層型吸収層492を備えたブランクフォトマスクであってもよい(図1に関連して上述した、多層型吸収層104を有する光透過性基板102を含むマスク100と同様)。レチクルアダプタ482は、通常、台座部424の上面(例えば、静電チャック460)を覆うように加工された下部484と、基板422を保持できるようなサイズと形状に形成された開口部488を有する上部486とを含む。開口部488は、通常、台座部424の実質的に中央に位置する。アダプタ482は、通常、ポリイミドセラミック又は石英等の耐エッチング性耐熱材料の単一体から形成される。適切なレチクルアダプタは2001年6月26日発行の米国特許番号第6251217号に開示されており、参照により本願に組み込まれる。エッジリング426でアダプタ482を覆っても及び/又はアダプタ482を台座部424に固定してもよい。
昇降機構438を用いてアダプタ482の昇降と、ひいては基板422の基板支持台座部424からの上げ下ろしを行う。通常、昇降機構438は各ガイド穴436を通る複数の昇降ピン(昇降ピン430が1つ図示されている)を備える。
作動中、基板422の温度は基板台座部424の温度を安定化させることで制御する。一実施形態において、基板支持台座部424はヒータ444と任意のヒートシンク428を備える。ヒータ444は伝熱流体をその内部に貫流させるように構成された1つ以上の流体導管であってもよい。別の実施形態において、ヒータ444は、ヒータ電源468により調節する少なくとも1つの発熱体434を備えていてもよい。任意でガス供給源456からの背面ガス(例えばヘリウム(He))を基板422下の台座部表面内に形成された流路へとガス管458を介して供給する。背面ガスは台座部424と基板422との間の熱伝達を促進するために使用する。処理中、埋設ヒータ444により台座部424を定常温度にまで加熱してもよく、ヘリウム背面ガスとの組み合わせにより基板422の均一な加熱がより促進される。
任意で、イオン・ラジカルシールド427をチャンバ本体部402内の台座部424上方に配置してもよい。イオン・ラジカルシールド427はチャンバ壁部404と台座部424から電気的に隔離されており、通常、複数の開口部429を有する実質的に平坦なプレート431を備える。図4に図示の実施形態において、シールド427は複数の脚部425によりチャンバ402内の台座部上方に支持されている。開口部429はシールド427の表面に所望の開放領域を規定し、シールドは処理チャンバ402の上部処理容積478で発生させたプラズマからイオン・ラジカルシールド427と基板422との間に位置する下部処理容積480へと通過するイオン量を制御する。開放領域が広ければ広いほど、より多くのイオンがイオン・ラジカルシールド427を通過可能である。このため、プレート431の厚みとともに開口部429のサイズと分布により容積480内のイオン密度が制御され、シールド427はイオンフィルタとして機能する。本発明で有益に適合し得る適切なシールドの一例はクマー(Kumar)その他により2004年6月30日に出願の米国特許出願番号第10/882084号「フォトマスクプラズマエッチングの方法及び装置」に記載されており、参照により全て本願に組み込まれる。
制御装置446は中央演算処理ユニット(CPU)450と、メモリ448と、CPU450用のサポート回路452とを備え、上述したように、処理チャンバ402のコンポーネントとそれに伴うエッチング処理の制御を円滑に行う。制御装置446は様々なチャンバ及びサブプロセッサを制御する際に工業環境で使用可能ないずれの汎用コンピュータプロセッサであってもよい。制御装置446のメモリ448はランダムアクセスメモリ(RAM)、読み出し専用メモリ(ROM)、フロッピー(登録商標)ディスク、ハードディスク、又はその他のいずれの形式のローカル又はリモートデジタルストレージ等の1つ以上の容易に入手可能なメモリであってもよい。サポート回路452は慣用のやり方でプロセッサをサポートするためにCPU450に連結されている。これらの回路はキャッシュ、電力供給源、クロック回路、入力/出力回路、サブシステム等を含む。本発明の方法は、通常、ソフトウェアルーチンとしてメモリ448又はCPU450にアクセス可能なその他のコンピュータ可読性媒体に保存される。或いは、こういったソフトウェアルーチンをCPU450により制御されるハードウェアとは離れて位置する第2CPU(図示せず)で保存する及び/又は実行してもよい。
従って、本願では慣用の方法と比較してCDバイアスと均一性属性を改善する有利なフォトマスク構造及びエッチング方法を提供する。具体的には、本願で開示のマスクとエッチング方法により慣用の方法と比較して低いCDバイアスと高い均一性が得られる。マスクとエッチング方法は光バイナリフォトマスク、組込・減衰型位相マスク(EAPSM)、交互位相配置型マスク(AAPSM)用途で有利に利用することができ、慣用のマスクと比較していエッチングCDバイアスが低減され、パターン転写忠実度が改善される。
上記は本発明の実施形態を対象としているが、本発明の基本的な範囲から逸脱することなく本発明のその他及び更に別の実施形態を創作することができ、本発明の範囲は特許請求の範囲に基づいて定められる。
本発明の上述した構成が詳細に理解できるように、上記で簡単に概要を述べた本発明の更に具体的な説明が実施形態を参照して行われ、その一部が添付図面に図示されている。しかしながら、添付図面は本発明の典型的な実施形態を図示するに過ぎず、本発明はその他の同等に効果的な実施形態も認め得るため、本発明の範囲を制限すると解釈されないことに留意すべきである。
本発明の一実施形態によるブランクマスクを示す図である。 本発明の方法の一実施形態を用いたマスク製造シーケンスの一実施形態を示す図である。 図1のマスクのエッチング方法の一実施形態のフロー図である。 マスクのエッチングに適したエッチング反応装置の概略図である。
理解を容易にするため、可能な限り、図面で共通する同一要素は同一参照番号を用いて表した。図面は説明のために簡略化されており、スケールを表していない。

Claims (12)

  1. 透明な基板と、
    基板上に配置された不透明な多層型吸収層であり、バルク吸収層上に配置された自己マスク層を備え、自己マスク層が酸化及び窒素化タンタル・ケイ素系材料(TaSiON)、タンタル・ホウ素酸化物系材料(TaBO)、又は酸化及び窒素化タンタル系材料(TaON)の1つを含む多層型吸収層とを備え、バルク吸収層の厚さが多層型吸収層の約80〜85%であり、バルク吸収層がケイ化タンタル系材料(TaSi)、窒素化ホウ化タンタル系材料(TaBN)、又は窒化タンタル系材料(TaN)の1つを含むフォトマスク。
  2. フォトマスクの厚さが0.15〜0.25インチである請求項1記載のフォトマスク。
  3. 不透明な多層型吸収層の厚さが40〜100nmである請求項1記載のフォトマスク。
  4. 自己マスク層が酸化及び窒素化タンタル・ケイ素系材料(TaSiON)を含み、バルク吸収層がケイ化タンタル系材料(TaSi)を含むか、
    自己マスク層がタンタル・ホウ素酸化物系材料(TaBO)を含み、バルク吸収層が窒素化ホウ化タンタル系材料(TaBN)を含むか、又は
    自己マスク層が酸化及び窒素化タンタル系材料(TaON)を含み、バルク吸収層が窒化タンタル系材料(TaN)を含むかのいずれかである請求項1〜のいずれか1項記載のフォトマスク。
  5. 多層型吸収層をその上に有する透明基板を備えるフォトマスクを提供する工程であって、多層型吸収層がバルク吸収層上に配置された自己マスク層を備え、自己マスク層はタンタルと酸素を含み、バルク吸収層はタンタルを含み基本的に酸素を含まない工程と、
    第1エッチング処理を用いて自己マスク層をエッチングする工程と、
    第1エッチング処理とは異なる第2エッチング処理を用いてバルク吸収層をエッチングする工程を含み、ここでバルク吸収層のエッチング速度が、第2エッチング処理中、自己マスク層のエッチング速度より速く、
    バルク吸収層の厚さが多層型吸収層の約80〜85%であり、
    自己マスク層が酸化及び窒素化タンタル・ケイ素系材料(TaSiON)、タンタル・ホウ素酸化物系材料(TaBO)、又は酸化及び窒素化タンタル系材料(TaON)の1つを含むか、バルク吸収層がケイ化タンタル系材料(TaSi)、窒素化ホウ化タンタル系材料(TaBN)、又は窒化タンタル系材料(TaN)の1つを含むかのいずれかであるフォトマスクのエッチング方法。
  6. フォトマスクが多層型吸収層上に配置されたフォトレジスト層を更に備えるブランクフォトマスクであり、自己マスク層は反射防止サブ層であり、バルク吸収層はバルクサブ層であり、前記エッチング方法は、
    フォトレジスト層にパターン像を形成する工程と、
    パターン像に対応しないフォトレジスト層部位を除去することでパターン像に対応しない反射防止サブ層の部位を露出させる工程を更に含み
    自己マスク層のエッチング工程が第1エッチング処理を用いてパターン像に対応しない反射防止サブ層の露出部位を除去することでパターン像に対応しないバルクサブ層の部位を露出させることを更に含み、
    バルク吸収層のエッチング工程がバルクサブ層除去速度が反射防止サブ層除去速度の少なくとも10倍である第2エッチング処理を用いて、パターン像に対応しない、反射防止サブ層の下のバルクサブ層の露出部位を除去することでパターン像に対応しない実質的に透明な層の部位を露出させることを更に含み、
    前記エッチング方法は、フォトレジスト層を除去する工程を更に含む請求項記載の方法。
  7. 自己マスク層が酸化及び窒素化タンタル・ケイ素系材料(TaSiON)を含み、バルク吸収層がケイ化タンタル系材料(TaSi)を含むか、
    自己マスク層がタンタル・ホウ素酸化物系材料(TaBO)を含み、バルク吸収層が窒素化ホウ化タンタル系材料(TaBN)を含むか、又は
    自己マスク層が酸化及び窒素化タンタル系材料(TaON)を含み、バルク吸収層が窒化タンタル系材料(TaN)を含むかのいずれかである請求項5〜6のいずれか1項記載の方法。
  8. 第1エッチング処理がフッ素含有ガス、四塩化炭素(CCl)、又は塩化水素(HCl)の少なくとも1つを含む処理ガスを用いて自己マスク層をエッチングする工程を含む請求項5〜6のいずれか1項記載の方法。
  9. 第1エッチング処理がトリフルオロメタン(CHF)、四フッ化炭素(CF)、六フッ化硫黄(SF)、六フッ化炭素(C)、四塩化炭素(CCl)、又は塩化水素(HCl)の少なくとも1つを含む処理ガスを用いて自己マスク層をエッチングする工程を含む請求項5〜6のいずれか1項記載の方法。
  10. 第2エッチング処理がバルク吸収層を少なくとも1つの塩素含有処理ガスを用いてエッチングする工程を含む請求項5〜6のいずれか1項記載の方法。
  11. 第2エッチング処理が塩素(Cl)、四塩化炭素(CCl)、又は塩化水素(HCl)の少なくとも1つを含む処理ガスを用いてバルク吸収層をエッチングする工程を含む請求項5〜6のいずれか1項記載の方法。
  12. 第2エッチング処理は自己マスク層に対してバルク吸収層の選択性を少なくとも10で維持する請求項記載の方法。
JP2007239726A 2006-09-15 2007-09-14 自己マスク層を有するフォトマスクとそのエッチング方法 Active JP5844025B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/532,259 2006-09-15
US11/532,259 US7771894B2 (en) 2006-09-15 2006-09-15 Photomask having self-masking layer and methods of etching same

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2014099286A Division JP2014194564A (ja) 2006-09-15 2014-05-13 自己マスク層を有するフォトマスクとそのエッチング方法

Publications (3)

Publication Number Publication Date
JP2008070883A JP2008070883A (ja) 2008-03-27
JP2008070883A5 JP2008070883A5 (ja) 2010-10-28
JP5844025B2 true JP5844025B2 (ja) 2016-01-13

Family

ID=38917389

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2007239726A Active JP5844025B2 (ja) 2006-09-15 2007-09-14 自己マスク層を有するフォトマスクとそのエッチング方法
JP2014099286A Pending JP2014194564A (ja) 2006-09-15 2014-05-13 自己マスク層を有するフォトマスクとそのエッチング方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2014099286A Pending JP2014194564A (ja) 2006-09-15 2014-05-13 自己マスク層を有するフォトマスクとそのエッチング方法

Country Status (6)

Country Link
US (1) US7771894B2 (ja)
EP (1) EP1901119A3 (ja)
JP (2) JP5844025B2 (ja)
KR (1) KR100925080B1 (ja)
CN (1) CN101144974B (ja)
TW (1) TWI432886B (ja)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4845978B2 (ja) * 2008-02-27 2011-12-28 Hoya株式会社 フォトマスクブランクおよびフォトマスク並びにフォトマスクの製造方法
JP5581293B2 (ja) * 2008-02-27 2014-08-27 Hoya株式会社 フォトマスクブランク及びその製造方法、並びにフォトマスク及びその製造方法
KR101020281B1 (ko) * 2008-06-20 2011-03-07 주식회사 하이닉스반도체 극자외선 리소그라피 마스크의 제조 방법
US8233248B1 (en) 2009-09-16 2012-07-31 Western Digital (Fremont), Llc Method and system for providing a magnetic recording transducer using a line hard mask
JP5434825B2 (ja) * 2010-07-09 2014-03-05 信越化学工業株式会社 ドライエッチング方法
US8871102B2 (en) 2011-05-25 2014-10-28 Western Digital (Fremont), Llc Method and system for fabricating a narrow line structure in a magnetic recording head
JP6125772B2 (ja) * 2011-09-28 2017-05-10 Hoya株式会社 反射型マスクブランク、反射型マスクおよび反射型マスクの製造方法
US9034564B1 (en) 2013-07-26 2015-05-19 Western Digital (Fremont), Llc Reader fabrication method employing developable bottom anti-reflective coating
CN104516138B (zh) * 2013-09-29 2017-09-22 中芯国际集成电路制造(上海)有限公司 硅基液晶面板的制作方法
CN103738914B (zh) * 2014-01-09 2016-01-20 上海华虹宏力半导体制造有限公司 Mems器件的制造方法
JP6266842B2 (ja) * 2015-08-31 2018-01-24 Hoya株式会社 マスクブランク、マスクブランクの製造方法、位相シフトマスク、位相シフトマスクの製造方法及び半導体デバイスの製造方法

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS57161857A (en) * 1981-03-31 1982-10-05 Dainippon Printing Co Ltd Photomask blank plate
JPH0650387B2 (ja) * 1986-03-31 1994-06-29 アルバツク成膜株式会社 フオトマスクおよびその製造方法
JPH0650388B2 (ja) * 1986-04-04 1994-06-29 アルバツク成膜株式会社 フオトマスクおよびその製造方法
US5955222A (en) * 1996-12-03 1999-09-21 International Business Machines Corporation Method of making a rim-type phase-shift mask and mask manufactured thereby
US5939227A (en) * 1998-03-09 1999-08-17 Rochester Institute Of Technology Multi-layered attenuated phase shift mask and a method for making the mask
US6472107B1 (en) 1999-09-30 2002-10-29 Photronics, Inc. Disposable hard mask for photomask plasma etching
KR100401503B1 (ko) * 2001-04-30 2003-10-17 주식회사 하이닉스반도체 반도체소자의 캐패시터 및 그 제조방법
DE10156366B4 (de) 2001-11-16 2007-01-11 Infineon Technologies Ag Reflexionsmaske und Verfahren zur Herstellung der Reflexionsmaske
JP2006011434A (ja) * 2002-03-29 2006-01-12 Hoya Corp マスクブランク用基板、マスクブランクおよび転写用マスクの製造方法
JP4212025B2 (ja) * 2002-07-04 2009-01-21 Hoya株式会社 反射型マスクブランクス及び反射型マスク並びに反射型マスクの製造方法
US20060060565A9 (en) * 2002-09-16 2006-03-23 Applied Materials, Inc. Method of etching metals with high selectivity to hafnium-based dielectric materials
US20050042523A1 (en) * 2003-08-20 2005-02-24 Banqiu Wu Endpoint detection of plasma-assisted etch process
US20060008749A1 (en) * 2004-07-08 2006-01-12 Frank Sobel Method for manufacturing of a mask blank for EUV photolithography and mask blank
US20060051681A1 (en) * 2004-09-08 2006-03-09 Phototronics, Inc. 15 Secor Road P.O. Box 5226 Brookfield, Conecticut Method of repairing a photomask having an internal etch stop layer
JP2006078825A (ja) * 2004-09-10 2006-03-23 Shin Etsu Chem Co Ltd フォトマスクブランクおよびフォトマスクならびにこれらの製造方法
JP2006085096A (ja) * 2004-09-17 2006-03-30 Fujitsu Ltd 露光用マスクとその製造方法

Also Published As

Publication number Publication date
KR20080025292A (ko) 2008-03-20
US7771894B2 (en) 2010-08-10
TWI432886B (zh) 2014-04-01
JP2008070883A (ja) 2008-03-27
TW200823599A (en) 2008-06-01
US20080070127A1 (en) 2008-03-20
EP1901119A2 (en) 2008-03-19
EP1901119A3 (en) 2012-06-06
KR100925080B1 (ko) 2009-11-04
CN101144974B (zh) 2011-03-30
CN101144974A (zh) 2008-03-19
JP2014194564A (ja) 2014-10-09

Similar Documents

Publication Publication Date Title
JP5844025B2 (ja) 自己マスク層を有するフォトマスクとそのエッチング方法
US7771895B2 (en) Method of etching extreme ultraviolet light (EUV) photomasks
US7718539B2 (en) Method for photomask fabrication utilizing a carbon hard mask
US7879510B2 (en) Method for quartz photomask plasma etching
US20060166106A1 (en) Method for photomask plasma etching using a protected mask
US10199224B2 (en) Method for improving CD micro-loading in photomask plasma etching
US8778574B2 (en) Method for etching EUV material layers utilized to form a photomask
US20130092655A1 (en) Method for etching an euv reflective multi-material layers utilized to form a photomask
JP5459945B2 (ja) 位相シフトフォトマスク及びその製造方法
US7786019B2 (en) Multi-step photomask etching with chlorine for uniformity control

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100913

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20100914

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111116

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20120518

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120529

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120829

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120903

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120926

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20121001

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20121027

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20121101

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121122

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130402

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20130701

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20130704

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20130801

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20130806

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20130828

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20130902

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20140114

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150731

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20151118

R150 Certificate of patent or registration of utility model

Ref document number: 5844025

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250