TWI304997B - System and method for heating and cooling wafer at accelerated rates - Google Patents

System and method for heating and cooling wafer at accelerated rates Download PDF

Info

Publication number
TWI304997B
TWI304997B TW092105381A TW92105381A TWI304997B TW I304997 B TWI304997 B TW I304997B TW 092105381 A TW092105381 A TW 092105381A TW 92105381 A TW92105381 A TW 92105381A TW I304997 B TWI304997 B TW I304997B
Authority
TW
Taiwan
Prior art keywords
wafer
gas
temperature
flow
temperature control
Prior art date
Application number
TW092105381A
Other languages
English (en)
Other versions
TW200305916A (en
Inventor
Wayne G Renken
Original Assignee
Sensarray Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sensarray Corp filed Critical Sensarray Corp
Publication of TW200305916A publication Critical patent/TW200305916A/zh
Application granted granted Critical
Publication of TWI304997B publication Critical patent/TWI304997B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Drying Of Semiconductors (AREA)

Description

1304997 (1) 玖、發明說明 【發明所屬之技術領域】 本發明相關於半導體處理,尤其相關於用來製造積體 電路的晶圓的加熱及冷卻。 【先前技術】 微電子裝置是採用形成在合適的載體或基板上的多個 材料層而製成。微電子材料層中的許多層必須被準確地定 圖型及重合以產生精細尺寸。隨著電路密度及性能需求的 增加’圖型的公差及尺寸相應地變得較小。一般在實務上 是採用光石印技術來形成圖型於微電子材料層,其中要被 定圖型的材料層被塗覆以光敏漆或光抗蝕劑材料,然後曝 露於一光輻射圖型,以於光抗蝕劑材料形成圖型的潛像。 然後,此潛像被化學顯影,以形成圖型的光抗蝕劑蝕刻掩 模’然後其藉著加(additive)或減(subtractive)製程 例如蝕刻或其他類似製程而被轉移至在下方的材料層。 隨著積體電路製造中的特徵尺寸的趨近l〇〇nm (毫微 米),封裝密度的問題變得日益難以克服。主要的問題爲 用於光抗蝕劑的曝光的石印技術曝光工具解析度。光抗倉虫 劑及光抗蝕劑的操縱在此技術中爲已知,但是以下要簡要 敘述一些重要的課題。光抗蝕劑成爲薄膜塗覆層被施加於 合適的基板。在經塗覆的基板被光化(a c t i n i c )輻射影像 曝光時,經曝光與未曝光區域之間的可溶解率的差異在顯 影之後於基板上產生影像。然後,未被覆蓋的基板承受蝕 -5- 1304997 (2) 刻處理。此經常涉及電漿蝕刻,而抗蝕劑塗覆層對於此電 漿蝕刻必須充分穩定。對於正色調光抗蝕劑,塗覆層保護 在曝光期間被覆蓋的基板區域以使其與蝕刻劑隔離,因而 蝕刻劑只能蝕刻未被覆蓋的區域。光抗蝕劑塗覆層保護基 板的被覆蓋區域以使其與蝕刻劑隔離,因而蝕刻劑只能蝕 刻基板的未被覆蓋的區域。因此,可在基板上產生相應於 在顯影之前被用來在經塗覆的基板上產生選擇性的曝光圖 型的掩模或樣板的圖型的圖型。 重現非常小的尺寸的能力對於在矽晶片及類似組件上 製造大尺度積體電路而言極爲重要。隨著半導體裝置的積 體程度變得更高,所要求的光抗蝕劑膜圖型更精細。在此 種晶片上增加電路密度的一種方式是藉著增加抗蝕劑的解 析能力。 可最佳地獲得的微石印技術(microlithographic)解 析度基本上是由用於選擇性照射的輻射波長決定。但是, 可在傳統的深UV (紫外線)微石印技術之下獲得的解析 能力有其限制。爲了能充分地解析在光學上小的結構元件 ,例如〇 . 1 3微米及更小的特徵,具有前所未有的短的波長 (特別是l93nm )的輻射正與化學放大(chemical amplification)抗蝕劑膜一起被採用。 典型的化學放大光抗蝕劑膜包含聚合物,光酸產生劑 ’及其他選擇性的添加劑。聚合物必須可溶解在選用的顯 影劑溶液中,並且除了具有優異的抗蝕刻性之外,還具有 高熱穩定性及對於曝光波長的低吸收性。化學放大的光抗 -6- 1304997 (3) 鈾劑是根據化學放大的解段(deblocking )。以此機制, 光產生的酸的分子催化聚合物的保護基團中的鍵的斷開。 在解段過程期間,同一酸的另一分子產生成爲副產物,並 且繼續酸催化解段循環。 化學放大的抗蝕劑必須有一曝光劑量來產生潛酸及潛 像以及一熱劑量來驅動改變抗蝕劑在顯影劑中的可溶解性 的解段反應。因爲光產生的酸在其催化解段反應時擴散通 過抗蝕劑,所以酸會擴散至未曝光區域內,並且對抗蝕劑 中所產生的影像的品質有重大的衝擊。後曝光烘焙過程( PEB )的一重要準繩爲擴散與反應過程的相對速率之間的 平衡的最佳化。擴散前反應過程可能包含光酸的損失至環 境。擴散後反應過程較明確地說爲放大反應及酸損失反應 。因爲擴散率及反應率均與溫度有關,所以抗鈾劑的熱歷 史的小心操縱及監視對於積體電路的最終尺寸而言爲關鍵 。擴散過程,放大反應過程,及酸損失反應的每一個具有 不同的活化能量。擴散及放大反應的活化能量均高,而酸 損失反應的活化能量相較之下低。 因此,斜坡(ramp )或上升(rise )時間在稠密特徵 的形成中爲關鍵。被稠密地定位的線或其他特徵可在被稱 爲除渣(scumming )的過程中被結合在一起,而曝露於相 同的熱劑量的孤立的線或特徵會線性增加且被充分分解。 在烘焙開始時,酸損失反應在晶圓達到熱至足以驅動解段 反應的溫度之前淸除酸。在熱板溫度達到之後,酸損失, 擴散,及放大同時發生。延遲達到烘焙溫度可能在解段可 -7- (4) 1304997 開始之前導致大量的酸損失,促成前述的除渣過程。請參 考Mark D. Smith發表在SPIE的年報「抗蝕劑技術及處理 的發展 XVIII ( Advances in Resist Technology and Processing XVIII)」第 4345 期第 1013 至 1021 頁的名爲「 後曝光烘焙期間的熱歷史對化學放大抗蝕劑的石印技術性 能的衝擊的模擬(Modeling the impact of thermal history during post exposure bake on the lithographic performance of chemically amplified resists)」的文章以 獲得更多的資訊’此文章藉著參考整個結合於此。 圖1至3顯示用於矽晶圓的後曝光烘焙的習知技術爐。 圖1爲習知技術爐的分解圖’顯不頂部封殼20’氣體入口 22,噴淋頭24,晶圓28,具有近程銷34的熱板32,提升銷 3 6,及底部封殼4 〇。圖2爲圖1所示的習知技術爐於打開位 置的截面,其中晶圓28從熱板32及近程銷34的表面升尚。 圖3爲圖1所示的習知技術爐於關閉位置的截面’其中晶圓 2 8在熱板3 2的近程銷3 4上。噴淋頭2 4具有通道’以分佈從 氣體入口 22到達的氣體。此種爐的一例是由日本 Kumamoto的東京電子公司( Toky〇 Electron corP〇ration (TEC ))製造。 一般而言,近程銷將晶圓28升高離開熱板32的表面大 約! 〇〇至15 0微米。在實務上,無法在先前處理的期間使晶 圓28完全平坦或將晶圓28保持爲完全平坦’並且平坦程度 隨著晶圓的不同而不同。由於爐內的給垂溫度梯度,因此 甚至是平坦度的小變化也可能在橫越晶圓2 8的不同點處導 -8- 1304997 (5) 致溫度有相當大的不同。例如,如果晶圓凹入成使得端部 與中間相比離開熱板3 2較遠,則中間部分會比端部熱。此 變化可能會在習知技術設計中導致在晶圓的不同區域處的 相差兩倍的上升時間,並且因而對擴散及反應過程的精確 活化及控制有害。 【發明內容】 本發明的一方面爲一種用來改變晶圓的溫度的系統, 包含一第一溫度控制板。一第二溫度控制板具有近程銷, 並且晶圓位在第一與第二溫度控制板之間。晶圓離開第一 溫度控制板的距離是由近程銷保持。晶圓離開第二溫度控 制板的距離可以用任意數目的多種方式來保持,但是較佳 地是由第二熱板的近程銷來保持。一封殻環繞第一及第二 溫度控制板及晶圓,並且封殼包含氣體輸入及輸出。熱傳 導氣體從輸入流經晶圓且至該輸出。 本發明的另一方面涉及一種晶圓調整方法,用來在一 容室內調整具有第一側及第二側的晶圓。此方法包含從該 第一側加熱或冷卻晶圓;從該第二側加熱或冷卻晶圓;及 施加氣體至晶圓,該氣體係經由多個通道分佈,使得氣體 流動被控制且爲層流及在空間上被分佈。 本發明的另一方面爲一種用來控制晶圓的溫度的裝置 ,包含一溫度控制元件;及一氣體分佈系統,形成爲將氣 體繞晶圓的一表面分佈,該氣體分佈系統包含多個流動路 徑,而多個流動路徑的每一個包含一層流元件。在此裝置 -9 - (6) 1304997 內,晶圓位在氣體分佈系統與溫度控制元件之間。 本發明的其他方面及有利點從以下的敘述及圖式會·變 得明顯。 藉著參考圖式’本發明可被更佳地瞭解,並且可丨吏其 極多的特徵及有利點顯明。爲簡單及容易瞭解起見,#不 同圖式有相同元件的情況中,對元件採用相同的檩號。 【實施方式】 以下爲本發明的例示性實施例的詳細敘述。隨著參考 上述的圖式來敘述本發明的這些實施例,所敘述的方法及 /或特定結構的各種不同的修正或改變對於熟習此項技術 者而言很明顯。依賴本發明的教示且使本發明因而推進此 技術的所有的此種修正,改變,或變化均被視爲在本發明 的範圍內。因此,這些敘述及圖式不應被視爲有限制性的 含意,應瞭解本發明無論如何不受限於所示的實施例。 圖4顯示半導體處理室(SPC) 100的分解圖。SPC100 除了供應其他處理需求例如氣體分佈及蒸汽移除之外,還 可被用來加熱或冷卻矽晶圓或其他基板。SPC 1 00在矽晶 圓處理領域中有許多應用。此種應用之一爲先前所述的後 曝光烘焙過程。其他應用對於熟習此項技術者而言將會很 明顯。 矽晶圓126在上方殼體104與下方殼體134內被調整。 雖然SPC 1〇〇可被用來冷卻晶圓及加熱晶圓以及用其他方 式來調整晶圓,但是其可被稱爲爐。 -10- (7) 1304997 機械總成102驅動SPC100的打開及關閉,亦即機械總 成102將上方殻體104與下方殼體134帶在一起或分開,以 關閉或打開SPC100。氣體運輸管106a及l〇6b分別附著於 上方殼體104及蓋板110。氣體運輸管l〇6a及106b爲調整過 程中所用的進入氣體安排路線至由蓋板110及流動槽道板 1 1 2形成的流動歧管1 1 1。氣體經由歧管1 1 1而流動通過作 用成爲氣體分佈系統的一部分的上方熱(或激冷)板124 。施加的氣體一般而言爲氮,但是氣體分佈系統當然可運 輸任何氣體至晶圓126。彈簧108在容許SPC100的各種不 同組件包括晶圓I26有移動及尺寸變化的自由之下壓縮 SPC100的各種不同紅件。 晶圓126藉著近程銷133而被支撐在下方熱(或激冷) 板132上。近程銷133被定位成爲將晶圓126保持成爲均勻 地與下方熱板132的上表面分開。近程銷133可在下方熱板 1 3 2的表面上同心地配置或以任何其他圖型配置,以將晶 圓在加熱’冷卻’及調整處理過程期間的翹曲減至最小。 爲均勻地加熱,冷卻’或以其他方式調整晶圓〗26,晶圓 126的精確定位是關鍵。晶圓126與下方熱板132或上方熱 板124的錯誤對準或不正確分開會使晶圖的不同區域承 受不同溫度輪廓或梯度。晶圓在調整處理適程期間可能承 受的甚至是溫度輪廓的極小差異也可能對正形成在晶圓 1 26上/中的積體電路的線寬度及電路成形有大的影響。在 熱板1 3 2被用來加熱晶圓的情況中,熱板I 3 2內埋設有電加 熱元件。在熱板132被使用成爲激冷板來冷卻晶圓的情況 -11 - (8) 1304997 中,可採用熱電冷卻器或任何其他已知的機構’例如冷卻 液體通道。因此,所用的術語「熱板」被定義成爲可加熱 或冷卻其周圍的溫度控制板。 提升銷總成1 3 0被用來在晶圓被***時將晶圓1 2 6放置 在近程銷133上,以及在晶圓從SPC1 〇〇移去時將晶圓126 從近程銷1 3 3提升。 排氣環件128限制及控制SPC 100內從熱板132的邊緣 排出的排氣的流動。對於不同的處理應用可特製不同位準 的排氣限制。排氣環件128確保在形成於排氣環件128與熱 板1 3 2之間的環狀排氣開口的周圍的流動均勻性。在輸入 及排氣率被控制之下,SPC 100提供徑向調整,並且控制 在晶圓126的表面上的氣體流量。以下參考圖5進一步詳細 地敘述氣體的分佈。 圖5顯示歧管1 1 1的流動槽道板(FCP ) 1 1 2。蓋板1 1 〇 (未顯示)密封抵靠FCP 1 1 2的頂側。明確地說,蓋板1 1 0 與密封環113及平面狀接觸區域122直接接觸。或者, FCP 112與蓋板110之間可包含一額外的襯墊。氣體從氣體 運輸管l〇6a及106b經由蓋板110到達由爲FCP112的凹入部 分的分佈環件1 1 4及蓋板1 1 0所形成的環狀槽道內。 FCP112較佳地是藉著蝕刻一金屬件或金屬箔而形成,但 是也可採用許多其他已知的金屬加工方法。另外,雖然例 如爲鎳或不鏽鋼的金屬較佳,以避免晶圓受污染,但是可 採用此技術中任何其他已知的材料來形成歧管丨丨1。分佈 環件1 1 4相對於密封環1 1 3凹入。氣體經由分佈環件1丨4上 -12- 1304997 Ο) 的環狀槽道而流經不同的分佈槽道1 1 6至晶圓126 (未顯示 )的表面的不同區域。環狀槽道(分佈環件)114的寬度 是在從2mm (毫米)至2cm (公分)的範圍,而深度是在 從〇_2mm至5mm的範圍。分佈槽道116的每一個具有進給通 道1 1 7及層流通道(LFP ) 1 1 8。層流通道1 1 8確保在晶圓 的表面上有固定及均勻分佈的流動。LFP118被特製成爲 對於一範圍的應用將流動分佈最佳化。一般而言,分佈槽 道1 16的深度可在從大約50微米至大約800微米的範圍,且 較佳地爲150微米。請參考Renken等人的名爲「熱質量流 量計及控制器(Thermal Mass Flow Meter and Controller )」的美國專利第4,68 5,3 3 1號以獲得對層流通道的更多 資訊,此前案藉著參考整個結合於此。進給通道117將氣 體從分佈環件114運輸至LFP118。在每一分佈槽道的端部 處有氣體傳送空穴120。每一氣體傳送空穴12 0與通過上方 熱板124的一氣體通道對準。 例如可能在後曝光烘焙期間從晶圓1 26產生或蒸發且 行進通過上方熱板124的通道的存在於SPC100內的任何溶 劑或污染物會凝結及/或蓄積在氣體傳送空穴120中。如此 ,被製造成爲深度比層流通道大很多以收容凝結的污染物 的氣體傳送空穴12〇保護層流通道118不會有污染物以及因 而所導致的阻塞或流動阻礙。 FCP 11 2與上方熱板〗24直接接觸且緊密靠近。如此, FCP112處於與上方熱板!24幾近相同的溫度。取決於上方 熱(激冷)板是作用來加熱或冷卻晶圓,流經歧管1 1 1的 -13- 13049分歲牛:2玉:第92105381號專利申請案 中文說明書替換頁 ......民國97年7月1S日修正 (1〇) ψι 1 a ............... · _ · ·- · » ^ 氣體會被加熱或冷卻至與晶圓約略相同的溫度。另外’氣 體也直接通過上方熱板124的通道,因而進一步確保氣體 溫度到達非常接近在或靠近晶圓126的表面處的溫度的 SP C10 0的溫度。因爲有大的溫度控制表面面積用於氣體 運輸,氣體與溫度控制元件接觸的時間相當長,並且氣體 直接通過溫度控制元件,所以熱有效率地傳遞至氣體。在 具有例如〇 · 1 8微米及更小的線寬度的非常小的積體電路的 製造中,此爲優於習知技術的非凡有利點,因爲在晶圓表 面處的溫度精確控制直接衝擊擴散與反應過程之間的相對 速率,並且因而直接衝擊積體電路的線寬度。 圖6至8爲SPC 100於操作的不同階段的截面。圖6顯示 打開的SPC1 00,其中晶圓126位於升高位置。晶圓126被 插在處於上方位置的提升銷總成130上。在圖7中,提升銷 總成130將晶圓126降低在下方熱板132上的近程銷133上。 上方熱板124以及歧管1 11的流動槽道板1 12及蓋板1 10均位 於上方位置。熱(激冷)板124及13 2之一或二者可在此階 段作用,以加熱或冷卻晶圓1 26。另外,氣體可流動或被 關斷。注意上方熱板124與晶圓126之間的間隙。 在圖8中,上方熱板124已經被降低至下方位置,使得 上方近程銷125與下方熱板132接觸。晶圓126的溫度可以 用許多方式來控制,並且spc 內的溫度梯度也可藉著 一起或獨立地調變熱板124及13 2而被調整。藉著啓動上方 熱板124及下方熱板132二者’ SPC100中的梯度與只具有 下方熱板的習知技術設計相比被大幅減至最小。另外’在 -14- 1304997 (11) 使用伸出的上方近程銷1 2 5之下,上方與下方熱板之間的 距離可在處理過程中被改變,以對溫度輪廓提供最大的彈 性及可調整性。熱板的溫度排程也可被程式規劃,以在任 何給定的溫度循環或輪廓期間隨時間個別地改變。一般而 言,與習知技術設計相比,至給定溫度的斜坡(r a m p )時 間在本發明的雙熱板設計下可減半。斜坡率與習知設計相 比也可被更爲精確地控制。 另外,因爲熱從兩側施加於晶圓,所以與例如圖1至3 的習知設計相比,可較佳地適應平坦性的變化(翹曲)。 例如,如果晶圓翹曲成使得晶圓的端部比晶圓的中心部分 離開下方熱板132較遠,則端部會較靠近上方熱板124。 200 mm晶圓的翹曲可能高達大約75微米。換句話說,上方 與下方熱板124與13 2之間的減小的熱梯度將不完美的晶圓 否則可能會承受的溫度差異減至最小。此又導致較精確的 積體電路成形。 氣體可在上方熱板124處於如在圖7及8中所見的上方 或下方位置之下施加於晶圓。並且,在圖6至8的截面中, 可看見通過上方熱板124的氣體通道127。當氣體流經歧管 1 1 1及氣體通道127時,氣體被加熱至上方熱板124的溫度 。如前所述,上方熱板124的溫度可被獨立地操縱。如此 ,所分佈的氣體的溫度也可被加熱或冷卻至選定的溫度, 以產生想要的效果。氣體的相當長的滯留時間及熱傳遞元 件的大表面面積與習知技術設計相比增加氣體的精確溫度 控制且因此而增加晶圓的精確溫度控制。另外,由分散成 -15- (12) 1304997 爲提供繞晶圓表面的均勻流動的層流通道所提供的控制產 生SPC 100內有較佳的溫度控制。 SPC1 00的精確溫度控制及快速動態回應在許多操作 中很有用。特別是,SPC 100可較佳地控制化學放大抗蝕 劑的重要轉變。如前所述,化學放大抗蝕劑要求不同的熱 輪廓及能量來活化擴散,放大反應,及酸損失反應。因此 ’精確溫度控制最爲重要,以使得任何反應不會無意地開 始或被影響。因爲光產生的酸在催化解段反應時擴散通過 抗蝕劑,所以酸可能擴散至未曝光區域內,並且對產生於 抗蝕劑的影像的品質有重大的影響。後曝光烘焙過程( PEB )的一·重要準繩爲擴散與反應過程的相對速率之間的 平衡的最佳化。因爲擴散率及反應率均與溫度有關,所以 抗蝕劑的熱歷史的小心操縱及監視對於積體電路的最終尺 寸而言爲關鍵。本發明的雙加熱及冷卻系統以及精確氣體 分佈系統使此成爲可能。 雖然已經顯示及敘述本發明的實施例,但是在不離開 本發明的較寬廣方面之下,可對這些所示的實施例進行改 變及修正。因此,應該很明顯本發明有其他的實施例,其 雖然未在以上明確敘述,但是是在本發明的範圍內。因此 ’可瞭解附隨的申請專利範圍必然地涵蓋落在所述的本發 明的真實範圍內的所有的此種改變及修正,並且此範圍不 受限於呈現來闡明其範圍的舉例說明用的實施例。 【圖式簡單說明】 -16- (13)1304997 圖1爲習知技術爐的分解圖。 圖2爲圖1所示的爐的截面。 圖3爲圖1所示的爐的截面。 圖4爲半導體處理室(SPC )的分解圖 圖5爲流動槽道板1 1 2的立體圖。 圖6爲SPC100於打開位置的截面。 圖7爲SPC 100於關閉位置的截面。 圖8爲SPC 100於關閉位置的截面。 【符號說明】 20 頂部 22 氣體 24 噴淋 28 晶圓 3 2 熱板 3 4 近程 3 6 提升 40 底部 100 半導 102 機械 1 04 上方 106a 氣體 106b 氣體 108 彈簧 封殼 入口 頭 銷 銷 封殼 體處理室(SPC ) 總成 殼體 運輸管 運輸管 -17- 1304997 (14) 110 蓋 板 111 歧 管 112 流 動 槽 道 板 ( FCP ) 113 密 封 rrn 壞 114 分 佈 T»»- 填 件 , 壞 狀槽道 116 分 佈 槽 道 117 進 給 通 道 118 層 流 通 道 ( LFP ) 120 氣 體 傳 送 空 穴 122 平 面 狀 接 觸 丨品. 域 124 上 方 熱 ( 或 激 冷)板 125 上 方 近 程 銷 126 矽 晶 圓 127 氣 體 通 道 128 排 氣 壞 件 13 0 提 升 銷 總 成 13 2 下 方 熱 ( 或 激 冷)板 13 3 近 程 銷 13 4 下 方 殼 體
-18-

Claims (1)

1304997 一 -..............-u—μ·*--*- 乃年?月/和修(更)正替换頁 拾、申請專利範圍 — 附件4 A : 第92 1 05 3 8 1號專利申請案 中文申請專利範圍替換本 民國9 7年7月18日修正 1 . 一種用來改變晶圓的溫度的系統,包含: 一第一溫度控制板; 一第二溫度控制板,包含近程銷,晶圓位在該第一與 第二溫度控制板之間,並且藉著該近程銷而與該第二溫度 控制板分開;及 一封殼,環繞該第一及第二溫度控制板及該晶圓,該 封殼包含氣體輸入及輸出,氣體從該輸入流經該晶圓且至 該輸出, 其中該第一溫度控制板另外包含近程銷,該近程銷形 成爲使該晶圓與該第一溫度控制板分開。 2. 如申請專利範圍第1項所述的用來改變晶圓的溫 度的系統,其中該近程銷可移動,以使得該第一及第二溫 /. 度控制板離開該晶圓的距離可被改變。 3. 如申請專利範圍第1項所述的用來改變晶圓的溫 度的系統,另外包含形成爲將氣體分佈在該晶圓上的一流 動分佈歧管。 4. 如申請專利範圍第3項所述的用來改變晶圓的溫 度的系統,其中該流動分佈歧管包含層流路徑,每一層流 路徑包含控制該層流路徑的流量的一層流元件。 1304997 5. 如申請專利範圍第4項所述的用來改變晶圓的溫 度的系統,其中該層流元件包含形成於一基板的一槽道。 6. 如申請專利範圍第4項所述的用來改變晶圓的溫 度的系統,其中該層流路徑的每一個另外包含一空穴,使 得可能存在於該封殼中且可能進入該層流路徑的任何污染 物或溶劑會蓄積在該空穴中而非在該層流元件中。 7. 如申請專利範圍第3項所述的用來改變晶圓的溫 度的系統,其中該流動分佈歧管與該第一溫度控制板接觸 ,並且被分佈的氣體處於與第一溫度控制板相同的溫度。 8. 如申請專利範圍第7項所述的用來改變晶圓的溫 度的系統,其中該第一溫度控制板包含流動槽道,並且氣 體從該歧管流動通過該槽道而至該晶圓。 9. 如申請專利範圍第1項所述的用來改變晶圓的溫 度的系統,另外包含一氣體輸出流動調節器。 1 〇. —種用來控制晶圓的溫度的裝置,包含: 一溫度控制元件;及 一氣體分佈系統,形成爲將氣體分佈於繞晶圓的一表 面的不同點處,該氣體分佈系統包含多個流動路徑,該多 個流動路徑的每一個包含一層流元件, 其中晶圓位在該氣體分佈系統與該溫度控制元件之間 〇 11.如申請專利範圍第1 0項所述的用來控制晶圓的 溫度的裝置,其中該氣體分佈系統爲溫度控制式,因而橫 越晶圓的表面提供均勻的溫度分佈及氣體流動分佈。 -2- 1304997 1 2 .如申請專利範圍第1 0項所述的用來控制晶圓的 溫度的裝置,另外包含形成來調節氣體的排氣流量的一排 氣系統。 1 3 .如申請專利範圍第1 0項所述的用來控制晶圓的 溫度的裝置,其中該氣體分佈系統包含一或多個加熱及冷 卻元件。 1 4 .如申請專利範圍第1 1項所述的用來控制晶圓的 溫度的裝置,其中該氣體分佈系統及該溫度控制元件可被 調整至不同溫度,以改變該裝置內的溫度梯度。 1 5 . —種晶圓調整方法,用來在一容室中調整具有第 一側及第二側的晶圓,該晶圓調整方法包含: 從該第一側加熱或冷卻該晶圓; 從該第二側加熱或冷卻該晶圓; 施加氣體至該晶圓的該第一側,該氣體係經由多個通 道分佈,使得氣體流動爲層流。 1 6 .如申請專利範圍第1 5項所述的晶圓調整方法, 另外包含加熱或冷卻氣體,使得氣體被加熱或冷卻至與該 晶圓的該第一側相同的溫度。 17. —種後曝光烘焙室,包含: 一第一加熱板; 一第二加熱板; 該第一及第二加熱板形成爲加熱被放置在二者之間的 一晶圓,該晶圓藉著第一近程銷而與該第一加熱板間隔分 開,當該第二加熱板處於關閉位置時該第二加熱板係藉著 -3- 1304997 第二近程銷而與該第一加熱板間 時該第二加熱板係更遠離該第一 1 8 .如申請專利範圍第1 7 另外包含一流動控制系統,其具 節通過分佈氣體流動路徑的氣體 元件。 1 9 .如申請專利範圍第1 8 其中該流動控制系統與該第一力口 第一加熱板加熱。 20.如申請專利範圍第18 其中氣體從該流動控制系統通過 至晶圓。 2 1 .如申請專利範圍第1 8 其中該流動控制系統包含一流動 控制元件形成於該流動槽道板。 22. 一種晶圓調整室,包含 第一機構,用來在晶圓的第 第二機構,用來在晶圓的第 及 氣體分佈機構,用來將氣體 晶圓的第一或第二側上的多個位 構包含多個流動路徑,該多個流 流元件。 23. 如申請專利範圍第22 隔分開,當處於打開位置 加熱板。 項所述的後曝光烘焙室, 有分佈氣體流動路徑及調 流量的一或多個流動控制 項所述的後曝光供焙室, 熱板接觸,使得氣體被該 項所述的後曝光烘焙室, 該第一加熱板中的通道而 項所述的後曝光烘焙室, 槽道板,該一或多個流動 一側處改變晶圓的溫度; 二側處改變晶圓的溫度; 以一被控制的流量分佈在 置處,其中該氣體分佈機 動路徑的每一個包含一層 項所述的晶圓調整室,其 -4- 1304997 中氣體溫度是由用來改變晶圓的溫度的該第一或第二機構 操縱。 24.如申請專利範圍第23項所述的晶圓調整室,其 中該氣體分佈機構包含用來控制氣體的流量的流動控制機 構。 1304997 陸、(一)、本案指定代表圖為:第^_圖 (二)、本代表圖之元件代表符號簡單說明: 100 半導體處理室(SPC) 102 機械總成 104 上方殼體 106a 氣體運輸管 106b 氣體運輸管 108 彈簧 110 蓋板 111 歧管 112 流動槽道板(FCP) 124 上方熱(或激冷)板 126 石夕晶圓 128 排氣環件 130 提升銷總成 132 下方熱(或激冷)板 133 近程銷 134 下方殼體 柒、本案若有化學式時,請揭示最能顯示發明特徵的化學式: 本案指定代表化學式為:第_化學式
TW092105381A 2002-03-18 2003-03-12 System and method for heating and cooling wafer at accelerated rates TWI304997B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/100,934 US20030173346A1 (en) 2002-03-18 2002-03-18 System and method for heating and cooling wafer at accelerated rates

Publications (2)

Publication Number Publication Date
TW200305916A TW200305916A (en) 2003-11-01
TWI304997B true TWI304997B (en) 2009-01-01

Family

ID=28039929

Family Applications (1)

Application Number Title Priority Date Filing Date
TW092105381A TWI304997B (en) 2002-03-18 2003-03-12 System and method for heating and cooling wafer at accelerated rates

Country Status (5)

Country Link
US (2) US20030173346A1 (zh)
JP (1) JP2005521260A (zh)
AU (1) AU2003225878A1 (zh)
TW (1) TWI304997B (zh)
WO (1) WO2003081646A2 (zh)

Families Citing this family (287)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7101816B2 (en) * 2003-12-29 2006-09-05 Tokyo Electron Limited Methods for adaptive real time control of a thermal processing system
DE102004021392A1 (de) * 2004-04-30 2005-12-01 Infineon Technologies Ag Hotplate-Apparatur zur Prozessierung von Halbleiterwafern
KR100601979B1 (ko) * 2004-12-30 2006-07-18 삼성전자주식회사 반도체 웨이퍼의 베이킹 장치
JP2007150132A (ja) * 2005-11-30 2007-06-14 Toshiba Mitsubishi-Electric Industrial System Corp 均熱装置
US7749400B2 (en) * 2005-12-16 2010-07-06 Jason Plumhoff Method for etching photolithographic substrates
US20070251456A1 (en) * 2006-04-27 2007-11-01 Applied Materials, Inc., A Delaware Corporation Composite heater and chill plate
US7867403B2 (en) * 2006-06-05 2011-01-11 Jason Plumhoff Temperature control method for photolithographic substrate
JP4805741B2 (ja) * 2006-07-14 2011-11-02 Okiセミコンダクタ株式会社 半導体製造装置および半導体装置の製造方法
JP4457242B2 (ja) * 2007-11-30 2010-04-28 Okiセミコンダクタ株式会社 半導体装置の製造方法
WO2010038674A1 (ja) * 2008-09-30 2010-04-08 東京エレクトロン株式会社 基板の異常載置状態の検知方法、基板処理方法、コンピュータ読み取り可能な記憶媒体および基板処理装置
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
EP2365390A3 (en) 2010-03-12 2017-10-04 ASML Netherlands B.V. Lithographic apparatus and method
JP2012253343A (ja) * 2011-06-02 2012-12-20 Tokyo Ohka Kogyo Co Ltd 基板処理装置及び基板処理方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US20140363903A1 (en) * 2013-06-10 2014-12-11 Tokyo Ohta Kogyo Co., Ltd. Substrate treating apparatus and method of treating substrate
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
KR101653335B1 (ko) * 2014-08-05 2016-09-02 피에스케이 주식회사 냉각 유닛, 이를 포함하는 기판 처리 장치 및 방법
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10782262B2 (en) * 2016-07-09 2020-09-22 Electrawatch, Inc. Non-destructive, localized heat treatment device capable of attachment to non-planar surfaces
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) * 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
JP7086232B2 (ja) 2018-06-15 2022-06-17 マトソン テクノロジー インコーポレイテッド 被加工材の露光後ベーク処理のための方法および装置
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11587807B2 (en) * 2018-10-28 2023-02-21 Taiwan Semiconductor Manufacturing Co., Ltd. Annealing apparatus and method thereof
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
EP3916482A1 (en) * 2020-05-27 2021-12-01 ASML Netherlands B.V. Conditioning device and corresponding object handler, stage apparatus and lithographic apparatus
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4685331A (en) 1985-04-10 1987-08-11 Innovus Thermal mass flowmeter and controller
US5536918A (en) * 1991-08-16 1996-07-16 Tokyo Electron Sagami Kabushiki Kaisha Heat treatment apparatus utilizing flat heating elements for treating semiconductor wafers
US5181556A (en) * 1991-09-20 1993-01-26 Intevac, Inc. System for substrate cooling in an evacuated environment
US5592581A (en) * 1993-07-19 1997-01-07 Tokyo Electron Kabushiki Kaisha Heat treatment apparatus
DE4407377C2 (de) * 1994-03-05 1996-09-26 Ast Elektronik Gmbh Reaktionskammer eines Schnellheizsystems für die Kurzzeittemperung von Halbleiterscheiben und Verfahren zum Spülen der Reaktionskammer
US5772770A (en) * 1995-01-27 1998-06-30 Kokusai Electric Co, Ltd. Substrate processing apparatus
JPH08260158A (ja) * 1995-01-27 1996-10-08 Kokusai Electric Co Ltd 基板処理装置
US5551985A (en) * 1995-08-18 1996-09-03 Torrex Equipment Corporation Method and apparatus for cold wall chemical vapor deposition
US5670218A (en) * 1995-10-04 1997-09-23 Hyundai Electronics Industries Co., Ltd. Method for forming ferroelectric thin film and apparatus therefor
KR100387549B1 (ko) * 1995-11-28 2003-08-19 동경 엘렉트론 주식회사 피처리기판을가열하면서처리가스를이용해반도체를처리하는방법및그장치
JP3551609B2 (ja) * 1996-02-23 2004-08-11 東京エレクトロン株式会社 熱処理装置
US6111225A (en) * 1996-02-23 2000-08-29 Tokyo Electron Limited Wafer processing apparatus with a processing vessel, upper and lower separately sealed heating vessels, and means for maintaining the vessels at predetermined pressures
US5837555A (en) * 1996-04-12 1998-11-17 Ast Electronik Apparatus and method for rapid thermal processing
US5900064A (en) * 1997-05-01 1999-05-04 Applied Materials, Inc. Plasma process chamber
US5965047A (en) * 1997-10-24 1999-10-12 Steag Ast Rapid thermal processing (RTP) system with rotating substrate
JP3453069B2 (ja) * 1998-08-20 2003-10-06 東京エレクトロン株式会社 基板温調装置
JP2002536829A (ja) * 1999-02-04 2002-10-29 シュテアク エルテーペー システムズ ゲゼルシャフト ミット ベシュレンクテル ハフツング 急速熱処理(rtp)装置のための冷却シャワーヘッド
FR2792084A1 (fr) * 1999-04-12 2000-10-13 Joint Industrial Processors For Electronics Dispositif de chauffage et de refroidissement integre dans un reacteur de traitement thermique d'un substrat
US6416318B1 (en) * 1999-06-16 2002-07-09 Silicon Valley Group, Inc. Process chamber assembly with reflective hot plate and pivoting lid
US6245192B1 (en) * 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6364949B1 (en) * 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
US6494955B1 (en) * 2000-02-15 2002-12-17 Applied Materials, Inc. Ceramic substrate support
JP3589929B2 (ja) * 2000-02-22 2004-11-17 東京エレクトロン株式会社 加熱処理装置
JP4849705B2 (ja) * 2000-03-24 2012-01-11 東京エレクトロン株式会社 プラズマ処理装置、プラズマ生成導入部材及び誘電体
US6753506B2 (en) * 2001-08-23 2004-06-22 Axcelis Technologies System and method of fast ambient switching for rapid thermal processing

Also Published As

Publication number Publication date
AU2003225878A1 (en) 2003-10-08
US7156924B2 (en) 2007-01-02
JP2005521260A (ja) 2005-07-14
US20040056017A1 (en) 2004-03-25
US20030173346A1 (en) 2003-09-18
WO2003081646A3 (en) 2004-03-18
TW200305916A (en) 2003-11-01
WO2003081646A2 (en) 2003-10-02

Similar Documents

Publication Publication Date Title
TWI304997B (en) System and method for heating and cooling wafer at accelerated rates
JP4948587B2 (ja) フォトレジスト塗布現像装置、基板搬送方法、インターフェイス装置
CN102176408B (zh) 干式非等离子体处理***和使用方法
US7988813B2 (en) Dynamic control of process chemistry for improved within-substrate process uniformity
US6534750B1 (en) Heat treatment unit and heat treatment method
JPH11510316A (ja) ホトレジスト硬化方法及び装置
US6605814B1 (en) Apparatus for curing resist
TWI233174B (en) Temperature-controlled chuck and method for controlling the temperature of a substantially flat object
JP2006191032A (ja) 半導体ウェーハのベーキング装置
KR100626395B1 (ko) 노광 후 베이크 장치 및 노광 후 베이크 방법, 그리고 상기장치를 가지는 포토 리소그래피 시스템
US7384595B2 (en) Heat-treating apparatus and heat-treating method
EP3534211A1 (en) Method and apparatus for forming a patterned layer of material
US6573480B1 (en) Use of thermal flow to remove side lobes
KR102221284B1 (ko) 가열 플레이트, 이를 구비하는 기판 열처리 장치 및 가열 플레이트의 제조 방법
US11994807B2 (en) In-situ lithography pattern enhancement with localized stress treatment tuning using heat zones
US11127583B2 (en) Method of treating semiconductor substrate
US7019268B2 (en) Wafer processing apparatus and method of use
KR100301058B1 (ko) 포토레지스트 플로우가 가능한 자외선 베이크 설비
US5085729A (en) Uniformity using stagnant silylation
JP2006245505A (ja) 半導体装置の製造方法及び半導体製造装置
JP2009016653A (ja) 基板の処理方法及びコンピュータ読み取り可能な記憶媒体
KR20200021818A (ko) 가열 플레이트, 이를 구비하는 기판 열처리 장치 및 가열 플레이트의 제조 방법
CN115380251A (zh) 用于制备衬底的方法和光刻设备
KR20050029802A (ko) 반도체 웨이퍼 베이크 장치
Kozman Characterization and improvement of temperature and critical dimension uniformity in a microlithography cluster: an analytical and experimental study

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees