JP2005521260A - 加速された速度でウェハを加熱および冷却するためのシステムおよび方法 - Google Patents

加速された速度でウェハを加熱および冷却するためのシステムおよび方法 Download PDF

Info

Publication number
JP2005521260A
JP2005521260A JP2003579268A JP2003579268A JP2005521260A JP 2005521260 A JP2005521260 A JP 2005521260A JP 2003579268 A JP2003579268 A JP 2003579268A JP 2003579268 A JP2003579268 A JP 2003579268A JP 2005521260 A JP2005521260 A JP 2005521260A
Authority
JP
Japan
Prior art keywords
wafer
temperature
gas
flow
heating
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2003579268A
Other languages
English (en)
Inventor
ジー. レンケン,ウエイン
Original Assignee
センサレー コーポレイション
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by センサレー コーポレイション filed Critical センサレー コーポレイション
Publication of JP2005521260A publication Critical patent/JP2005521260A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本発明は、半導体ウェハ加工のための非常に動的な加熱および/または冷却チャンバを提供する。チャンバは、ウェハの異なる点における温度勾配を最小にするために、均一な熱およびガスの流れ分布をもつ。

Description

本発明は、全体として半導体加工に関し、より詳しくは集積回路をつくるために用いられるウェハの加熱および冷却に関する。
マイクロエレクトロニクス素子は、適当な担体または基板上に形成される多層の材料を使用して作製される。マイクロエレクトロニクス材料の層の多くは、微細な寸法をつくりだすために、正確にパターン化し、かつ位置合せしなければならない。回路密度および性能に対する要求が増大するにつれ、パターンの許容誤差および寸法も対応してさらに小さくなってきた。通常は、フォトリソグラフィを使用して、マイクロエレクトロニクス材料の層にパターンを形成する。フォトリソグラフィにおいては、パターン化される材料の層は、感光性ラッカー、またはフォトレジスト材料でコーティングされ、次いで、光放射のパターンに露光して、フォトレジスト材料中にパターンの潜像をつくる。それから、潜像を化学的に現像して、パターンのフォトレジストエッチングマスクを作製する。次に、エッチングまたは他の類似したプロセス等のアディティブ法またはサブトラクティブ法プロセスによって、パターンを下の材料層へ移す。
集積回路の製造における特徴寸法が100nmに近づくにつれ、実装密度の問題はますます克服し難くなる。主な問題は、フォトレジストの露光用のリソグラフィ法露光ツール解像度である。フォトレジストおよびフォトレジストの取扱いは、当業者にとっては周知であるが、いくつかの重要な問題点について、以下簡単に説明する。フォトレジストは、適当な基板に薄膜コーティングとして塗布される。コーティングされた基板を光化学放射で画像露光すると、露光区域と非露光区域との間の溶解速度の差によって、現像後、基板上に像が形成される。被覆を取り去った基板は、その後エッチングプロセスに委ねられる。多くの場合、このプロセスはプラズマエッチングを含み、レジストコーティングは、プラズマエッチングに対して十分に安定でなければならない。ポジ調フォトレジストの場合、コーティングは、露光の間に被覆されたまま残った基板の区域をエッチング液から保護し、したがって、エッチング液は被覆されていない区域しかエッチングできない。フォトレジストコーティングは、被覆されている基板の区域をエッチング液から保護し、したがって、エッチング液は、被覆されていない基板の区域しかエッチングできない。したがって、現像に先立って、コーティングされた基板上に選択的露光パターンをつくるために用いられたマスクまたはテンプレートのパターンに対応するパターンを、基板上に形成できる。
非常に小さな寸法を再現する能力は、シリコンチップおよび類似の部品上の大規模集積回路の製造において極めて重要である。半導体デバイスの集積度がより高くなるにつれて、より微細なフォトレジスト膜パターンが要求される。そのようなチップ上の回路密度を増大する一つの方法は、レジストの解像度能力の増大によるものである。
最適に利用可能なマイクロリソグラフィ法の解像度は、基本的に、選択的照射のために用いられる放射波長によって決まる。しかし、従来の深紫外線(すなわち、248nm)マイクロリソグラフィで得ることができる解像度能力には、限界がある。小さな構造要素、例えば、0.13ミクロンおよびそれより小さい特徴を、光学的に十分に解像するために、さらに短い波長(特に、193nm)の放射が、新世代の化学増幅レジスト膜とともに使用されつつある。
代表的な化学増幅フォトレジスト膜は、ポリマー、光酸発生剤、および他の任意の添加剤を含む。ポリマーは、優れたエッチング耐性をもつことに加えて、選ばれる現像溶液に可溶であり、高い熱安定性、および露光波長に対する低い吸光度をもつことを要求される。化学増幅フォトレジストは、化学増幅デブロッキング (deblocking) にもとづく。この機構では、光によって発生した酸分子が、ポリマーの保護基中の結合の開裂を触媒する。デブロッキングプロセスの間、副生物として同じ酸のもう一つの分子がつくられ、酸触媒デブロッキングサイクルを続ける。
化学増幅レジストには、潜在的な酸および像を発生させるための露光量と、現像液へのレジストの溶解度の変化をもたらすデブロッキング反応を推進する加熱量とが、ともに要求される。光発生した酸は、デブロッキング反応を触媒するとき、レジスト中を拡散するため、酸は非露光区域に拡散して、レジスト中につくられる像の品質に対して、顕著に影響する可能性がある。露光後加熱プロセス(PEB)の重要な判定基準は、拡散と反応過程との相対速度間のバランスの最適化である。拡散前反応過程は、環境中への光酸損失を含むことがある。拡散後反応過程は、より詳しくは、増幅反応および酸損失反応である。拡散係数および反応速度はともに温度に依存するため、集積回路の最終的な寸法にとって、慎重な取扱いおよびレジストの熱的履歴の監視が重要である。拡散過程、増幅反応過程および酸損失反応は、それぞれ異なる活性化エネルギーをもつ。比較すると、拡散および増幅反応の活性化エネルギーはともに高く、一方、酸損失反応の活性化エネルギーは低い。
このような事情により、密度の高い特徴の形成にとって、移行または立ち上り時間は重大である。高密度に配置された線または他の特徴が、スカミングとして知られる過程でつながることがある一方で、同じ加熱量にさらされた孤立した線または特徴が直線的に増加し、十分に解像されることもある。加熱の初期には、デブロッキング反応を推進するために十分熱い温度にウェハが到達する前に、酸損失反応が酸を取り除く。加熱プレート温度に達した後は、酸損失、拡散および増幅が同時に起る。加熱温度への到達が遅れると、デブロッキングが始まる前に、かなりの酸損失が起り、前述したスカミングプロセスに貢献する。詳細については、マーク・D・スミスによる「化学増幅レジストのリソグラフィ性能に対する露光後加熱時の熱的履歴の影響のモデル化」(SPIE予稿集,4345巻,1013〜1021ページ,2001年,レジスト技術および加工における進歩 XVIII)(非特許文献1)という論文を参照されたい。この論文は、その全体が本願明細書において参照により援用されている。
図1〜3は、シリコンウェハの露光後加熱に用いられる従来技術の炉を例示する。図1は、従来技術の炉の分解図であり、上部外囲20、ガス入口22、シャワーヘッド24、ウェハ28、近接ピン34をもつ加熱プレート32、リフトオフピン36、および下部外囲40を例示する。図2は、図1に示される従来技術の炉の開位置での断面図であり、ウェハ28は加熱プレート32および近接ピン34の表面からもち上げられている。図3は、図1に示される従来技術の炉の閉位置での断面図であり、ウェハ28は加熱プレート32の近接ピン34上にある。シャワーヘッド24は、ガス入口22から到着するガスを分配する通路をもつ。そのような炉の一つの具体例は、日本の熊本にある東京エレクトロン株式会社(TEL)によって製造されている。
一般的に、近接ピンは、ウェハ28を加熱プレート32の表面から約100〜150ミクロン高くもち上げる。実際問題として、ウェハ28を完全な平面にすることも、前の処理の間完全な平面に維持することもできないし、ウェハによって平面度に差がある。炉内の垂直温度勾配のため、平面度の小さな変動さえ、ウェハ28上の種々の点における温度に比較的大きな相違を生じ得る。例えば、もしウェハが凹形であり、中央よりも末端が加熱プレート32から遠ければ、中央部分は末端より熱くなる。従来技術の設計では、この変動のために、ウェハの別々の区域で二倍も異なる立ち上がり時間が生じることがあり、したがって、拡散および反応過程の精密な活性化および制御に不利益である。
米国特許第4,685,331号 マーク・D・スミス著,「化学増幅レジストのリソグラフィ性能に対する露光後加熱時の熱的履歴の影響のモデル化」(SPIE予稿集,4345巻,1013〜1021ページ,2001年,レジスト技術および加工における進歩 XVIII)
本発明の一つの態様は、第1の温度制御されたプレートを含むウェハの温度を変えるためのシステムである。第2の温度制御されたプレートは近接ピンをもち、ウェハは第1の温度制御されたプレートと第2の温度制御されたプレートとの間に配置される。第1の温度制御されたプレートからウェハまでの距離は、近接ピンによって維持される。第2の温度制御されたプレートからウェハまでの距離は、種々の方法で維持できるが、好ましくは、第2の加熱プレートの近接ピンによって維持される。第1の温度制御されたプレートおよび第2の温度制御されたプレートならびにウェハの周囲を外囲が囲み、外囲はガス入口および出口を含む。熱伝導性のガスが入口からウェハを通り過ぎて出口に流れる。
本発明のもう一つの態様は、第1の面および第2の面をもつウェハのチャンバ内での調整方法を含む。この方法は、第1の面からウェハを加熱または冷却するステップと、第2の面からウェハを加熱または冷却するステップと、およびウェハへガスを供給するステップを含み、ガスの流れが制御され、実質的に層流であって空間的に分配されるように、ガスは温度制御素子の一つを通る複数の通路を通って分配される。
発明のさらにもう一つの態様は、温度制御素子、およびウェハの表面の周囲にガスを分配するために構成されたガス分配システムを含むウェハの温度を制御するための装置であり、ガス分配システムは、複数の流れ経路を含み、複数の流れ経路のそれぞれは層流素子を含む。装置内におけるウェハはガス分配システムと二つの温度制御素子との間に配置される。
本発明の他の態様および利点は、以下の説明および添付の図面から明らかになる。
添付の図面を参照することにより、本発明はさらによく理解され、本発明の数多くの特長および利点が明らかになる。異なる図であるが、使用されている構成要素が同じものである場合には、理解の簡単さおよび容易さのために、図面において共通する構成要素には同じ番号が付されている。
以下は、本発明の例示的な実施形態の詳細な説明である。本発明のこれらの実施形態は、当該図面を参照して説明されるため、説明された方法およびまたは特定の構造のさまざまな修正あるいは適応は、当業者には明らかである。本発明の教示に依存し、それらを通じてこれらの教示が当分野を進歩させる、そのような修正、適応、または変化形は、すべて本発明の範囲内にあると考えられる。それゆえに、本発明は、例示された実施形態に決して限定されないと理解され、また、これらの説明および図面は、限定的な意味で考慮されるべきではない。
図4は、半導体加工チャンバ(「SPC」)100の分解図を例示する。SPC100は、ガス分配および蒸気除去等のプロセス上の他の必要に応えることに加えて、シリコンウェハまたは他の基板を加熱または冷却するために用いられる。SPC100には、シリコンウェハ加工の分野で多くの用途がある。そのような用途の一つが、前述した露光後加熱プロセスである。他の用途は、当業者にとっては自明であろう。
シリコンウェハ126は、上部ハウジング104および下部ハウジング134の範囲内で調整される。SPC100はウェハを加熱し調整するのと同様に、ウェハを冷却するためにも用いられることがあるが、それでも炉と呼ばれる。
機械的組体102はSPC100の開放および閉止を駆動する。すなわち、上部ハウジング104および下部ハウジング134を一緒に、あるいは、別々にSPC100を閉じたりまたは開けたりする。上部ハウジング104および下部ハウジングl34の閉止および開放の速度は、機械的組体102および他の要素(図示せず)によって変えられる。ガス輸送管106aおよび106bは、上部ハウジング104およびカバープレート110にそれぞれつけられる。ガス輸送管106は、調整プロセスで用いられる流入ガスを、カバープレート110およびフローチャネルプレート112によって形成されるフローマニホールド111に導く。ガスはマニホールド111中を通り、ガス分配システムの一部として機能する上部加熱(または冷却)プレート124を通って流れる。利用されるガスは一般的に窒素であるが、ガス分配システムは、もちろん、任意のガスをウェハ126に輸送できる。ばね108は、ウェハ126を含むいろいろな構成部分の動きおよび寸法の変化の自由を許容する一方で、SPC100のいろいろな要素を圧縮する。
ウェハ126は、下部加熱(または冷却)プレート132上で近接ピン133によって支えられる。近接ピンl33は、ウェハ126を下部加熱プレート132の上面から一様な距離に保つために位置決めされる。近接ピンは、加熱、冷却および調整プロセスの間、ウェハの反りを最小にするために、下部加熱プレート132の表面上に、同心円状または、他の任意のパターンで配置される。ウェハ126の正確な位置決めは、ウェハ126を一様に加熱、冷却または他の方法で調整するために重要である。下部加熱プレート132または上部加熱プレート124のいずれかからのウェハ126の位置決め不良または不適切な距離によって、ウェハ126の別々の区域は、別々の温度プロフィールまたは勾配にさらされることになる。調整プロセスの間にウェハがさらされる温度プロフィールの最小限の差でさえも、ウェハ126の上および/または中に作製される集積回路の線幅および回路形成に対して、大きな影響をもつことがある。加熱プレート132は、ウェハを加熱するために用いられる場合には、はめ込まれた電気加熱素子をもつ。ウェハを冷却するために、加熱プレート132が冷却プレートとして用いられる場合には、熱電式冷却器、あるいは冷却液を通す等の任意の他のよく知られている手段が用いられる。したがって、加熱プレートという用語は、その周囲を加熱または冷却する温度制御プレートとして定義される。
リフトピン組体130は、ウェハが挿入されるときには、ウェハ126を近接ピン133の上に置くために用いられ、ウェハがSPC100から取出されるときには、ウェハ126を近接ピン133からもち上げるためにも用いられる。
排気リング128は、SPC100内の加熱プレート132の縁から出る排気の流れを制限し制御する。種々の処理用途に合うように排気制限の種々のレベルが調整される。排気リング128は、排気リング128と加熱プレート132との間につくられる環状の排気用開口部のまわりから一様に流体が流れることを確実にする。SPC100は、流入および排気速度を制御して、ウェハ126の表面上のガスの流れの半径方向の調整および流量の制御を提供する。ガスの分配については、図5に関連して、ここでさらに詳細に説明する。
図5は、マニホールド111のフローチャネルプレート(「FCP」)112を示す。カバープレート110(図示せず)は、FCP112の上面を密閉する。具体的には、カバープレート110は、シールリング113および平らな接触区域122と直接接触する。あるいは、FCP112とカバープレート110との間に、さらにガスケットが含まれることがある。ガスは、ガス輸送管106からカバープレート110を通って分配リング114によって形成される環状のチャネルに到着する。環状のチャネルは、FCP112およびカバープレート110の凹んだ部分である。多くの他のよく知られた金属加工法が使用できるが、FCP112は、好ましくは、金属または金属箔のエッチングによってつくられる。代わりに、カバープレート110が、ガス分配リングを提供するようにつくられることもある。さらに、ウェハの汚染を避けるためには、ニッケルまたはステンレス鋼等の金属が好ましいが、当該分野で知られている他の任意の材料がマニホールド111を形成するのに使用されることがある。分配リング114は、シールリング113に対して凹んでいる。ガスは分配リング114上の環状のチャネルを通り、さまざまな分配チャネル116を通って、ウェハ126(図示せず)の表面の種々の区域へ流れる。環状のチャネル114の幅は2mm〜2cm、深さは0.2mm〜5mmの範囲にある。分配チャネル116はそれぞれ送り通路117および層流通路(「LFP」)118をもつ。層流通路118は、ウェハ表面への流れが一定で均一に分配されるのを確実にするものである。LFP118は、広い範囲の用途に対して、流れの分配を最適化するために調整される。一般的に言って、分配チャネル116の深さは、約50ミクロン〜約800ミクロンの範囲にあり、好ましくは、150ミクロンである。層流通路の詳細については、レンケンらによる「熱性マスフローメーターおよびコントローラ」という米国特許第4,685,331号(特許文献1)を参照されたい。この特許は、その全体が本願明細書において参照により援用されている。送り通路117は、分配リング114からLFP118までガスを輸送する。各分配チャネルの終端にはガス吐出孔120がある。各ガス吐出孔120は、上部加熱プレート124中のガス通路に位置合わせされる。
SPC100中に存在する任意の溶媒または汚染物、例えば、露光後加熱中にウェハ126から生じ、または蒸発し、上部加熱プレート124中の通路を通って移動するものは、ガス吐出口l20中で凝縮するか、および/または溜まる。したがって、凝縮した汚染物を受け入れられるように、層流通路よりもはるかに大きな深さに作製されたガス吐出口120は、汚染物および結果として生じる詰まりまたは流れ阻害から層流通路118を保護する。
FCP112は、上部加熱プレート124と直接接触し、かつ密着している。したがって、FCP112は、上部加熱プレート124とほとんど同じ温度である。上部加熱(冷却)プレートが、ウェハを加熱するために機能しているか冷却するために機能しているかに依存して、マニホールド111を通るガスの流れは、ウェハとおおよそ同じ温度に加熱されるか、冷却される。さらに、ガスも上部加熱プレート124中の通路を直接通るので、ウェハ126の表面または近くにおける温度に非常に近いSPC100の温度に、ガスの温度が到着することをさらに確実にする。ガス輸送のために用いられる温度制御された表面積が大きいためと、温度制御された要素と接触するガスの滞留時間が比較的長いため、および温度制御された要素中をガスが直接通過するため、熱は効率的にガスへ移される。例えば、0.18ミクロンおよびそれ以下の線幅をもつ非常に小さい集積回路の作製においては、ウェハの表面での温度の精密な制御は拡散および反応過程の相対速度、ひいては集積回路の線幅に直接影響するため、これは、従来技術に対して、明らかな長所である。
図6〜8は、運転のいろいろな段階におけるSPC100の断面図である。図6は、高い位置にウェハ126をもつ開いたSPC100を示す。ウェハ126は、上位置にあるリフトピン組体130の上へ挿入される。図7では、リフトピン組体130は、下部加熱プレート132上の近接ピン133の上に下げられたウェハ126をもつ。上部加熱プレート124は、フローチャネルプレート112およびマニホールド111のカバープレート110と同じく上位置にある。加熱(冷却)プレート124および132の一方または両方は、ウェハ126を加熱または冷却するために、この段階で使われていることがある。また、ガスは流れていても、あるいは切られていてもよい。上部加熱プレート124とウェハ126との間のギャップに留意されたい。
図8では、上部近接ピン125が下部加熱プレート132と接触するように、上部加熱プレート124が下位置に下げられている。ウェハ126の温度はさまざまな方法で制御され、またSPC100内の温度勾配も、加熱プレート124および132を一緒にまたは独立に調整することによって調節される。上部および下部加熱プレートの移動(降下または上昇)の速度は、温度プロフィールを調整するために、運転の間、システムによって変えられる。これは、ウェハの時間/温度プロフィールの完全な制御のために、外囲の開放および閉止と連動して制御されることがある。上部加熱プレート124および下部加熱プレート132をともに作動させることによって、SPC100内の勾配は、下部加熱プレートしかもたない従来技術の設計と比較して、大いに最小化される。さらに、拡張された上部近接ピン125の使用により、上部加熱プレートと下部加熱プレートとの間の距離をプロセス中に変更でき、温度プロフィールに最大のフレキシビリティと調整力が提供される。また、加熱プレートの温度スケジュールも、任意の与えられた温度サイクルまたはプロフィールの間、時間によって個々に変化するようにプログラムすることができる。一般的に言って、この発明のデュアル加熱プレート設計を用いると、与えられた温度への立ち上がり時間を、従来技術の設計と比較して、半分に縮小することができる。また、立ち上がり速度も、従来の設計と比較して、はるかに精密に制御することができる。
さらに、ウェハの両面から熱が供給されるため、図1〜3の設計のような従来の設計によるよりも、平面度の変化(反り)はより低く抑えられている。例えば、ウェハが反って、ウェハの端がウェハの中心部分より下部加熱プレート132から遠くなると、それらの同じ端が、上部加熱プレート124により近くなる。200mmのウェハの反りは、約75ミクロンにまで達する。言い換えると、下部および上部加熱プレート124および132の間の熱勾配が小さくなり、そうでない場合に完成前のウェハがさらされたであろう温度差を最小にする。また、これは、より精密な集積回路の製作に通じる。
図7および8で見られるように、上部加熱プレート124を上または下の位置にして、ガスをウェハに供給することができる。また、図6〜8の断面図において、上部加熱プレート124を通るガス通路127が見られる。ガスはマニホールド111およびガス通路127中を流れるにつれて、上部加熱プレート124の温度に加熱される。前述したように、上部加熱プレート124の温度は独立に操作される。したがって、分配されるガスの温度も、望まれる効果を生み出すために、選ばれた温度にあるために加熱または冷却される。従来技術の設計と比較すると、ガスの比較的長い残留時間および熱伝達要素の大きな表面積は、ガス、ひいてはウェハの精密な温度調節を増す。さらに、ウェハの表面の周囲に一様な流れを提供するために分配される層流チャネルによってもたらされる制御は、SPC100内で温度のより良いコントロールを生み出す。
SPC100の精密な温度調節および速い動的応答は、多くの運転における長所である。特に、SPC100は、化学増幅型レジストの重要な変化をよりよく制御することができる。前述したように、化学増幅型レジストは、拡散、増幅反応および酸損失反応を促進するために、異なる熱プロファイルおよびエネルギーを必要とする。したがって、どれかの反応が不注意で始まったり、影響を受けたりしないように、精密な温度制御が最も重要である。光によって生成された酸は、デブロッキング反応を触媒するとき、レジスト中を拡散するので、酸は、そうでなければ未露光の区域に拡散し、レジストでつくられる像の品質に対して顕著に影響する可能性がある。露光後加熱プロセス(PEB)の重要な判定基準は、拡散および反応過程の相対速度の間のバランスの最適化である。拡散係数および反応速度は、両方とも温度に依存するので、レジストの慎重な取扱いおよび熱的履歴の監視は、集積回路の最終的な寸法にとって重要である。本発明のデュアル加熱冷却システムおよび精密ガス分配システムは、これを可能にする。
本発明の実施形態が示され、説明されてきたが、これらの例示的な実施形態に対する変更および修正は、そのより幅広い態様において、本発明から逸脱することなく行われる。したがって、上記で明示的に説明されてはいないが、本発明の範囲内にある、本発明の他の実施形態があることは明らかである。したがって、添付の特許請求の範囲は、必然的に、そのような変更および修正をすべて、説明された発明の真の範囲内に含まれるとして包含すること、および、さらに、この範囲が、その範囲を示すために提示された例示的な実施形態に単に限定されないことが理解されよう。
従来技術の炉の分解図である。 図1に示されている炉の断面図である。 図1に示されている炉の断面図である。 半導体加工チャンバ(「SPC」)100の分解図である。 フローチャネルプレート112の透視図である。 開位置でのSPC100の断面図である。 閉位置でのSPC100の断面図である。 閉位置でのSPC100の断面図である。

Claims (29)

  1. ウェハの温度を変えるためのシステムにおいて、
    第1の温度制御されたプレートと、
    近接ピンを含む第2の温度制御されたプレートであって、ウェハが第1および第2の温度制御されたプレートの間に置かれ、第2の温度制御されたプレートから近接ピン分の距離をおいて置かれるものである第2の温度制御されたプレートと、
    第1および第2の温度制御されたプレートならびにウェハを取り囲む外囲であって、前記外囲はガス入口および出口を含み、ガスは入口からウェハを通り過ぎて出口に流れるものである外囲と、
    を含むウェハの温度を変えるためのシステム。
  2. 前記第1の温度制御されたプレートが近接ピンをさらに含み、第1の温度制御されたプレートから距離をおいてウェハを配置するために前記近接ピンが構成される請求項1記載のシステム。
  3. 前記第1および第2の温度制御されたプレートのウェハからの距離を変えられるように、前記近接ピンが可動式である請求項2記載のシステム。
  4. ウェハ上にガスを分配するために構成されたフロー分配マニホールドをさらに含む請求項1記載のシステム。
  5. 前記フロー分配マニホールドが層流経路を含み、前記層流経路の各々が前記層流経路の流量を制御する層流素子を含む請求項4記載のシステム。
  6. 前記層流素子が基板中に形成されたチャネルを含む請求項5記載のシステム。
  7. 前記外囲中に存在し、流れ経路に入り込む任意の汚染物または溶媒が、層流素子中にではなく空洞中に溜まるように、各層流経路が空洞をさらに含む請求項5記載のシステム。
  8. 前記フロー分配マニホールドが前記第1の温度制御されたプレートと接触し、分配されたガスが前記第1の温度制御されたプレートと実質的に同じ温度である請求項4記載のシステム。
  9. 前記第1の温度制御されたプレートが流れチャネルを含み、ガスがマニホールドからチャネルを通ってウェハへ流れる請求項8記載のシステム。
  10. ガス出口がフローレギュレータをさらに含む請求項1記載のシステム。
  11. ウェハの温度を制御するための装置において、
    温度制御素子と、
    種々の点においてウェハの表面の周囲にガスを分配するために構成され、複数の流れ経路を含むガス分配システムであって、前記複数の流れ経路の各々が層流素子を含むものであるガス分配システムと、を含み、
    ウェハが、前記ガス分配システムと温度制御素子との間に配置されるウェハの温度を制御するための装置。
  12. 前記ガス分配システムが温度制御され、それによってウェハの表面全体に実質的に均一な温度分布およびガスの流れの分布を供給する請求項11記載の装置。
  13. ガスの排気流量を制御するために構成された排気システムをさらに含む請求項11記載の装置。
  14. 前記ガス分配システムが、一つ以上の加熱および冷却素子を含む請求項11記載の装置。
  15. 前記ガス分配システムおよび温度制御素子が、装置内の温度勾配を変えるために種々の温度に調節できる請求項12記載の装置。
  16. 第1および第2の面をもつウェハをチャンバ内で調整する方法において、
    第1の面からウェハを加熱または冷却するステップと、
    第2の面からウェハを加熱または冷却するステップと、
    ウェハの第1の面へガスを供給し、ガスの流れが実質的に薄層をなすように、複数の通路を通してガスを分配するステップと、
    を含む方法。
  17. ガスがウェハの前記第1の面と実質的に同じ温度に加熱または冷却されるように、ガスを加熱または冷却するステップをさらに含む請求項16記載の方法。
  18. 露光後加熱チャンバにおいて、
    第1の加熱プレートと、
    第2の加熱プレートと、を含み、
    前記第1および第2の加熱プレートはプレートの間に置かれたウェハを加熱するために構成され、前記ウェハは第1および第2の加熱プレートから近接ピン分の間隔をおいて配置される露光後加熱チャンバ。
  19. 分配されたガスの流れの経路と、ガスの流れの経路中のガス流量を制御する一つ以上の流量制御素子とをもつ流量制御システムをさらに含む請求項18記載の露光後加熱チャンバ。
  20. ガスが前記第1の加熱プレートによって加熱されるように、前記流量制御システムが前記第1の加熱プレートと接触する請求項19記載の露光後加熱チャンバ。
  21. ガスが前記流量制御システムから前記第1の加熱プレート中の通路をウェハまで通り抜ける請求項19記載の露光後加熱チャンバ。
  22. 前記流量制御システムが、フローチャネルプレートと、前記フローチャネルプレート内に形成された一つ以上の流量制御素子とを含む請求項19記載の露光後加熱チャンバ。
  23. ウェハ調整チャンバにおいて、
    ウェハの第1の面でウェハの温度を変える第1の手段と、
    ウェハの第2の面でウェハの温度を変える第2の手段と、
    複数の位置においてウェハの第1または第2の面上に、制御された流量でガスを分配するガス分配手段と、
    を含むウェハ調整チャンバ。
  24. ガス温度が、ウェハの温度を変える前記第1または第2の手段によって操作できる請求項23記載のウェハ調整チャンバ。
  25. 前記ガス分配手段が、ガスの流量を制御する流れ制御手段を含む請求項24記載のウェハ調整チャンバ。
  26. ウェハの温度を変えるためのシステムにおいて、
    第1の温度変更装置と、
    第2の温度変更装置であって、ウェハは第1の温度変更装置と第2の温度変更装置との間に配置されるものである第2の温度変更装置と、
    第1および第2の温度変更装置ならびにウェハを取り囲む外囲であって、前記外囲はガス入口および出口を含み、ガスは入口からウェハを通り過ぎて出口に流れるものである外囲と、を含み、
    前記システムが、ウェハの温度を調節するために前記第1または第2の温度変更装置または外囲の任意のものの閉止の割合を変える操作が可能であるウェハの温度を変えるためのシステム。
  27. 前記システムが、閉止の割合の調節によって、ウェハの温度の変化速度を変えるためにさらに操作可能である請求項26記載のシステム。
  28. 前記外囲が上部部分および下部部分を含み、前記システムが前記上部部分または下部部分の閉止の割合を変えるために操作可能である請求項26記載のシステム。
  29. 第1および第2の閉じ込め用構造物をもつ外囲内でウェハの温度を制御する装置において、
    温度制御素子と、
    種々の点においてウェハの表面の周囲にガスを分配するために構成され、複数の流れ経路および層流素子を含むガス分配システムと、を含み、
    ウェハが、前記ガス分配システムと温度制御素子との間に配置され、
    前記装置が、第1または第2の閉じ込め用構造物の動きの一つ以上の速度を変えることによって、外囲の開閉速度を調節するために操作可能である装置。
JP2003579268A 2002-03-18 2003-03-18 加速された速度でウェハを加熱および冷却するためのシステムおよび方法 Pending JP2005521260A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/100,934 US20030173346A1 (en) 2002-03-18 2002-03-18 System and method for heating and cooling wafer at accelerated rates
PCT/US2003/008464 WO2003081646A2 (en) 2002-03-18 2003-03-18 System and method for heating and cooling wafer at accelerated rates

Publications (1)

Publication Number Publication Date
JP2005521260A true JP2005521260A (ja) 2005-07-14

Family

ID=28039929

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003579268A Pending JP2005521260A (ja) 2002-03-18 2003-03-18 加速された速度でウェハを加熱および冷却するためのシステムおよび方法

Country Status (5)

Country Link
US (2) US20030173346A1 (ja)
JP (1) JP2005521260A (ja)
AU (1) AU2003225878A1 (ja)
TW (1) TWI304997B (ja)
WO (1) WO2003081646A2 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007150132A (ja) * 2005-11-30 2007-06-14 Toshiba Mitsubishi-Electric Industrial System Corp 均熱装置
KR20160017391A (ko) * 2014-08-05 2016-02-16 피에스케이 주식회사 냉각 유닛, 이를 포함하는 기판 처리 장치 및 방법

Families Citing this family (281)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7101816B2 (en) * 2003-12-29 2006-09-05 Tokyo Electron Limited Methods for adaptive real time control of a thermal processing system
DE102004021392A1 (de) * 2004-04-30 2005-12-01 Infineon Technologies Ag Hotplate-Apparatur zur Prozessierung von Halbleiterwafern
KR100601979B1 (ko) * 2004-12-30 2006-07-18 삼성전자주식회사 반도체 웨이퍼의 베이킹 장치
US7749400B2 (en) * 2005-12-16 2010-07-06 Jason Plumhoff Method for etching photolithographic substrates
US20070251456A1 (en) * 2006-04-27 2007-11-01 Applied Materials, Inc., A Delaware Corporation Composite heater and chill plate
US7867403B2 (en) * 2006-06-05 2011-01-11 Jason Plumhoff Temperature control method for photolithographic substrate
JP4805741B2 (ja) * 2006-07-14 2011-11-02 Okiセミコンダクタ株式会社 半導体製造装置および半導体装置の製造方法
JP4457242B2 (ja) * 2007-11-30 2010-04-28 Okiセミコンダクタ株式会社 半導体装置の製造方法
WO2010038674A1 (ja) * 2008-09-30 2010-04-08 東京エレクトロン株式会社 基板の異常載置状態の検知方法、基板処理方法、コンピュータ読み取り可能な記憶媒体および基板処理装置
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP2011192991A (ja) * 2010-03-12 2011-09-29 Asml Netherlands Bv リソグラフィ装置および方法
JP2012253343A (ja) * 2011-06-02 2012-12-20 Tokyo Ohka Kogyo Co Ltd 基板処理装置及び基板処理方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US20140363903A1 (en) * 2013-06-10 2014-12-11 Tokyo Ohta Kogyo Co., Ltd. Substrate treating apparatus and method of treating substrate
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10782262B2 (en) * 2016-07-09 2020-09-22 Electrawatch, Inc. Non-destructive, localized heat treatment device capable of attachment to non-planar surfaces
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) * 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
JP7086232B2 (ja) 2018-06-15 2022-06-17 マトソン テクノロジー インコーポレイテッド 被加工材の露光後ベーク処理のための方法および装置
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11587807B2 (en) * 2018-10-28 2023-02-21 Taiwan Semiconductor Manufacturing Co., Ltd. Annealing apparatus and method thereof
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102627584B1 (ko) 2019-02-20 2024-01-22 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 주기적 증착 방법 및 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
EP3916482A1 (en) * 2020-05-27 2021-12-01 ASML Netherlands B.V. Conditioning device and corresponding object handler, stage apparatus and lithographic apparatus
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08260158A (ja) * 1995-01-27 1996-10-08 Kokusai Electric Co Ltd 基板処理装置
JPH09232297A (ja) * 1996-02-23 1997-09-05 Tokyo Electron Ltd 熱処理装置
JP2000068176A (ja) * 1998-08-20 2000-03-03 Tokyo Electron Ltd 基板温調装置及び基板温調方法
JP2001237157A (ja) * 2000-02-22 2001-08-31 Tokyo Electron Ltd 加熱処理装置

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4685331A (en) 1985-04-10 1987-08-11 Innovus Thermal mass flowmeter and controller
US5536918A (en) * 1991-08-16 1996-07-16 Tokyo Electron Sagami Kabushiki Kaisha Heat treatment apparatus utilizing flat heating elements for treating semiconductor wafers
US5181556A (en) * 1991-09-20 1993-01-26 Intevac, Inc. System for substrate cooling in an evacuated environment
US5592581A (en) * 1993-07-19 1997-01-07 Tokyo Electron Kabushiki Kaisha Heat treatment apparatus
DE4407377C2 (de) * 1994-03-05 1996-09-26 Ast Elektronik Gmbh Reaktionskammer eines Schnellheizsystems für die Kurzzeittemperung von Halbleiterscheiben und Verfahren zum Spülen der Reaktionskammer
US5772770A (en) * 1995-01-27 1998-06-30 Kokusai Electric Co, Ltd. Substrate processing apparatus
US5551985A (en) * 1995-08-18 1996-09-03 Torrex Equipment Corporation Method and apparatus for cold wall chemical vapor deposition
US5670218A (en) * 1995-10-04 1997-09-23 Hyundai Electronics Industries Co., Ltd. Method for forming ferroelectric thin film and apparatus therefor
TW308710B (ja) * 1995-11-28 1997-06-21 Tokyo Electron Co Ltd
US6111225A (en) * 1996-02-23 2000-08-29 Tokyo Electron Limited Wafer processing apparatus with a processing vessel, upper and lower separately sealed heating vessels, and means for maintaining the vessels at predetermined pressures
US5837555A (en) * 1996-04-12 1998-11-17 Ast Electronik Apparatus and method for rapid thermal processing
US5900064A (en) * 1997-05-01 1999-05-04 Applied Materials, Inc. Plasma process chamber
US5965047A (en) * 1997-10-24 1999-10-12 Steag Ast Rapid thermal processing (RTP) system with rotating substrate
DE60039969D1 (de) * 1999-02-04 2008-10-02 Steag Rtp Systems Gmbh Gekühlter brausekopf für eine schnelle wärmebehandlungsanlage
FR2792084A1 (fr) * 1999-04-12 2000-10-13 Joint Industrial Processors For Electronics Dispositif de chauffage et de refroidissement integre dans un reacteur de traitement thermique d'un substrat
US6416318B1 (en) * 1999-06-16 2002-07-09 Silicon Valley Group, Inc. Process chamber assembly with reflective hot plate and pivoting lid
US6245192B1 (en) * 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6364949B1 (en) * 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
US6494955B1 (en) * 2000-02-15 2002-12-17 Applied Materials, Inc. Ceramic substrate support
JP4849705B2 (ja) * 2000-03-24 2012-01-11 東京エレクトロン株式会社 プラズマ処理装置、プラズマ生成導入部材及び誘電体
US6753506B2 (en) * 2001-08-23 2004-06-22 Axcelis Technologies System and method of fast ambient switching for rapid thermal processing

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08260158A (ja) * 1995-01-27 1996-10-08 Kokusai Electric Co Ltd 基板処理装置
JPH09232297A (ja) * 1996-02-23 1997-09-05 Tokyo Electron Ltd 熱処理装置
JP2000068176A (ja) * 1998-08-20 2000-03-03 Tokyo Electron Ltd 基板温調装置及び基板温調方法
JP2001237157A (ja) * 2000-02-22 2001-08-31 Tokyo Electron Ltd 加熱処理装置

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007150132A (ja) * 2005-11-30 2007-06-14 Toshiba Mitsubishi-Electric Industrial System Corp 均熱装置
KR20160017391A (ko) * 2014-08-05 2016-02-16 피에스케이 주식회사 냉각 유닛, 이를 포함하는 기판 처리 장치 및 방법
KR101653335B1 (ko) 2014-08-05 2016-09-02 피에스케이 주식회사 냉각 유닛, 이를 포함하는 기판 처리 장치 및 방법

Also Published As

Publication number Publication date
WO2003081646A3 (en) 2004-03-18
TWI304997B (en) 2009-01-01
US20030173346A1 (en) 2003-09-18
AU2003225878A1 (en) 2003-10-08
US7156924B2 (en) 2007-01-02
US20040056017A1 (en) 2004-03-25
TW200305916A (en) 2003-11-01
WO2003081646A2 (en) 2003-10-02

Similar Documents

Publication Publication Date Title
JP2005521260A (ja) 加速された速度でウェハを加熱および冷却するためのシステムおよび方法
US6534750B1 (en) Heat treatment unit and heat treatment method
US9798253B2 (en) Support table for a lithographic apparatus, lithographic apparatus and device manufacturing method
JP4972127B2 (ja) リソグラフィ装置
TWI618185B (zh) 基板固持器、微影裝置及製造器件之方法
JP2006191032A (ja) 半導体ウェーハのベーキング装置
JP2005033179A (ja) 露光装置及びデバイス製造方法
KR100626395B1 (ko) 노광 후 베이크 장치 및 노광 후 베이크 방법, 그리고 상기장치를 가지는 포토 리소그래피 시스템
US7384595B2 (en) Heat-treating apparatus and heat-treating method
TW201905608A (zh) 烘烤設備及烘烤方法
CN109285797B (zh) 基片加热装置和基片加热方法
TWI646403B (zh) 圖案化裝置冷卻系統及熱調節圖案化裝置的方法
JP2006245505A (ja) 半導体装置の製造方法及び半導体製造装置
US20240201601A1 (en) In-situ lithography pattern enhancement with localized stress treatment tuning using heat zones
US20230061549A1 (en) Method and device for placing semiconductor wafer
CN109143799B (zh) 烘烤设备及烘烤方法
KR20080056461A (ko) 포토레지스트 패턴 베이킹 방법 및 장치
JP2001023890A (ja) 露光装置およびこれを用いたデバイス製造方法
KR100301058B1 (ko) 포토레지스트 플로우가 가능한 자외선 베이크 설비
JP2001210584A (ja) シリル化処理装置及びシリル化処理方法
Schaper et al. Applications of control and signal processing to the microlithographic process
KR20230086958A (ko) 웨이퍼 베이킹 장치
KR20050029802A (ko) 반도체 웨이퍼 베이크 장치
Kozman Characterization and improvement of temperature and critical dimension uniformity in a microlithography cluster: an analytical and experimental study
KR20200021818A (ko) 가열 플레이트, 이를 구비하는 기판 열처리 장치 및 가열 플레이트의 제조 방법

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060313

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080916

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20081212

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20081219

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20090602