TWI290346B - HDP-CVD multistep gapfill process - Google Patents

HDP-CVD multistep gapfill process Download PDF

Info

Publication number
TWI290346B
TWI290346B TW093115996A TW93115996A TWI290346B TW I290346 B TWI290346 B TW I290346B TW 093115996 A TW093115996 A TW 093115996A TW 93115996 A TW93115996 A TW 93115996A TW I290346 B TWI290346 B TW I290346B
Authority
TW
Taiwan
Prior art keywords
gas
film
deposition
depositing
helium
Prior art date
Application number
TW093115996A
Other languages
English (en)
Other versions
TW200509257A (en
Inventor
M Ziaul Karim
Bikram Kapoor
Anchuan Wang
Dong Qing Li
Katsunari Ozeki
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW200509257A publication Critical patent/TW200509257A/zh
Application granted granted Critical
Publication of TWI290346B publication Critical patent/TWI290346B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)

Description

1290346 玖、發明說明: 【發明所屬之技術領域】 本發明係關於沉積一薄膜以填充一基材之一表面中 之空隙的方法及設備。 【先前技術】 在半導體技術發展中,長久以來所面臨的困難挑戰 之一在於增加電路元件之密度以及基材上之内連線而不致 於其間形成錯誤的内連線。無用的内連線一錁可以物理或 電性方式隔絕該等元件,如於空隙或溝渠中填入絕緣材料 的方式避免之。然而,當電路密度增加時,此等空隙的寬 度會隨之降低而增加其高深寬比,並因而使其更難以在不 留有空孔的情況下填充該等空隙。當空隙未完全填充時將 形成不想要的空孔,因而危及元件的操作,例如該等絕緣 材料内的不純物。 一般用於填充應用之技術係化學氣相沉積(CVD)技 術’習知熱CVD製程係提供反應性氣體至基材表面以形成 一薄膜’其中該表面係熱引生之化學反應所發生之處。電 聚增強型化學氣相沉積(PECVD)技術可提升反應氣體之激 發及/或分解,其係藉由將射頻(RF)能量施於靠近該基材表 面之一區域以形成電漿的方式進行之。電漿中該等物種的 高反應性可降低發生化學反應所需之能量,並因此降低 Cv〇製程所需之溫度(與習知熱CVD製程相比)。此等優點 可藉由高密度電漿化學氣相沉積(HDP-CVD)技術而進一步 1290346 發揚光大,其係將一密集電漿形成於低真空下,使電聚物 種更具有反應性。此等技術均屬於廣義之CVD技術範圍 内,其皆具有可使其更/或更不適用於某些物種應用之特 性。 於某些空隙有高的深寬比及較窄寬度之例子中,該 等空隙係以使用一「沉積/蝕刻/沉積」製程之熱CVD技術 填充,其係藉由連續沉積材料、蝕刻掉一部份材料並沉積 另外的材料的方式進行之。該餘刻步驟係扮演重新塑造數 個經填充之空隙的角色,將之開啟以使更多材料於其關閉 並留下一内部孔洞前得以沉積。上述沉積/蝕刻/沉積製程 係使用PECVD技術,但某些熱及PEcvD技術即使循環進 行沉積及蝕刻步驟仍然無法填充具有非常高深寬比之空 隙0 驟對熟習此 製程並不--密度離子物 期間,此材 打開,並因 法已部分證 程填充而非 。然而,於 之美國專利 程條件下利 外的效果。 ^ v u衣桎中循環沉積及蝕刻步 項技術人士而言並無太大作用。這與PEcvd 樣,因為在HDP-CVD製程期間,電漿中的高 種會使薄膜沉積的同時產生濺鍍。在沉積製程 料的同㈣鑛肖沉積會讓空隙⑨沉積期間傾向 此-般認為會於蝕刻步驟留下中間產物。此看 明在較高深寬比空隙時,可利用hdp_cvd製 PECVD沉積/蝕刻/沉籍制< L積製程進行填充的正確性 1 998年3月20日由Rent d \ent R〇Ssrnan等人所申請 第6,1 94,038號中,ρ 〇 I現於特定HDP-CVD製 用沉積/蝕刻/沉積製葙m〜 表對空隙填充的改善有意 1290346 此效杲隨後由George D· Papasoulitis等人於1 998年5月5 曰所申請之美國專利第6,030,181號所證實。 HDP-CVD沉積/餘刻/沉積製程的使用已證明在具有 高深寬比之空隙填充時相當重要,但仍對其使用範圍有某 種限制。在持續朝向密集封裝元件的趨勢下,亟待改盖 HDP-CVD沉積/餘刻/沉積製程以容納空隙增加之深寬比的 技術。 【發明内容】 本發明之實施例可提供一沉積/蝕刻/沉積製程,其 係以一第一 HDP-CVD沉積步驟填充一空隙,隨後是一蝕 刻步驟接著是其餘之HDP-CVD沉積步驟。用於該等沉 積步驟各者中之先驅氣體可能都相同,並至少包含一含矽 氣體及含氧氣體用於沉積二氧化矽薄膜,藉以填充一基材 之表面中的空隙。剩餘沉積期間的流動氣體與第一沉積 步驟J間的流動氣體相較下,具有較低的平均分子量。例 如 >儿積步驟期間的流動氣體可至少包含一惰性氣 體,而剩餘沉積步驟期間的流動氣體可能包含氮氣。第一 積/驟期間之流動氣體其較高的平均分子量,於蝕刻步 驟期間會在具空隙之結構上形成若干尖峰部以作保護。剩 驟期間之流動氣體其較低的平均分子量則具有較 寺〖生,並在填充剩餘空隙時具有相當效果。 :發明於不同實施例巾,第—沉積步驟期間可使用 …動氣體。例如’於第一實施例中,流動氣體至少 5 1290346 包括 於又 及氦 部份 能大 钱刻 含氟 氣體 施予 於如 度, 之間 述, 率的 較為 較為 前可 施例 利用 性材 5-15 氦氣。於另一實施例中,其包括氦氣與氫氣的混合。 一實施例中,其包括兩種惰性氣體之滿合,例如氬氣 氣的混合。於前述混合中,於一實施例中氦氣的一小 可能大於氦氣95%的重量,而於另一實施例中重量可 於氦氣99%。 亦可使用不同的#刻氣體,無論是執行原位(in situ) 步驟或是遠端钱刻步驟。於本發明之該等實施例中, 氣體可用於執行蝕刻,例如藉由包含含氟氣體、含氧 、氦氣以及氬氣之蝕刻氣態混合物在蝕刻期間也可 偏壓。於某些狀況中,在蝕刻步驟後薄膜可藉由暴露 氧氣之鈍態氣體下而使之不易起化學變化。 第一沉積步驟可用於填充3 5 %及8 5 %之間的空隙深 而該刻步驟可將相當量之沉積厚度移除5 %及1 5 % ° >冗積步驟期間的製程參數可就沉積/濺鍍率方面來描 即界定為淨沉積率及覆蓋層濺鍍率之和對覆蓋層濺鍍 比例。對第一沉積步驟而言,沉積/濺鍍率落於〇 _ 3 〇 適合。對剩餘沉積步驟而言,沉積/濺鍍率落於4-1 0 適合。 於特定實施例中,在第一沉積步驟後及該蝕刻步驟 定用氣氣作為流動氣體以實施另一沉積步驟。上述實 從 4: Ϊ ”刊用氫氣具有較佳空隙填充能力的優點,但仍維持 月丨生軋體之該第一沉積,以於該基材結構上提供保護 料0 料 對此沉積步驟而言,較適當之沉積/濺鍍比例係於 。於上述情況下,該第一沉積步驟可用以填充該空 1290346 隙40%及65%間之深度,並以第二沉積步驟來另外填充該 空隙20%-45%之深度。 本發明方法可於一電腦可讀取儲存媒體上據以實 現’其中該電腦可讀取儲存媒體具有一電腦可讀取程式以 指示基材製程系統的操作。上述系統可包括一製程處理 室、一基材支撐部、一壓力控制系統以及一氣體輪送系統。 該電腦可讀取程式包括數個用以操作該基材製程系統之指 令,用以依據本發明之該等實施例來進行沉積。 本發明該等優點及特徵可參照說明書其他部分以及 附加圖示而更進一步領會。 【實施方式】 1.簡介 本發明之該等實施例係關於用以沉積一薄膜以填充 一基材之一表面中之空隙的方法及設備。對具有一定寬度 的空隙而言,此等實施例係使用多步驟沉積及蝕刻製程, 並於製程不同部份中利用HDP-CVD技術的不同濺鑛特性 進行之。此等不同的濺鍍特性可藉由使用不同流動氣體以 提供濺鍍劑來達成,並也可調整製程氣體之流率以達到不 同的沉積/濺鑛比。結合循環沉積及蝕刻步驟以及濺鑛特性 的變化所具有的優勢可共同讓具有根深寬比之空隙達到幾 乎無孔洞的填充。更特定而言,本發明之該等實施例可用 以填充100奈米大小(0.10^m)及至少小至65奈米大小 (0.065 A m)的空隙。此處所描述之該等實施例係適用於不 1290346 同空隙填充,包括如淺溝槽隔離應用。 本發明之該等實施例所處理之空隙填充問題係概要 描述於第^及⑺圖所示之剖面圖。第1A圖係表示一基 材11〇(如可為半導體晶圓)之一垂直截面段,其具有一特徵 層120。鄰近特徵界定出欲以介電材料118填充之空隙 以及由特徵120表面所界定之空隙側壁116。當沉積進行 時,介電材料U8會累積在該特徵12〇之表面以胃及基材u〇 上,並於特徵12〇角落124處形成突出部122。當該介電 材料118的沉積持續進行時,突出部122通常會較空隙 成長快而形成麵包狀特徵。最後,突出部〗22會繼續成長 而形成第1B圖所示之介電層126,阻止沉積而形成内部孔 洞 128。 2.沉積參數 此處所描述之沉積製程具有改善之空隙填充能力, 其可防止上述内部孔洞的形成。HDP-CVD沉積製程係藉由 提供一氣態混合物至一製程處理室來進行,例如利用下方 所描述之沉積糸統。南密度電槳係由該氣態混合物形成, 所謂高密度係指電漿具有約大於1011離子/cm3之離子密 度。高密度電漿結合沉積及濺鍍特性的相關標準係依據前 述用以提供氣態混合物之流率、用以維持電漿之電源位 準、施於基材之偏壓電源等。上述因子的結合簡易的以「沉 積/錢鏟比」來計算’有時可表示為D/S以描述該製程將有 所助益: 1290346 A〜(淨沉積率)+(覆蓋 s (覆蓋層濺鍍 古此比值會隨著沉積率的升高而升高,並隨著濺鍍率 =升阿而降低。在D/S的定義中所使用的「淨沉積率」係 才曰同時進行沉積與濺鍍時所測量到的沉積率。然而,「覆蓋 層濺:率」係指在沒有沉積氣體的情況下執行之製程條件 所測里到的濺鍍率;其中製程處理室内的壓力被調整為沉 積壓力,同時濺鍍率係針對熱氧化覆蓋層進行測量。 其他等效的測量方式亦可用來描述HDp製程的沉積 與濺鍍作Jetch/dep」比值係一種常用的替代比值: 互,(僅有源之沉積率)一(淨沉積率) D (僅有源之沉積率) 此比值會隨著濺鍍率的升高而升高,並隨著沉積率 的升兩而降低。在E/:□的定義中所使用的「淨沉積率」同 樣係指同時進行沉積與濺鍍時所測量到的沉積率。然而, 「僅有源之沉積率」係指在沒有RF偏壓(亦即無濺鍍)的情 況下執行之製程條件所測量到的沉積率。此處所描述本發 明之該等實施例係以D/S比來描述。雖然D/s與E/D並非 互為倒數,但兩者為逆相關。因此,熟習此項技藝之人士 當可瞭解此類本徵比值之間的轉換。 對HDP-CVD製程中一既定步驟所欲之D/s比值一 般係包括先驅氣體之流率以及流動氣體之流率,該流動氣 體亦可作為濺鍍劑。先驅氣體所包含之元素係反應以形成 具有所欲成分之薄膜。例如,欲沉積二氧化矽薄膜時,該 1290346 先驅氣 (例如ί 加至薄 以添力口 Ν2以添 氣及惰 不同流 Η2時的 下文所 氣體預 少兩種 二沉積 低之平 沉積步 沉積步 驟可於 統中原 同樣的 環步驟 方式為 的循環 該第~ 體可包括含矽氣體(例如矽烷SiH4)以及含氧氣體 匕氣)。摻雜物可以先驅氣體帶有所欲摻雜物的形式 膜中,例如通以SiF4以添加氟至該薄膜、通以pH, 磷至該薄膜、通以B2H6以添加硼至該薄膜、通以 ,加氮至該薄膜、以及類似者。該流動氣體可具有氫 性氣體(例如He、Ne、Ar或較重的惰性氣體如氣)。 動氣體所具有的濺鍍程度係逆相關於其原子量(或 分子量)’以Η〗所得的濺鍍程度小於He。於本發明 將詳細討論之若干實施例中,濺鍍劑係以至少兩種 混合之0 本發明之該等實施例係於HDP-CVD製程中利用至 具有不同流動氣體之沉積步驟。於特定狀況下,第 步驟係利用具有較第一沉積步驟所用之流動氣體為 均分子量的流動氣體進行之。於若干實施例中,兩 驟間係以一蝕刻步驟分隔,但於其他實施例中,兩 驟間則以一蝕刻步驟及另一沉積步驟分隔。不同步 一單一處理室中原位進行、可於多個製程處理式系 <進行或可於不同實施例中異位(ex situ)進行。 ,雖然該等實施例一般係以單一沉積/蝕刻/沉積循 來為述’但更具有效果的空隙填充可藉由多循環的 之例如沉積/蝕刻/沉積/蝕刻/沉積循環或更為重複 〇 兩/儿積步驟的連續係描述於第2圖之流程圖,其中 /儿積步驟係利用氦氣作為流動氣體,而第二沉積步 10 1290346 驟係以氫氣作為流動氣體。於方塊204處,適用於沉積二 氧化矽薄膜之第一部份的第一氣態混合物係提供至該製程 處理室中。該第一氣態混合物之先驅氣體至少包括一含矽 氣體例如SiH4及一含氧氣體02,且該第一氣態混合物之 流動氣體至少包括He。於某些實施例中,流動氣體可至少 包括預混合之氦氣及另一惰性氣體如氬。若包括其他惰性 氣體,與僅使用氦氣相較下,將可提供更佳的沉積均勻性, 並可因使用其他惰性氣體源而明顯節省成本(相較於氦氣 源的高成本)。例如,於一實施例中,預混合物至少包括重 量百分比9 5 %以上的氦氣;而另一實施例中則包括重量百 分比99%以上的氦氣。 於方塊 208處,電漿係由該第一氣態混合物所形 成,因此該薄膜之第一部份可於方塊2 1 6處沉積。於一實 施例中,該薄膜第一部份的沉積具有範圍介於1 〇及3 0間 的D/S比。在該薄膜之第一部份沉積後,一第二氣體混合 誤會提供至該製程處理室中。該第二氣態混合物之先驅氣 體至少包含一含石夕氣體及一含氧氣體,且通常以相同之先 驅氣體提供予該第一氣態混合物。該氣態混合物之流動氣 體至少包括氫氣。於方塊224處,該薄膜之一第二部分係 利用第二氣態混合物進行沉積。若使用連續製程,其可能 不需要由該第二氣態混合物特別形成一第二電漿(雖然其 他實施例中,可能形成上述之第二電漿)。同樣的,適於該 第二部分之沉積的D/S比可依完成製程所使用的其他態樣 而定。例如於一實施例中,若兩沉積步驟中間並未執行蝕 1290346 刻步驟時,第二沉積之D/S比會介於3及10之間。此適 於在該第二沉積後伴隨蝕刻步驟的製箨中用以部分填充空 隙。若該兩沉積步驟係以一餘刻步驟作分隔’ D / S比會介 於4及1 〇之間。上述製程之例示將詳述於下文。 3.沉赭/蝕刻/沉積製程 於本發明之該等使用前述兩道沉積步驟作為沉積/ 蝕刻/沉積製程之實施例中,重要的是在蝕刻步驟期間避免 傷害下方結構。此可藉由在第一沉積步驟期間確保沉積足 夠材料以保護下方結構以及蝕刻步驟期間的勿將已暴露出 的結構蝕刻過多材料的蝕刻條件兩者所結合的效果達成 之。由製程參數所得的圖案係用以達成第3圖中所概要圖 示的結合效果。 最初基材結構3 0 1係概要圖示為包含欲以介電材料 填充之特徵300。用於第一沉積之該製程條件會導致明顯 形成尖峰部(如中間結構3 02所示),其沉積於靠近下方結 構角落處之介電材料係較側壁處為厚。結構3〇2可能係由 利用第一惰性氣體作為流動氣體之單一沉積步驟所形成, 或由兩沉積製程(其中第二沉積係利用一具有較低平均分 子里之机動氣體執行之)所形成。該尖峰部特徵於連續蝕刻 步驟期間具有保護性(即形成㈣3G3)。異向地執行餘刻 時(例如於-反應性银刻期間施一偏麼)有助於塑造沉積層 31〇,以使原來特徵3GG的基本外型得以保持,但下方結構 的角落若要保持不被暴露則較為困難。當執行最後沉積步 12 1290346 驟時’特徵300會接著以介電材料312完全填充之士 構304所簡示者。 °⑽ 第4圖係提供一沉積/蝕刻/沉積製程之例示性實施 例的抓程圖’纟中前述該第一及第二沉積步驟係以一蝕刻 步驟分隔。該第一沉積步驟係執行於方塊4〇4處(與第2 圖之方塊216相對應),其係以至少包含一含矽氣體(如 SiH4)、一含氧氣體(如〇2)以及氦氣之氣態混合物作為流動 氣體。於上述沉積步驟期間使用氦氣作為流動氣體的進一 步内容請參照目前審查中、且共同受讓之美國專利申請案
第 1 0/1 37,132 號,標題為「METHOD FOR HIGH ASPECT RATIO HDP CVD GAPFILL」,其係由 Zhong Qiang Hua 等 人於2002年4月30日所申請,其全文内容係合併於此以 供參考。於某些情況下,該氣態混合物内可因前述内容另 包括附加氣體,例如包括額外的先驅氣體以將一特定摻雜 物加至所沉積之二氧化矽薄膜,或將附加之惰性氣體作為 流動氣體以降低成本及/或改善沉積均勻性。於特定實施例 中,係通以一預混合之氦氣或氬氣的流動氣體(例如重量百 分比高於95wt.%的氦氣或高於99%wt·%之氦氣),或藉由 通以氦氣及氫氣的預混合物。用於此第一沉積步驟之合適 D/S比係介於10-30的範圍,其足以生成尖峰部以保護下 方結構(參照第3圖)。此第一沉積步驟可用以沉積深度在 3 5 %及85%之間的空隙。 該第一沉積步驟之後係方塊408的蝕刻步驟’其可 以原位或遠端的方式執行之。於某些情況下,該餘刻步驟 13 1290346 可以進行—冷卻步驟以使基材溫度降至約25(rc 提供更佳的蝕刻控制效果。上述冷卻可藉由如基 背冷卻(helium backside cooling)或其他方法進行 些原位(in situ)進行的實施例中,含氟氣體(例如 通至該製程處理室中。於一特定實施例中,該蝕 以至少包含NF3、〇2、He以及Ar之氣態混合物 該二氧化矽薄膜大約5-15%之沉積厚度會於蝕刻 被移除,然而移除的量會因薄膜輪廓的不同點而 該钱刻步驟408可藉由對基材施予電偏壓 子物種的方式而異向性的執行。由於氟離子所提 餘刻機制可扮演重新開啟空隙的角色,若包括異 將可增加製程的效率。足夠的偏壓電源可提供有 性餘刻’對200mm晶圓基材而言約為30Q瓦; 晶圓基材而言則約為650瓦,相當約〇·9瓦/cm2 源密度。然對200mm晶圓基材而言,該偏壓電源 為1000瓦;300mm晶圓基材則為2500瓦,相當 cm2的偏壓電源密度,此時該點的物理濺鍵會變 於一實施例中,該偏壓電源密度係介於0 9-16 相當約300-500瓦(對200mm晶圓基材而言),然 晶圓基材而言則約為650-1200瓦。於某些實施例 刻步驟可至少包含一多步驟餘刻製程,其包括一 钱刻步驟以及一連續的化學餘刻步驟,如同目前 且共同受讓之美國專利申請案第10/279,961號
HIGH DENSITY PLASMA CVD PROCESS FOR
,並藉以 材的氦氣 之。於某 nf3)係流 刻步驟係 執行之。 步驟期間 有變異。 以吸引離 供的化學 向性蝕刻 效的異向 對 300mm 的偏壓電 的上限約 約3.2瓦/ 的明顯。 / cm2 j 對 3 0 0 m m 中,該蝕 第一物理 審查中、 ,標題為 GAPFILL 14 1290346 INTO HIGH ASPECT RATIO FEATURES」,其係由 Farhan Ahmad等人於2002年10月23曰所申請’且其全文係合 併於此以供參考。 於某些實施例中,該經姓刻薄膜的表面在下一沉積 步驟錢先於方塊4 0 4處進行純態處理’藉以移除可能會因 蝕刻步驟而與該薄膜進行反應的氟。於一實施例中,該薄 膜表面係以將該基材暴露於一鈍態氣體的方式進行鈍態處 理,而該鈍態氣體係經選擇以與該薄膜表面進行化學反應 來移除氟原子。合適的鈍態氣體包括氧分子、臭氧分子、 一氧化二氮以及與任何前述相結合之氮氣分子。於蝕刻步 驟後可用於鈍態處理該薄膜的進一步技術可參照目前申請 中且共同受讓與於應用材料公司之美國專利申請案第
10/138,189 號,標題為厂 HDP-CVD DEP/ETCH/DEP
PROCESS FOR IMPROVED DEPOSITION INTO HIGH ASPECT RATIO FEATURES」,其係由 Dongqing Li 等人於 2〇02年5月3曰所申請’且其全文係合併於此以供參考。 下一沉積步驟則於方塊412處表示,於此實施例中 其係相當於第2圖之方塊224。此沉積步驟係描述為沉積
用名詞相符,其 此狀況下,該薄 體(如SiH4)、含 動氣體。若二氧 力口入其它先驅氣 〉冗積的合適D/S 「剩餘部分」,以與下文第6圖之實施例所 中該蝕刻步驟前係執行兩道沉積步驟。於 膜剩餘部分的沉積會利用其餘包括含矽氣 氧氣體(如Ο2)及氫氣的氣態混合物作為流 化矽薄膜欲作摻雜,該氣態混合物可額外 體,以提供欲加至薄膜的掺雜物。用於剩餘 15 1290346 比係介於4-10,發日月Λ已發現當纟氣作^流動氣體時可讓 氣體填充而無須再作沉積的方&,以冑空隙的其餘部分可 填充而無空孔形成。於特定實施例中,氫氣係以介於 500-1000sccm的流率提供至製程處理室於某些實施例中 該製程處理室壓力係維持在8及3〇毫托爾間。雖然某些應 用中操控處理室的節流閥(用於抽吸的閥門)係處於全開啟 位置,然上述操作期間該處理室中的壓力係藉真空泵的抽 吸能力進行控制,並以其流率將氣體引入處理室中。於處 理室壓力降低的情況下,解離物種會有較長的平均自由: 及車父低的角度分佈,因此开諸辞·望輪# U此了讓該4物種到達並於溝渠底部 發生化學反應。 發明人已實施該等實驗以評估第4圖所描述方法的 空隙填充能力,且此等實驗的若干結果係圖式於第Μ及 5Β圖。該等結果說明了氫氣㈣為流動氣體以進行剩餘沉 積,且反應性的惰性氣體(例如 4 ΑΓ)係由用於第一沉 積的流動氣體所組成。此二圖皆古 白捉仏具有數個空隙之基 材(其中沉積有介電材料)的SEM橫剖面圖。 況中, HDP沉積/蝕刻/沉積製程在 以抓…為先驅氣體二'剩餘之沉積步驟中係 艰祚八〔^ ,λ 並以一經偏壓之NF3蝕刻電 漿作分隔。第5A圖結果係以 驟之流動氣體的製程來進行,且乂為第一及剩餘沉積步 圖所描述之製程來進行,其係以!二圖的結果係以第4 流動氣體,並以氫氣作為剩餘 為第1積步驟的 圖顯示之一所得結構50。:餘=驟的流動氣體一 在、、,《構5〇8(形成於基材5〇4 16 1290346 氧化石夕中空孔5 1 6相當明顯。 對應之結構5 0 8,(形成於該基 5 B圖所示之結構5 〇 〇,中並無 上)間之空隙512所沉積的二 反之,沉積在二氧化矽中與 讨5〇4’上)間的空隙5丨2,在第 上述明顯的空孔存在。
應瞭解的是,本發明藉由該等實施例所達到良好空 陣填充特性的機制若在所有沉積步驟中僅用氫氣作為流動 氟體,則有可能在某些應用時的蝕刻步驟期間對下方結構 造成傷害。雖然以氫氣作為流動氣體可達到良好空隙填充 的特性’但若能將少部分材料沉積在空隙的側壁,在蝕刻 期間則可對該等結構提供些許的保護。在該第一沉積期 間’使用氫氣或惰性氣體的結合可在側壁上提供若干保護 性物質。因此在該第一沉積步驟期間,包括氫氣及惰性氣 體的實施例可改善沉積,然若氫氣量不夠高則會降低此步 驟的保護效果。 一利用惰性氣體及氫氣的混合物作為該第一沉積步 驟之流動氣體的替代方式係於該首先兩道沉積步驟後進行 餘刻,並接著再進行沉積以完成空隙填充。此係闡示於第 6圖中該流程圖所示之例示性實施例,且當該基材有一包 覆很緊的區域及開口時特別有用,這是因該基材在蝕刻步 驟其間,於開孔區域之下方結構的損傷會增加所致。該第 一沉積步驟係執行於方塊6 0 4,其係利用一至少包含含矽 氣體(例如SiH4)、一含氧氣體(例如〇2)以及氦氣之氣態混 合物作為流動氣體。於某些情況下,該氣態混合物中可加 入額外的氣體,例如於氣體混合務中加入額外氣體的方式 17 1290346 來摻雜已沉積之二氧化矽層,或藉由在該流動氣體中加入 額外惰性氣體的方式以降低成本及/或改善沉積均勻性。而 其他的實施例中,該流動氣體可,例如,通以氦氣及氬氣 之預混合物的方式提供,如以重量百分比高於9 5 %之氦氣 或重量百分比高於99 %之氦氣。適用於此第一沉積步驟之 D/S比係介於10-30之範圍,其會形成足夠的尖峰部以保 護下方結構(如前文所述)。
該第一沉積步驟之後係方塊6 0 8的第二沉積步驟, 其中該流動氣體替換為氫氣。該先趨氣體仍然包含含矽及 含氧氣體,且通常與該第一沉積步驟所用之先趨氣體相 同。適用於此第二沉積步驟之D/S比係介於5 -1 5之範圍。 方塊604及60 8之兩沉積因此與第2圖所述之方塊216及 224相對應。該兩沉積步驟604及608可用以將該欲填充 之空隙深度沉積至幾近約8 5 %。於一實施例中,該第一沉 積步驟604係用以填充該空隙深度約40-65%。 蠡 該蝕刻步驟612可利用一含氟氣體(例如NF3)執行 之,且可原位執行或以一遠端電漿執行之(其他不同實施例 中)。於一特定實施例中,該蝕刻步驟係以一至少包括 NF3、〇2、He以及Ar之氣態混合物執行之。該蝕刻步驟 612可藉由電偏壓該基材而異向的進行,其中該適當之偏 壓電源密度係介於0·9-3·2瓦/公分2 ’且較佳介於0·9-1·6 瓦/公分2。於一實施例中,該蝕刻步驟612可移除大約 5 -1 5 °/。的沉積厚度。於某些實施例中,該蝕刻步驟後係於 方塊6 1 4執行一純態保護步驟’藉以移除因蚀刻步驟而可 18 1290346 由將該薄膜暴露 一氣化二氮以及與 4圖所述以及美國 其皆合併於此以供 能與該薄膜作用之氟。上述鈍態處理可 至一純態氣體(例如氧分子、臭氧分子、 任何前述相結合之氮氣分子,如先前第 專利申請案第1〇/138,189號所揭示者, 參考)的方式進行。 該空隙的剩餘部分可持續的以一 驟(於方塊616處)進行填充,其係以氣=第三)了 通常,該第-及第二沉積步驟刚、6G8為流動氣體: 趨氣體也同樣會使用在剩餘 θ所用的同種先 牡剩餘之/儿積步驟616中。於一實施 例中,該等先趨氣體至少包 、 入备片麯“T a矽軋體(例如SiH4)及一 a氧亂體(例如〇2)以及可能备 提供特定的摻雜物3其他的錢氣體以 、、剩餘,儿積步驟之D/S比係介於 4-10’其可在無需再沉積的情況下進行填充,使該空隙得 以在無空孔形成下被填充。於特定實施例+,氫氣係以5〇〇 〇seem間的流率提供至該製程處理室,且該製稃處 理室壓力係維持在8及3〇毫托爾間。 由上述說明應可清楚瞭解,除了第4圖的單一預蝕 」匕積v驟替換為第6圖之雙預餘刻沉積步驟外,其餘第 6圖所描述之實施例可能均與第4圖所述之實施例相同。 在預蝕刻沉積步驟巾,此使用氫氣作為流動氣體的方式町 車乂佳之空隙填充特性,然仍維持最初使用氦氣或其他 惰性氣體之初始沉積,以於隨後的蝕刻梦驟其間形成足夠 的尖峰部來保護下方結構。第6圖所示之該等實施例亦因 此如第4圖所示之該等實施例般具有填充高深寬比之变隙 19 1290346 的能力。 HDP-CVD 系統 處理系Ju 前文所描述之方法可以不同類裂· 執行之,某些類型將參照第7A-7D圖詳述於後。第7A圖 係概要說明一實施例中上述HDP-CVD系統之結構。該系 統710包括一處理室713、一真空系統770、一來源電漿系 統7 80A、一偏壓電聚系統780B、一氣體輸送系統733以 及一遠端電漿清潔系統750。 該處理室713之上方部包括一圓頂714,其係以陶 瓷介電材料製成,例如氧化鋁或氮化鋁。圓頂7 1 4可界定 一電漿處理區域716之上方邊界。電漿處理區域716係藉 一基材717之上表面及一基材支撐元件718連接於底部。 一加熱板723及一冷卻板724覆·蓋並熱耦接於圓頂 714。加熱板723及冷卻板了24可將圓頂溫度控制約於100 °C至200°C之正負l〇°C内。此溫度範圍對不同製程而言可 最佳化圓頂溫度。例如,若 程之清潔或蝕刻製程溫度。 處理室中的剝落或粒子數目 的附著力。 欲將圓頂維持在一高於沉積製 正確的控制圓頂溫度也可降低 ,並改善該被沉積層及基材間 嚴理至713的下方部包 接處理室至真空室。基材支撐元#718之“ 721係安 於本體元件722,並與之形成一連續内表面。該等基材 係藉一機器人葉片(未示出)並經由該處 〜王至7 1 3之一側 20 1290346 送入/移出開口(未示出)傳送進出於該處理室713。升舉銷 (未示出)係藉由馬達(亦未示出)之控制而升起並接著降低 以將該基材由上方填充位置之機器人葉片移入下方一處理 室位置,以將基材置放於基材支撐元件7 1 8之基材收納部 719。基材收納部719包括一靜電吸盤720 ,用以在基材處 理期間將基材吸附於基材支撐元件7 1 8。於一較佳實施例 中,基材支撐元件7 1 8係由氧化鋁或鋁陶瓷材料製成。 真空系統7 7 0包括節流閥本體7 2 5,其可覆蓋雙葉 葉節流閥726,並接附於閘極閥727及渦輪分子泵728。應 注意的是,該節流閥本體625對氣流可提供最小的障礙, 並同步進行抽吸。閘極閥727可將泵728由節流閥本體725 隔絕出,並當節流閥閥726完全開啟時,也可藉由限制抽 吸氣流的能力以控制處理室壓力。該節流閥閥、閘極閥以 及渦輪分子泵的配置可正確並適當的控制處理室壓力於約 1 milltorr 至約 2 torr。 源電漿系統780A包含設置於圓頂714的頂部線圈 729及側邊線圈730。對稱的接地護罩(未圖示)可降低線圈 之間的電性搞合。頂部線圈729係由頂源rf(srf)產生器 731A供應電源,而侧邊線圈730則由側邊SRF產生器731B 供應電源,如此即可讓各線圈各自得到操作電源的等級和 頻率。此種雙線圈系統容許控制處理室713内的徑向離子 密度,藉以提昇電漿的均句性。侧邊線圈73〇和頂部線圈 729通常係以電感驅動’因而不需要互補電極。在具體實 施例中,頂源RF產生器731A在標稱2MHz下可提供高達 21 Ϊ290346 2,5〇0瓦的RF功率,而側邊源RF產生器731B在標稱2MHz 下則可提供高達5,000瓦的RF功率。頂RF產生器與側邊 RF產生器的操作頻率可以偏離標稱操作頻率(例如分別偏 離到1 ·7-1 ·9ΜHz以及I·9·2·1 MHz),以提昇產生電漿的效 率。 偏壓電漿系統780B包含偏壓RF(‘‘BRF,,)產生器 73 1C及偏壓氐配網路732C。偏壓電漿系統78〇B係將基板 部件7 1 7電容耦合於做為互補電極的主體構件722。偏壓 電漿系統780B適用於提昇源電漿系統780A所產生之電聚 物種(例如離子)輸送到基板表面的傳輸量。在具體實施例 中,偏壓RF產生器在13·56ΜΗζ可提供高達5,0〇〇瓦的 RF功率。 RF產生器731Α及731Β包含以數位方式控制的合 成器,並且在約1.8至2.1 MHz之間的頻率範圍内運作。 各產生器包含RF控制電路(未圖示),如熟習此項技藝之人 士所知,RF控制電路係測量從處理室及線圈反射回到產生 器的電源,並且調整操作頻率而得到最低的反射電源。RF 產生器通常被設計能夠在負載5 〇歐姆的本徵阻抗下進行 操作。RF功率可從具有不同於產生器之本徵阻抗的負載而 被反射。如此即可降低傳輸到負載的電源。此外,從負載 反射回到產生器的電源可能會超載而使產生器損壞。由於 電漿阻抗的範圍從小於5歐姆到900歐姆,除了其它因素 之外,其取決於電漿離子密度,並且由於被反射的電源可 為頻率的函數,因此依照被反射的電源來調整產生器的頻 22 1290346 率,將會增加從RF產生器傳送到電漿的電源並保 器。另一種降低被反射電源以及提高效率的方式係 配網路。 匹配網路732A及732B係使產生器731A>S 之輸出阻抗匹配於其各自的線圈729及730。RF控 藉由改變匹配網路内的電容值,使其隨著負載改變 生器匹配負載,以便調整兩個匹配網路。當從負載 到產生器的電源超過某一限度時,RF控制電路可調 網路。一種提供穩定匹配並有效地使RF控制電路 整匹配網路的方式,係將反射電源的限度設定於超 預期的反射電源值。如此將有助於在某些情況下使 路固定在最新狀態而使電漿穩定。 其它措施亦有助於使電漿穩定。例如,RF控 可用於決定輸送到負載(電漿)的電源,並可增加或 生器的輸出電源,以便在沉積薄膜時能夠維持大致 的輸送電源。 氣體輸送系統73 3經由輸送管路73 8(僅圖示 路)將來自數個氣體源734A-734F的氣體供應到用 基板的處理室内。如熟習此項技藝之人士所瞭解, 用的氣體源734A-734F以及實際連接於處理室713 會取決於處理室7 1 3内進行的沉積和潔淨處理而 變。氣體系經由氣體環737及/或頂部喷嘴745而被 理室7 1 3。第7B圖為經過簡化的處理室7丨3剖面圖 示氣體環7 3 7的進一步細節。 護產生 利用匹 L 731B 制電路 而使產 反射回 整匹配 無法調 過任何 匹配網 制電路 減少產 為固定 部分管 於處理 實際使 的管路 有所改 導入處 ’其顯 23 1290346 在一實施例中,第一及第二氣體源734A、734B, 以及第一及第二流量控制器7 3 5 A,、7 3 5 B,係經由氣體輸送 管路738(僅圖示部分管路)而將氣體供應到氣體環737内 的環空腔736。氣體環737具有數個氣體源喷嘴739(僅圖 示其中一個噴嘴來說明),其可供應均勻的氣流到基板上 方。喷嘴的長度和角度可以變更,以容許修改在個別處理 室内所進行的特定製程能夠得到均勻的輪廓以及有效率地 運用氣體。在較佳實施例中,氣體環737具有12個由氧化 鋁陶瓷所製成的氣體源喷嘴。 氣體環737另具有數個氣化劑氣體喷嘴74〇(僅圖示 其中一個喷嘴),其在較佳實施例中與較短的氣體源喷嘴 739共平面,在一個實施例中,其接收來自主體空腔741 的氣體。在某些實施例中,吾人不希望在氣體注入處理室 713之前將氣體源與氧化劑氣體混合在一起。在其它實施 例中,可忐需要在主體空腔741與氣體環空腔736之間提 供開孔(未圖示),以便在將氣體注入處理室713之前先混 合氧化劑氣體與氣體源。在1施例巾1三及第四氣體 源734C、734D,以及第三及第四流量控制器735(:、735〇, 係經由氣體輸送管4 738而將氣體供應到主體空腔。額外 的闕門-例如743B(其它閥門未圖朴可由流量控制器關閉 流入處理室的氣體。 氣體的實施例中,吾 送管路中的氣體。例 在使用可燃性、毒性或腐钱性 人希望在沉積之後去除殘留在氣體輸 743Β·使處理室713隔離 如,此可利用三向閥門-例如閥門 24 1290346 輸 真 如 闊 未 間 制 和 獨 性 係 第 源 量 的 單 喷 氣 或 混 分 潔 送管路73 8A而完成’並且提供輸送管路738a的出口到 空前部管路744 ^如第7A圖所示,其它類似的閥門-例 743 A和743B-可以被納入其它氣體輸送管路。此種三向 門可在實際應用中配置於接近處理室713處,藉以縮小 流通的氣體輸送管路的容積(位於三向閥門與處理室之 )。此外,雙向(開啟-關閉)閥門(未圖示)可配置於流量控 器("MFC”)與處理室之間,或配置於氣體源與Mfc之間。 請參照第7A圖,處理室713另具有頂部噴嘴745 頂部排氣孔746。頂部喷嘴745和頂部排氣孔746可以 立控制氣體的頂部和側邊流量,如此可提高薄膜的均句 ’並且能夠微调薄膜的沉積和推雜參數。頂部排氣孔7 4 6 一種環繞在頂部喷嘴745的環狀開口。在一實施例中, 一氣體源734Α供應氣體源喷嘴739以及頂部喷嘴745。 喷嘴‘ MFC 73 5Α’係控制輸送到氣體源喷嘴39的氣體流 ,而頂部噴嘴MFC 73 5A則控制輸送到頂部氣體喷嘴745 氣體流量。同樣地,MFC 35B和735B,兩者可控制來自 一氧氣源-如源734B-流入頂部排氣孔746與氧化劑氣體 嘴740兩者的氧氣流量。供應到頂部喷嘴745和頂部排 孔746的氣體可在流入處理室713之前維持隔離狀態, 者氣體可在流入處理室713之前即先在頂部空腔748内 合。相同而隔離的氣體源可用於供應到處理室的各個部 〇 遠端微波生成電漿潔淨系統750係配置用於定期清 處理室零件的沉積殘留物。此潔淨系統包含遠端微波產 25 1290346 生器751,其在反應器空腔753内由潔淨的氣體源734E(例 如氟分子、三氟化氮、碳氟化合物或相等物)生成電漿。由 此電漿所生成的反應性物種會經由潔淨氣體供給埠7 5 4並 透過施加器管7 5 5而輸送到處理室7 1 3。運用於容納潔淨 電漿的材料(例如空腔753和施加器管75 5)必須能夠抵抗 電漿的侵害。由於所欲電漿物種之濃度會隨著離開反應器 空腔753的距離而降低,因此反應器空腔753與供給埠754 之間應儘可能保持較短的距離。在遠端生成潔淨電漿能夠 有效率地運用微波產生器,而且不會使處理室零件遭受到 可能存在於當場形成的電漿之發光放電的溫度、輻射或轟 擊等。因此,較容易受到影響的零件-例如靜電吸盤72〇-不需要覆蓋仿製晶圓,或以其他方式加以保護,而當場的 電漿潔淨處理則必須設法保護較容易受到影響的零件。在 一實施例中,此種潔淨系統係在遠端用於使蝕刻劑原子游 離,而後再供應到處理室713。在另一實施例中,可以運 用多重處理室,其中沉積和蝕刻步驟係在分隔的處理室内 進行。 系統控制器7 6 0係控制系統7 1 〇的運作。在較佳實 施例中,控制器760包含記憶體62,諸如連接於處理器761 的硬碟機、敕碟拖f去園上
標準所定義的機板、 ^ a VME(Versa Modular European) 卡座以及連接器的尺寸規袼和型式。 26 1290346 VME標準同時定義具有16位元資料匯流排 P汉2 4位元位址 匯流排的匯流排結構。系統控制器73丨係Α μ — 你在儲存於硬碟機
的電腦程式控制下運作,或透過其它電腦藉4 L 々王忒-如儲存在可 移除式磁碟的電腦程式-來運作。電腦程式係支配諸★特… 製程的計時、氣體混合、RF功率等級及其 匕麥數荨。使用 者與系統控制器之間的介面係經由監視器,例如陰極射、線 管(”CRT")765及光筆766,即如第7C圖所示者。 、 第7C圖緣示用於結合第7A圖之示範性cvd處理 室的示範性系統的部分使用者介面❶系統控制器76〇包含 處理器761,其連接於電腦可讀記憶體762。記憶體762 最好為硬碟機,但記憶體762亦可為其它類型的記憶體, 諸如唯讀記憶體(R0M)、可編程唯讀記憶體(Pr〇m)及其 它。 系統控制器760係在儲存於記憶體762内之電腦可 讀格式的電腦程式63控制下運作。電腦程式係支配諸如特 定製程的計時、溫度、氣體流量、RF功率等級及其它參數 專使用者與系統控制器之間的介面係經由CRT監視器 765及光筆766,即如第7C圖所示者。在較佳實施例中使 用兩2監視器765、765A以及兩支光筆766、766A,其中 〆2設置於潔淨室之壁面(665)供操作人員使用,而另一台 則一;壁面的後方(665A)供伺服人員使用。兩台監視器 玎同::相同資訊,但只有-支光筆(如766)能夠使用。 操作人^貝可以觸控顯示器螢幕的區域並按壓光筆上的按鈕 (未)來選擇特定螢幕或功能。經過碰觸的區域會改變 27 1290346
顏色或者顯示$」 電腦程式 進行撰寫:例如, 合適的程式碼可 案中,並存故& 統),或在電腦可 高階語言所撰寫 碼隨後會與預先 的碼進行連結。 系統的使用者會 記憶體内。然後 以執行程式所指 第7D圖 圖。使用者可利 輸入製程選擇副 單或螢幕。為執 之製程設定可由 副程式8 1 0係指 以及(ii)為使處王: 設定。為執行特 其中包括處理氣 例如RF功率等 係以製作法的形 係利用光筆/CRT no 、早或螢幕,以確認被光筆選擇。 任何傳統的電腦可讀程式設計語言 68000組合語言、C、C + +或Pascal語言。 _用億 1寻統的文字編輯器輸入單獨或多個檔 : 月似 匈可讀媒介内(例如電腦之記憶體系 、介内執行。若輸入的程式碼内容係以 ’貝丨】箱 @式碼會進行編譯,而編譯過的程式 ~過總 、’譯的 Windows®副程式函式庫的目 …、了能夠執行經過連結和編譯的目的碼, 令叫目μ Tff 曰的碼而致使電腦系統將程式碼載入 中央處理單元(CPU)讀取並執行程式碼, 定的工作。 ”、員示電腦程式8 0 0之階層控制結構的方塊 用光筆介面將製程設定編號和處理室編號 程式8 10,以回應顯示於crt監視器的選 行&疋的處理所必須預先決定的製程參數 預先疋義的设疋編號加以識別。製程選擇 出··(i)多重處理室系統中所欲的處理室; 1室執行所欲完成之製程所需的製程參數 定處理所需的製程參數與製程條件有關, 體組成和流率、溫度、壓力以及電裝狀態, 級和處理室的圓頂溫度等,上述製程參數 式提供給使用者。由製作法所指定的參數 監視器介面而被輸入。 28 1290346 用於監視製程的訊號係由系統控制器76〇的類比及 數位輸入機板所提供,而用於控制製程的訊號則輸出到系 統控制器7 6 0的類比及數位輸出機板。 製程排序副程式820所包含的程式碼係用於接受來 自製程選擇副程式810的處理室和製程參數設定,以及用 於控制不同處理室的運作。多位使用者可輸入製程設定編 號和處理室編號,或者單獨使用者可輸入多種製程設定編 號和處理室編號;排序副程式82〇係以所欲的次序來排定 所選擇的製程❶在較佳情況下,排序副程式82〇所包含的 程式碼係用以執行下列步驟:(i)監測處理室的運作,以判 斷處理室是否被使用;(ii)判斷使用中的處理室内執行何種 製程;以及(111)根據處理室和執行製程類型的可用性來執 行所欲的製程。可利用監測處理室的傳統方法,例如輪詢 法。當排定需要執行的製程時,排序副程式8 2 0可加以設 計而考量到各個*使用者輪人之要求的「時期」,或目前使 用中之處理室的狀態,並與選擇所欲的製程之製程條件或 系統程式設計師所欲納入的任何其它相關因素相互比較, 以便決定時間排程的優先次序。 一旦排序副程式820決定出下一個將要被執行的處 理室及製程設定結合之後,排序副程式82〇會將特定製程 設定參數傳送到處理室管理副程式83〇A-83〇c而開始執行 製程設定,處理室管理副程式根據排序副程式82〇所決定 的製程設定來控制處理室713或其它可能存在的處理室 (未圖示)内的多項處理工作。 > 29 1290346 處理室零件副程式的例子包括基板定位副程式 84〇、處理氣體控制副程式85〇、壓力控制副程式16〇,以 及電漿控制副程式870。凡熟習此項技藝之人士當可得 其它處理室控制副程式亦可被納入,並取決於:處理 至=13内所選擇執行的製程類型而定。在運作過程中,處 里至g理副程式83〇A係根據被執行的特定製程設定來進 打選擇性排程,或呼叫處理室零件副程式。處理室管理副 程式83(^係以相同於排序副程< 12〇排定下_個被執行 的處理室和製程設定的方式來排定處理室零件副程式。在 典型情況下,處理室管理副程式83〇A包含下列步驟:監 測各種反應室零件;根據被執行的製程設定之製程參數來 決定需要操作哪些零件;以及開始執行處理室零件副程 式,以回應上述監測和決定之步驟。 現在參照第7A圖及f 7D目來說明特定的處理室零 件副程式之運作。基板定位副程< 84〇所包含的程式碼係 控制用於將基板載入基板支擇部彳爪的處理室零件美 板定位副程式840亦可在完成其它製程之後,控制將來: PECVD反應器或多重處理宕免 ^ w灯水曰 處理室713。 至内之其它處理器的基板送入 處理氣體控制副程式850所包含之程式碼係用於控 制處理氣體的組成和流率。副程 /土闽-、沾叫& 市』文全關閉閥門 (未圖不)的開啟/關閉位置’並且提升或降下 得:】所需要的氣體流率。所有處理室零件副程*,=處 理軋體控制副程式850在内,均理
&至田丨J程式83 0A 30 1290346 呼叫。副程式8 5 0係接收來自戌 ★ 状μ又水目處理室營理副 關於所需要之裔騁故安从咖 至e里田J私式830Α且 瑕I體〃 IL率的製程參數。 在典型情況下,處理氣體控制副 供應管路,並曰番、—批y 5 0開啟氣體 ^ ^ . 重稷執仃·_ (1)讀取必要的流量控制. η 將讀數與接收來自處 徑制益,(η) 叹來自處理至管理副程式830Α的所需法^ 以比較;以芬γ…、士,ΛΤ而/瓜率加 (111)在必要時調整氣體供應管 外,處理窮鲈祕庄丨-丨< 1 的流率。此 孔體控制副程式850包含監測危險 步驟,當秸、、B, f ^ 〜軋體流率之 名甘 ^曰啟動女全關閉閥門。 在某些製程中,例如氬氣等惰 7 1 3内,益 寸Μ「王Λ骽會流入處理室 藉以在反應性處理氣體被導入之前 室内的氣壓。在此箄鋁φ ,忐 无穩疋處理 在此等製私中處理氣體控制副程式85〇合 經過程式化設定用以勃并+腓上 狂Α 會 又疋用以執仃步驟如下:使惰 Μ性體流入處理 至7 1 3内,並持續一段必要的 叮间从穩疋至内壓力,缺德 再執行上述步驟。 …、後 此外,當處理氣體由液態前輪 也别驅物(例如四氧乙基矽 (Τ Ε Ο S))氣化而成時,處理氣體控 5 、^ ^ ^ ^ 化刺剎耘式850會納入透過 存在於發泡器,组件内的液態前驅物將輸送氣體(例如氦氣) 氣泡化的步驟,或是納入將氦氣導入液體注入閥門的步 驟。對於此類製程而言,處理氣體控制副程式8 5 〇會調節 輸送氣體的流量、發泡器内的壓力,以及發泡器的溫度, 以得到所需要的處理氣體流率。如上所述,所欲的處理氣 體流速會被傳送到處理氣體控制副程式85〇而做為製程參 數。 此外’處理氣體控制副程式850包括下述步驟:藉 31 1290346 由存取含有給定處理氣體流率之必要數值的儲存列表而取 得必要的輸送氣體流率、發泡器的壓力,以及處理氣體产 率所適用的發泡器溫度。一旦取得必要的數值之後,輪送 氣體流率、發泡器壓力以及發泡氣溫度會被監測,同時比 較必要的數值並藉以調整。
處理氣體控制副程式8 5 0亦可利用獨立的氦氣控制 (IHC)副程式(未圖示),並透過晶圓夾盤内的内部和外部通 道來控制熱傳氣體-例如氦氣-之流量。此氣流係使基板熱 性耦合於夾盤。在典型製程中,晶圓係由電漿與形成蹲層 之化學反應進行加熱,而氦氣可透過夾盤使基板冷卻,但 亦可以水來冷卻。如此即可將基板的溫度維持在低於可能 會損壞已存在於基板上之特徵結構的溫度。
壓力控制副程式860所包含之程式碼係藉由調整處 j里室之排放部件内的節流閥726的孔徑大小來控制處理室 7 1 3内的壓力。至少有兩種基本方法利用節流閥來控制處 斑室。第一種方法係仰賴描述處理室壓力的特性,除其他 因素外’其有關於處理氣體總流量、處理室的大小以及抽 播容量等。第一種方法係將節流闕726設定在固定位置。 將節流閥726設定在固定位置,最終即可達到處於穩定態 的壓力。 在替代情況下,處理室的壓力可利用例如歷力計來 測量,而且節流閥7 2 6的位置可根據壓力控制副程式8 6 0 力口以調整’並假定控制點位於氣流與排氣容量所設定的範 園之内。由於前者方法並未採用後者方法所伴隨的測量、 32 1290346 比較及計算,因此可以較快的速度改變處理室的壓力。在 不需要精碟控制處理室壓力的場合中,前者方法較適用, 而在要求精確、可重複性及穩定壓力的情況下,例如沉積 膜層的過程中,後者方法則較適用。
當哞叫壓力控制副程式860時,所欲或目的壓力等 級會從處理室管理副程式830A接收作為參數。壓力控制 副程式860經由讀取連接於處理室之一或多個傳統壓力計 來測量處理室713内的壓力;將測量值和目的壓力相互比 較;得到對應於儲存的壓力列表中之目的壓力的piD(比 值、積分及微分)值,以及根據從壓力列表所取得的PID 值來調整節流閥7 2 6。在其它情況下,壓力控制副程式8 6 〇 可將節流閥726開啟或關閉到特定大小的孔徑,藉以將處 理室713内的壓力調整到所欲的壓力或壓力範圍。
電漿控制副程式8 7 0所包含之程式碼係用於控制 RF產生器731A及731B之頻率和電源輸出的設定值,並 且用於調整匹配網路732 A及73 2B。如同以上所描述之處 理室零件副程式,電漿控制副程式8 70亦由處理室管理副 輕式830A所呼叫。 ULTIMA™系統係一種可納入上述某些或所有次系 統和副程式的示範性系統,此系統由位於美國加州 Santa Clara市的應用材料公司(Applied Materials,Inc.)所製 造,其可被配置用於實施本發明。此種系統的進一步細節 描述於共同申請中且同樣受讓於應用材料公司之美國專利 申請案第08/679,927號,該專利申請案於1996年7月15 33 1290346 曰提出申請,發明名稱為「對稱式可調電感耦合高密度_ 電聚化學氣相沉積反應器」("Symmetric Tunable Inductively-Coupled HDP-CVD Reactor"),其共同發明人包 括· Fred C· Redeker、Farhad Moghadam、Hirogi Hanawa、 Tetsuya Ishikawa、Dan Maydan、Shijian Li、Brian Lue、
Robert Steger、Yaxin Wang、Manus Wong 以及 Ashok
Sinha,在此參照併入上述申請案之揭露内容。上述系統僅 用以例示。凡熟習此項技藝之人士當可選擇適於實施本發 明的傳統基板處理系統及電腦控制系統。 【圖式簡單說明】 第1 A及1 B圖係描述一空隙填充製程期間一空孔形 成的概要剖面圖。 第2圖係描述本發明之—實施例巾—用以沉積一薄 膜以填充一空隙之方法流程圖。 第3圖係提供—依據本發明之—實施例中如何利用
沉積/蝕刻/沉積製程以填充高深寬比特徵之概要剖面圖。 第4圖係描述本發明之·另一眚 ^ ^ ^ 貫施例中用以沉積一薄 膜以填充一空隙之方法流程圖。 第5A及5B圖係提供數張包括不同HDp_cvD沉積/ 餘刻/沉積製程之空隙填奋特傲沾播化 眾具兄将徵的柃描電子顯微鏡影像。 第6圖係描述本發明之另 眚 ^ n ^ ^ 貫施例中一沉積一薄膜 以填充一空隙之方法流程圖。 第7A圖係依攄太私明夕古 骒桊毛明之呵密度電漿化學氣相沉 34 1290346 積系統之一實施例的簡化圖示。 第7B圖係一可與第7A圖之例示性CVD製程處理 室結合使用之氣體環的簡化剖面圖。 第7C圖係一可與第7A圖之例示性CVD製程處理 室結合使用之螢幕及光筆的簡化圖。 第7D圖係一可用於控制第7A圖之該例示性CVD 製程處理室之一例示性製程控制電腦程式產品的流程圖。
【主要元件符號說明】 110 基材 114 空隙 116 空隙側壁 118 介電材料 120 特徵 122 突出部 124 角落 126 介電層 300 原始特徵 301 初始基材結構 302 中間結構 303 結構 304 結構 308 明顯尖峰部 310 被沉積層 312 介電材料 500 所得結構 5005 所得結構 504 基材 5045 基材 508 結構 508’ 結構 5 12 空隙 512^ 空隙 516 空孔 665 潔淨室壁面 665A 壁面 710 HDP-CVD 系統 713 處理室 714 圓頂
35 1290346 716 電 將 處 理 區 718 基 材 支 撐 元件 720 靜 電 吸 盤 722 本 體 元 件 724 冷 卻 板 726 雙 葉 節 流 閥 728 渴 輪 分 子 泵 730 側 線 圈 基材 基材收納部 基部 加熱板 節流閥本體 閘極閥 頂部線圈 系統控制器 731A頂源RF(SRF產生器) 731C偏壓RF(“BRF”)產生器 732C偏壓匹配網路 734A-734E氣體源 734C、D、D’氣體源 735A’、73 5B’氣流控制器 735C、735D’ 氣流控制器 737 氣體環 73 8A輸送管路 740 氧化劑氣體喷嘴 743A 、 743C 闊 744 真空前部管路 746 頂部輸送 750 遠端電漿清潔系統 753 反應器空腔 755 施加器管 側SRF產生器 、7 3 2 B匹配網路 氣體輸送系統 氧氣源 潔淨氣體源 、735B’ MFGs 環空腔 氣體輸送線 本體空腔 閥 頂部喷嘴 頂部空腔 微波產生器 潔淨氣體供給埠 下方處理位置
36 1290346 757 上方載入位置 760 系統控制器 761 處理器 762 記憶體 7 65、 765A螢幕 766、 766A光筆 770 真空系統 780A 源電漿系統 780B 偏壓電漿系統 800 電腦程式 810 製程選擇器副程式 820 製程序列副程式 830A CVD處理室之處理室管理器 830B 處理室管理器 830C 處理室管理器 840 基材定位常式 850 製程氣體控制副 860 壓力控制副程式 870 電漿控制副程式 830A. -C 處理室管理副程式 37

Claims (1)

1290346 5 .如申請專利範圍第4項所述之方法,其中該第一流動氣 體至少包含氦氣與氬氣。 6.如申請專利範圍第2項所述之方法,其中該第一流動氣 體至少包含一氬氣及氦氣之混合物。
7 ·如申請專利範圍第6項所述之方法,其中該氬氣及氦氣 之混合物至少包含重量百分比大於9 5之氦氣。 8 .如申請專利範圍第6項所述之方法,其中該氬氣與氦氣 之混合物至少包含重量百分比大於9 9之氦氣。
9.如申請專利範圍第1項所述之方法,其中蝕刻該薄膜之 步驟至少包含以一蝕刻氣態混合物來蝕刻該薄膜,其中 該ϋ刻氣態混合物至少包含該含氟氣體、一含氧氣體、 氦氣及氬氣。 1 0.如申請專利範圍第1項所述之方法,其中蝕刻薄膜之步 驟至少包含施一電偏壓予該基材。 1 1 .如申請專利範圍第1項所述之方法,其中沉積該薄膜之 第一部份的步驟包含以一介於 1 0 - 3 0之範圍内的沉積/ 39 1290346 濺鍍比來沉積該薄膜之該第一部份,其中該沉積/濺鍍 比係界定為淨沉積率及覆蓋層濺鍍率之和對覆蓋層濺 鍍率的比例。
1 2.如申請專利範圍第1項所述之方法,其中沉積該薄膜之 剩餘部分的步驟包含以一介於4-1 0之範圍内的沉積/濺 鍍比來沉積該薄膜之剩餘部分,其中該沉積/濺鍍比係 界定為淨沉積率及覆蓋層濺鍍率之和對覆蓋層濺鍍率 的比例。 1 3 .如申請專利範圍第1項所述之方法,其中沉積該薄膜之 第一部份的步驟至少包含填充該空隙深度之 3 5 %至 85% 間。
1 4.如申請專利範圍第1項所述之方法,其中蝕刻該薄膜之 步驟至少包含移除該薄膜之第一部份厚度 5 %至 1 5 % 間。 1 5 .如申請專利範圍第1項所述之方法,其更至少包含在蝕 刻該薄膜後及沉積該薄膜之剩餘部分之前鈍態處理該 薄膜。 1 6.如申請專利範圍第1 5項所述之方法,其中鈍態處理該 40 1290346 薄膜之步驟至少包含將該薄膜暴露於一含氧氣氛中。 1 7.如申請專利範圍第1項所述之方法,其中更至少包含利 用一 HDP-CVD製程中之一第二氣態混合物來沉積該薄 膜之一第二部分於該空隙中,而該第二氣態混合物至少 包含一含矽氣體、一含氧氣體以及氫氣,其中在沉積該 第一部份後及蝕刻該薄膜前係執行沉積該第二部分之
1 8 ·如申請專利範圍第1 7項所述之方法,其中沉積該薄膜 之該第二部分的步驟至少包含以一範圍介於5-15内之 第二沉積/濺鍍比來沉積該薄膜之該第二部分,其中該 第二沉積/濺鍍比係界定為一第二淨沉積率及一第二覆 蓋層濺鍍率之和對該第二覆蓋層濺鍍率的比例。
1 9.如申請專利範圍第1 8項所述之方法,其中沉積該薄膜 之該第一部分的步驟至少包含以一範圍介於10-30内之 第一沉積/濺鍍比來沉積該薄膜之該第一部分,其中該 第一沉積/錢鍵比係界定為一第一淨沉積率及一第一覆 蓋層濺鍍率之和對該第一覆蓋層濺鍍率的比例。 20.如申請專利範圍第1 7項所述之方法,其中沉積該薄膜 41 1290346 之該第一部份的步驟至少包含填充該空隙深度之 40% 至65%間。 2 1 ·如申請專利範圍第2 0項所述之方法,其中沉積該薄膜 之該第二部分的步驟至少包含填充該空隙深度的額外 20% 至 45% 間。
22 .如申請專利範圍第1 7項所述之方法,其中蝕刻該薄膜 之步驟至少包含移除該薄膜之該第一部份及第二部分 兩者相加厚度的5 %至1 5 %間。
2 3 . —種内嵌有一用以指示一基.材製程系統之操作的電腦 可讀取程式之電腦可讀取儲存媒體,該基材製程系統包 括一製程處理室、一電漿產生系統、一基材承載器以及 一經配置以將氣體引入該製程處理室之氣體輸送系 統,該電腦可讀取程式包括用於操作該基材製程系統之 指令,用於沉積一薄膜以填充一置放於該製程處理室中 之一基材之一表面中的空隙,其係依據下列步驟: 利用一高密度電漿化學氣相沉積(HDP-CVD)製程 中之一第一氣態混合物以沉積該薄膜之一第一部份於 該空隙中,該第一氣態混合物至少包含一含石夕氣體、一 含氧氣體以及一第一流動氣體; 之後,以一含氟氣體蝕刻該薄膜;以及 42 1290346 之後,利用一 HDP-CVD製程中之一剩餘氣態混 合物來沉積該薄膜之一剩餘部分於該空隙中,該剩餘氣 體混合物至少包含一含矽氣體、一含氧氣體以及一第二 流動氣體, 其中該第二流動氣體之平均分子量小於該第一流 動氣體之平均分子量。
24.如申請專利範圍第23項所述之電腦可讀取儲存媒體, 其中該第一流動氣體至少包含一惰性氣體,而該第二流 動氣體至少包含氫氣。 2 5 .如申請專利範圍第24項所述之電腦可讀取儲存媒體, 其中該第一流動氣體至少包含氦氣。
2 6 ·如申請專利範圍第2 5項所述之電腦可讀取儲存媒體, 其中該第一流動氣體更至少包含氫氣。 2 7 .如申請專利範圍第2 4項所述之電腦可讀取儲存媒體, 其中該第一流動氣體至少包含氦氣及氬氣之混合物。 2 8 .如申請專利範圍第2 3項所述之電腦可讀取儲存媒體, 其中蝕刻該薄膜之步驟至少包含施一電偏壓於該基材。 43 1290346 2 9 ·如申請專利範圍第2 3項所述之電腦可讀取儲存媒體, 其中該電腦可讀取程式更包括數個指令,該等指令係用 於藉一 HDP-CVD製程中之一第二氣態混合物來沉積該 薄膜之一第二部分於該空隙,該第二氣態混合物至少包 含一含矽氣體、一含氧氣體以及氫氣,其中沉積該第二 部分的動作係於沉積該第一部份之後及蝕刻該薄膜之 前執行之。
3 0. —種基材製程系統,其至少包含: 一蓋體,用以界定出一製程處理室; 一高密度電漿產生系統,其係操作地與該製程處理 室相耦接; 一基材承載器,其係經配置以於基材製程期間承托 一基材;
一氣體輸送系統,其係經配置以將該等氣體引入該 製程處理室; 一壓力控制系統,其係用以將該製程處理室内之壓 力維持在一選定壓力; 一控制器,用以控制該高密度電漿產生系統、該氣 體輸送系統以及該壓力控制系統;以及 一記憶體,用以連接至該控制器,該記憶體至少包 含一内嵌有一電腦可讀取程式之電腦可讀取媒體,而該 程式係用於指示該基材製程系統之操作以填充該基材 44 1290346 之一表面之一空隙,該電腦可讀取程式至少包括: 數個利用一高密度電漿化學氣相沉積製程之 一第一氣態混合物來沉積該薄膜之一第一部份於該空 隙中的指令,該第一氣態混合物至少包含一含$夕氣體、 一含氧氣體以及一第一流動氣體; 數個其後藉一含氟氣體蝕刻該薄膜之指令;以 及
數個其後利用一 HDP-CVD製程之一剩餘氣態 混合物來沉積該薄膜之一剩餘部分於該空隙中之指 令,該氣態混合物之剩餘部分至少包含一含砍氣體、一 含氧氣體以及第二流動氣體,且其中該第二流動氣體之 平均分子量小於該第一流動氣體之平均分子量。 3 1 ·如申請專利範圍第3 0項所述之基材製程系統,其中該 第二流動氣體至少包括氫氣。
3 2.如申請專利範圍第3 1項所述之基材製程系統,其中該 第一流動氣體至少包括氦氣。 3 3 .如申請專利範圍第3 2項所述之基材製程系統,其中該 第一流動氣體更至少包括氫氣。 3 4.如申請專利範圍第3 1項所述之基材製程系統,其中該 45 1290346 第一流動氣體至少包括氬氣與氦氣之混合物。 3 5 ·如申請專利範圍第3 0項所述之基材製程系統,其中該 等用於蝕刻該薄膜之指令至少包括數個用於施一電偏 壓予該基材之指令。
3 6.如申請專利範圍第3 0項所述之基材製程系統,其中該 電腦可讀取程式更包括數個利用一 HDP-CVD製程之一 第二氣態混合物來沉積該薄膜之一第二部分於該空隙 中之指令,該第二氣態混合物至少包括一含矽氣體、一 含氧氣體以及氫氣,其中該第二部分係在該第一部份沉 積之後及餘刻之前進行沉積。
46
TW093115996A 2003-06-04 2004-06-03 HDP-CVD multistep gapfill process TWI290346B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/456,611 US7205240B2 (en) 2003-06-04 2003-06-04 HDP-CVD multistep gapfill process

Publications (2)

Publication Number Publication Date
TW200509257A TW200509257A (en) 2005-03-01
TWI290346B true TWI290346B (en) 2007-11-21

Family

ID=33490203

Family Applications (1)

Application Number Title Priority Date Filing Date
TW093115996A TWI290346B (en) 2003-06-04 2004-06-03 HDP-CVD multistep gapfill process

Country Status (5)

Country Link
US (1) US7205240B2 (zh)
KR (1) KR101027265B1 (zh)
CN (1) CN100530554C (zh)
TW (1) TWI290346B (zh)
WO (1) WO2004114366A2 (zh)

Families Citing this family (363)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2842387B1 (fr) * 2002-07-11 2005-07-08 Cit Alcatel Chemisage chauffant pour reacteur de gravure plasma, procede de gravure pour sa mise en oeuvre
US20050120958A1 (en) * 2003-12-07 2005-06-09 Frank Lin Reactor
KR100589046B1 (ko) * 2004-09-23 2006-06-12 삼성전자주식회사 박막 형성 방법
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US7268057B2 (en) * 2005-03-30 2007-09-11 Micron Technology, Inc. Methods of filling openings with oxide, and methods of forming trenched isolation regions
US8129281B1 (en) 2005-05-12 2012-03-06 Novellus Systems, Inc. Plasma based photoresist removal system for cleaning post ash residue
US7329586B2 (en) * 2005-06-24 2008-02-12 Applied Materials, Inc. Gapfill using deposition-etch sequence
KR100745987B1 (ko) * 2005-08-09 2007-08-06 삼성전자주식회사 반도체 소자의 트렌치 소자 분리 영역 제조 방법
US20070059900A1 (en) * 2005-09-14 2007-03-15 Chien-Hsing Lai Multi-step depositing process
US7737483B2 (en) 2005-12-06 2010-06-15 Sandisk Corporation Low resistance void-free contacts
US7514370B2 (en) * 2006-05-19 2009-04-07 International Business Machines Corporation Compressive nitride film and method of manufacturing thereof
US7939422B2 (en) * 2006-12-07 2011-05-10 Applied Materials, Inc. Methods of thin film process
US20080142483A1 (en) * 2006-12-07 2008-06-19 Applied Materials, Inc. Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills
US8435895B2 (en) 2007-04-04 2013-05-07 Novellus Systems, Inc. Methods for stripping photoresist and/or cleaning metal regions
DE102007025341B4 (de) * 2007-05-31 2010-11-11 Advanced Micro Devices, Inc., Sunnyvale Verfahren und Abscheidesystem mit Mehrschrittabscheidesteuerung
US20080299775A1 (en) * 2007-06-04 2008-12-04 Applied Materials, Inc. Gapfill extension of hdp-cvd integrated process modulation sio2 process
US8337950B2 (en) * 2007-06-19 2012-12-25 Applied Materials, Inc. Method for depositing boron-rich films for lithographic mask applications
US7867921B2 (en) 2007-09-07 2011-01-11 Applied Materials, Inc. Reduction of etch-rate drift in HDP processes
US7745350B2 (en) * 2007-09-07 2010-06-29 Applied Materials, Inc. Impurity control in HDP-CVD DEP/ETCH/DEP processes
CN101459109B (zh) * 2007-12-13 2013-01-23 中芯国际集成电路制造(上海)有限公司 制作浅沟槽隔离结构的方法
US8133797B2 (en) * 2008-05-16 2012-03-13 Novellus Systems, Inc. Protective layer to enable damage free gap fill
US20090325391A1 (en) * 2008-06-30 2009-12-31 Asm International Nv Ozone and teos process for silicon oxide deposition
US7972968B2 (en) * 2008-08-18 2011-07-05 Applied Materials, Inc. High density plasma gapfill deposition-etch-deposition process etchant
US8591661B2 (en) * 2009-12-11 2013-11-26 Novellus Systems, Inc. Low damage photoresist strip method for low-K dielectrics
US8563090B2 (en) * 2008-10-16 2013-10-22 Applied Materials, Inc. Boron film interface engineering
US7910491B2 (en) * 2008-10-16 2011-03-22 Applied Materials, Inc. Gapfill improvement with low etch rate dielectric liners
US20100144140A1 (en) * 2008-12-10 2010-06-10 Novellus Systems, Inc. Methods for depositing tungsten films having low resistivity for gapfill applications
US8129270B1 (en) 2008-12-10 2012-03-06 Novellus Systems, Inc. Method for depositing tungsten film having low resistivity, low roughness and high reflectivity
US9134987B2 (en) 2009-05-29 2015-09-15 Red Hat, Inc. Retiring target machines by a provisioning server
US9548228B2 (en) 2009-08-04 2017-01-17 Lam Research Corporation Void free tungsten fill in different sized features
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US8124531B2 (en) 2009-08-04 2012-02-28 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US9034768B2 (en) * 2010-07-09 2015-05-19 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
KR101770008B1 (ko) 2009-12-11 2017-08-21 노벨러스 시스템즈, 인코포레이티드 고주입량 주입 박리 전에 실리콘을 보호하기 위한 개선된 패시베이션 공정
US20110143548A1 (en) 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
CN102693931A (zh) * 2011-03-23 2012-09-26 中国科学院微电子研究所 一种薄膜填充方法
US8699183B2 (en) 2011-04-22 2014-04-15 Seagate Technology Llc Write pole and shield with different taper angles
US8497211B2 (en) * 2011-06-24 2013-07-30 Applied Materials, Inc. Integrated process modulation for PSG gapfill
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
CN102424954B (zh) * 2011-08-15 2013-10-02 上海华力微电子有限公司 降低高密度等离子体化学气象淀积工艺的颗粒的清洗方案
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US9613825B2 (en) 2011-08-26 2017-04-04 Novellus Systems, Inc. Photoresist strip processes for improved device integrity
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
CN102701569B (zh) * 2012-01-12 2015-01-07 上海华力微电子有限公司 改善高密度等离子体化学气相淀积的磷硅玻璃形貌的方法
US10381266B2 (en) 2012-03-27 2019-08-13 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
KR102064627B1 (ko) 2012-03-27 2020-01-09 노벨러스 시스템즈, 인코포레이티드 텅스텐 피처 충진
US11437269B2 (en) 2012-03-27 2022-09-06 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
KR101557341B1 (ko) * 2012-09-26 2015-10-06 (주)비엠씨 플라즈마 화학 기상 증착 장치
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8830625B2 (en) 2012-11-29 2014-09-09 Seagate Technology Llc Data writer with tapered side shield sidewalls
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9165771B2 (en) 2013-04-04 2015-10-20 Tokyo Electron Limited Pulsed gas plasma doping method and apparatus
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9082826B2 (en) 2013-05-24 2015-07-14 Lam Research Corporation Methods and apparatuses for void-free tungsten fill in three-dimensional semiconductor features
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US20150206803A1 (en) * 2014-01-19 2015-07-23 United Microelectronics Corp. Method of forming inter-level dielectric layer
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9514954B2 (en) 2014-06-10 2016-12-06 Lam Research Corporation Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9748137B2 (en) 2014-08-21 2017-08-29 Lam Research Corporation Method for void-free cobalt gap fill
US9349637B2 (en) 2014-08-21 2016-05-24 Lam Research Corporation Method for void-free cobalt gap fill
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9502238B2 (en) * 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10170320B2 (en) 2015-05-18 2019-01-01 Lam Research Corporation Feature fill with multi-stage nucleation inhibition
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
CN106328582A (zh) * 2015-07-02 2017-01-11 无锡华润上华科技有限公司 金属层间介质膜层的形成方法及hdpcvd方法
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US9978610B2 (en) 2015-08-21 2018-05-22 Lam Research Corporation Pulsing RF power in etch process to enhance tungsten gapfill performance
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US9997351B2 (en) * 2015-12-08 2018-06-12 Varian Semiconductor Equipment Associates, Inc. Apparatus and techniques for filling a cavity using angled ion beam
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
JP6693292B2 (ja) * 2016-06-20 2020-05-13 東京エレクトロン株式会社 半導体装置の製造方法及び半導体製造装置
US9773643B1 (en) * 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) * 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10573522B2 (en) 2016-08-16 2020-02-25 Lam Research Corporation Method for preventing line bending during metal fill process
US10566211B2 (en) 2016-08-30 2020-02-18 Lam Research Corporation Continuous and pulsed RF plasma for etching metals
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US10211099B2 (en) 2016-12-19 2019-02-19 Lam Research Corporation Chamber conditioning for remote plasma process
TWI671792B (zh) 2016-12-19 2019-09-11 荷蘭商Asm知識產權私人控股有限公司 基板處理設備
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
JP7515411B2 (ja) 2018-06-27 2024-07-12 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
CN109346399B (zh) * 2018-10-15 2021-10-01 上海华虹宏力半导体制造有限公司 金属层间介质膜层的形成方法
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11978666B2 (en) 2018-12-05 2024-05-07 Lam Research Corporation Void free low stress fill
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20210150606A (ko) 2019-05-01 2021-12-10 램 리써치 코포레이션 변조된 원자 층 증착
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
CN111799216A (zh) * 2020-06-30 2020-10-20 长江存储科技有限责任公司 填充方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US20220157602A1 (en) * 2020-11-18 2022-05-19 Applied Materials, Inc. Silicon oxide gap fill using capacitively coupled plasmas
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (83)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3205345A1 (de) 1982-02-15 1983-09-01 Philips Patentverwaltung Gmbh, 2000 Hamburg "verfahren zur herstellung von fluordotierten lichtleitfasern"
US4737379A (en) 1982-09-24 1988-04-12 Energy Conversion Devices, Inc. Plasma deposited coatings, and low temperature plasma method of making same
DE3429899A1 (de) 1983-08-16 1985-03-07 Canon K.K., Tokio/Tokyo Verfahren zur bildung eines abscheidungsfilms
JPS61276A (ja) 1984-06-13 1986-01-06 Showa Denko Kk 接着性樹脂組成物
US4690746A (en) 1986-02-24 1987-09-01 Genus, Inc. Interlayer dielectric process
KR900005118B1 (ko) 1986-07-14 1990-07-19 미쓰비시전기주식회사 박막 형성장치
US4960488A (en) 1986-12-19 1990-10-02 Applied Materials, Inc. Reactor chamber self-cleaning process
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4892753A (en) 1986-12-19 1990-01-09 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
US4872947A (en) 1986-12-19 1989-10-10 Applied Materials, Inc. CVD of silicon oxide using TEOS decomposition and in-situ planarization process
KR910006164B1 (ko) 1987-03-18 1991-08-16 가부시키가이샤 도시바 박막형성방법과 그 장치
US4851370A (en) 1987-12-28 1989-07-25 American Telephone And Telegraph Company, At&T Bell Laboratories Fabricating a semiconductor device with low defect density oxide
JPH0258836A (ja) 1988-08-24 1990-02-28 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
US4894352A (en) 1988-10-26 1990-01-16 Texas Instruments Inc. Deposition of silicon-containing films using organosilicon compounds and nitrogen trifluoride
US5013691A (en) 1989-07-31 1991-05-07 At&T Bell Laboratories Anisotropic deposition of silicon dioxide
JP2960466B2 (ja) 1990-03-19 1999-10-06 株式会社日立製作所 半導体デバイスの配線絶縁膜の形成方法及びその装置
US5089442A (en) 1990-09-20 1992-02-18 At&T Bell Laboratories Silicon dioxide deposition method using a magnetic field and both sputter deposition and plasma-enhanced cvd
JP2640174B2 (ja) 1990-10-30 1997-08-13 三菱電機株式会社 半導体装置およびその製造方法
JP3206916B2 (ja) 1990-11-28 2001-09-10 住友電気工業株式会社 欠陥濃度低減方法、紫外線透過用光学ガラスの製造方法及び紫外線透過用光学ガラス
EP0519079B1 (en) 1991-01-08 1999-03-03 Fujitsu Limited Process for forming silicon oxide film
JP2697315B2 (ja) 1991-01-23 1998-01-14 日本電気株式会社 フッ素含有シリコン酸化膜の形成方法
JPH04341568A (ja) 1991-05-16 1992-11-27 Toshiba Corp 薄膜形成方法及び薄膜形成装置
EP0584252B1 (en) 1991-05-17 1998-03-04 Lam Research Corporation A PROCESS FOR DEPOSITING A SIOx FILM HAVING REDUCED INTRINSIC STRESS AND/OR REDUCED HYDROGEN CONTENT
JP2699695B2 (ja) 1991-06-07 1998-01-19 日本電気株式会社 化学気相成長法
US5279865A (en) 1991-06-28 1994-01-18 Digital Equipment Corporation High throughput interlevel dielectric gap filling process
US5468742A (en) * 1991-07-19 1995-11-21 Bayer Aktiengesellschaft 8-vinyl- and 9-ethinyl-quinolone-carboxylic acids
JPH05226480A (ja) 1991-12-04 1993-09-03 Nec Corp 半導体装置の製造方法
JP2773530B2 (ja) 1992-04-15 1998-07-09 日本電気株式会社 半導体装置の製造方法
JP2792335B2 (ja) 1992-05-27 1998-09-03 日本電気株式会社 半導体装置の製造方法
GB2267291B (en) 1992-05-27 1995-02-01 Northern Telecom Ltd Plasma deposition process
JP3688726B2 (ja) 1992-07-17 2005-08-31 株式会社東芝 半導体装置の製造方法
US5271972A (en) 1992-08-17 1993-12-21 Applied Materials, Inc. Method for depositing ozone/TEOS silicon oxide films of reduced surface sensitivity
US5624582A (en) 1993-01-21 1997-04-29 Vlsi Technology, Inc. Optimization of dry etching through the control of helium backside pressure
US5302233A (en) 1993-03-19 1994-04-12 Micron Semiconductor, Inc. Method for shaping features of a semiconductor structure using chemical mechanical planarization (CMP)
US5416048A (en) 1993-04-16 1995-05-16 Micron Semiconductor, Inc. Method to slope conductor profile prior to dielectric deposition to improve dielectric step-coverage
JPH07161703A (ja) 1993-12-03 1995-06-23 Ricoh Co Ltd 半導体装置の製造方法
JP3364694B2 (ja) * 1993-12-28 2003-01-08 株式会社アルバック 保護膜の形成方法
US5468342A (en) 1994-04-28 1995-11-21 Cypress Semiconductor Corp. Method of etching an oxide layer
US5563105A (en) 1994-09-30 1996-10-08 International Business Machines Corporation PECVD method of depositing fluorine doped oxide using a fluorine precursor containing a glass-forming element
US5571576A (en) 1995-02-10 1996-11-05 Watkins-Johnson Method of forming a fluorinated silicon oxide layer using plasma chemical vapor deposition
US6039851A (en) 1995-03-22 2000-03-21 Micron Technology, Inc. Reactive sputter faceting of silicon dioxide to enhance gap fill of spaces between metal lines
US5571577A (en) 1995-04-07 1996-11-05 Board Of Trustees Operating Michigan State University Method and apparatus for plasma treatment of a surface
US6228751B1 (en) 1995-09-08 2001-05-08 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device
US5719085A (en) 1995-09-29 1998-02-17 Intel Corporation Shallow trench isolation technique
US5599740A (en) 1995-11-16 1997-02-04 Taiwan Semiconductor Manufacturing Company, Ltd. Deposit-etch-deposit ozone/teos insulator layer method
WO1997024761A1 (en) 1995-12-27 1997-07-10 Lam Research Corporation Methods and apparatus for filling trenches in a semiconductor wafer
US5679606A (en) 1995-12-27 1997-10-21 Taiwan Semiconductor Manufacturing Company, Ltd. method of forming inter-metal-dielectric structure
US6191026B1 (en) 1996-01-09 2001-02-20 Applied Materials, Inc. Method for submicron gap filling on a semiconductor substrate
US5872052A (en) 1996-02-12 1999-02-16 Micron Technology, Inc. Planarization using plasma oxidized amorphous silicon
US5648175A (en) 1996-02-14 1997-07-15 Applied Materials, Inc. Chemical vapor deposition reactor system and integrated circuit
US5858876A (en) 1996-04-01 1999-01-12 Chartered Semiconductor Manufacturing, Ltd. Simultaneous deposit and etch method for forming a void-free and gap-filling insulator layer upon a patterned substrate layer
US5712185A (en) 1996-04-23 1998-01-27 United Microelectronics Method for forming shallow trench isolation
TW388096B (en) 1996-06-10 2000-04-21 Texas Instruments Inc Integrated circuit insulator and method
US6170428B1 (en) 1996-07-15 2001-01-09 Applied Materials, Inc. Symmetric tunable inductively coupled HDP-CVD reactor
US5661093A (en) 1996-09-12 1997-08-26 Applied Materials, Inc. Method for the stabilization of halogen-doped films through the use of multiple sealing layers
US5804259A (en) 1996-11-07 1998-09-08 Applied Materials, Inc. Method and apparatus for depositing a multilayered low dielectric constant film
US5939831A (en) 1996-11-13 1999-08-17 Applied Materials, Inc. Methods and apparatus for pre-stabilized plasma generation for microwave clean applications
FR2756663B1 (fr) 1996-12-04 1999-02-26 Berenguer Marc Procede de traitement d'un substrat semi-conducteur comprenant une etape de traitement de surface
US5953635A (en) 1996-12-19 1999-09-14 Intel Corporation Interlayer dielectric with a composite dielectric stack
US5913140A (en) 1996-12-23 1999-06-15 Lam Research Corporation Method for reduction of plasma charging damage during chemical vapor deposition
US5800621A (en) 1997-02-10 1998-09-01 Applied Materials, Inc. Plasma source for HDP-CVD chamber
US6013584A (en) 1997-02-19 2000-01-11 Applied Materials, Inc. Methods and apparatus for forming HDP-CVD PSG film used for advanced pre-metal dielectric layer applications
US5990000A (en) 1997-02-20 1999-11-23 Applied Materials, Inc. Method and apparatus for improving gap-fill capability using chemical and physical etchbacks
US6190233B1 (en) 1997-02-20 2001-02-20 Applied Materials, Inc. Method and apparatus for improving gap-fill capability using chemical and physical etchbacks
US6059643A (en) 1997-02-21 2000-05-09 Aplex, Inc. Apparatus and method for polishing a flat surface using a belted polishing pad
US5850105A (en) 1997-03-21 1998-12-15 Advanced Micro Devices, Inc. Substantially planar semiconductor topography using dielectrics and chemical mechanical polish
US5968610A (en) 1997-04-02 1999-10-19 United Microelectronics Corp. Multi-step high density plasma chemical vapor deposition process
US6189483B1 (en) 1997-05-29 2001-02-20 Applied Materials, Inc. Process kit
US6136685A (en) 1997-06-03 2000-10-24 Applied Materials, Inc. High deposition rate recipe for low dielectric constant films
US5937323A (en) 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
US5872058A (en) * 1997-06-17 1999-02-16 Novellus Systems, Inc. High aspect ratio gapfill process by using HDP
US6013191A (en) 1997-10-27 2000-01-11 Advanced Refractory Technologies, Inc. Method of polishing CVD diamond films by oxygen plasma
US5976327A (en) 1997-12-12 1999-11-02 Applied Materials, Inc. Step coverage and overhang improvement by pedestal bias voltage modulation
US6194038B1 (en) 1998-03-20 2001-02-27 Applied Materials, Inc. Method for deposition of a conformal layer on a substrate
US6395150B1 (en) * 1998-04-01 2002-05-28 Novellus Systems, Inc. Very high aspect ratio gapfill using HDP
US6030881A (en) * 1998-05-05 2000-02-29 Novellus Systems, Inc. High throughput chemical vapor deposition process capable of filling high aspect ratio structures
US6037018A (en) 1998-07-01 2000-03-14 Taiwan Semiconductor Maufacturing Company Shallow trench isolation filled by high density plasma chemical vapor deposition
US6203863B1 (en) 1998-11-27 2001-03-20 United Microelectronics Corp. Method of gap filling
US6197705B1 (en) 1999-03-18 2001-03-06 Chartered Semiconductor Manufacturing Ltd. Method of silicon oxide and silicon glass films deposition
US6503843B1 (en) 1999-09-21 2003-01-07 Applied Materials, Inc. Multistep chamber cleaning and film deposition process using a remote plasma that also enhances film gap fill
US6335288B1 (en) * 2000-08-24 2002-01-01 Applied Materials, Inc. Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD
US6596654B1 (en) * 2001-08-24 2003-07-22 Novellus Systems, Inc. Gap fill for high aspect ratio structures
US6846745B1 (en) * 2001-08-03 2005-01-25 Novellus Systems, Inc. High-density plasma process for filling high aspect ratio structures

Also Published As

Publication number Publication date
TW200509257A (en) 2005-03-01
US7205240B2 (en) 2007-04-17
CN100530554C (zh) 2009-08-19
CN101044598A (zh) 2007-09-26
KR20060023137A (ko) 2006-03-13
WO2004114366A3 (en) 2005-12-08
WO2004114366A2 (en) 2004-12-29
US20040245091A1 (en) 2004-12-09
KR101027265B1 (ko) 2011-04-06

Similar Documents

Publication Publication Date Title
TWI290346B (en) HDP-CVD multistep gapfill process
US6559026B1 (en) Trench fill with HDP-CVD process including coupled high power density plasma deposition
US6211065B1 (en) Method of depositing and amorphous fluorocarbon film using HDP-CVD
US6399489B1 (en) Barrier layer deposition using HDP-CVD
US7628897B2 (en) Reactive ion etching for semiconductor device feature topography modification
US6624064B1 (en) Chamber seasoning method to improve adhesion of F-containing dielectric film to metal for VLSI application
US6323119B1 (en) CVD deposition method to improve adhesion of F-containing dielectric metal lines for VLSI application
TWI328618B (en) High-throughput hdp-cvd processes for advanced gapfill applications
JP5004396B2 (ja) プラズマプロセスのプラズマ電荷ダメージを低減する方法
US6468927B1 (en) Method of depositing a nitrogen-doped FSG layer
TW201411721A (zh) 用於流動性膜之經改良的緻密化作用
JP2001518560A (ja) 炭素を堆積させる方法と装置
US6228781B1 (en) Sequential in-situ heating and deposition of halogen-doped silicon oxide
JP2002141349A (ja) Hdp−cvdを用いて高いアスペクト比のギャップ充填を達成するためのガス化学サイクリング
JP2009164613A (ja) Hdp−cvdpsg膜の形成方法および装置
JP2002334871A5 (zh)
TW201233840A (en) Polysilicon films by HDP-CVD
TW201131653A (en) Dielectric film growth with radicals produced using flexible nitrogen/hydrogen ratio
JP2001156064A (ja) Hdp−fsg薄膜へのバリア層の付着力を改善する方法
JP4866247B2 (ja) Pmd層の限定された熱履歴の形成
TW495849B (en) Controlled method of silicon-rich oxide deposition using HDP-CVD
TW201203315A (en) Preferential dielectric gapfill
JP2002512440A (ja) 差動プラズマパワーを使用して高アスペクト比ギャップのプロファイルを修正する方法および装置
JP5019676B2 (ja) Hdpcvd処理によるトレンチ充填
EP1146142A2 (en) Process for forming fluorosilicate glass layers using high density plasma, for copper damascene integrated circuits

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees