TW419773B - Wafer transportation mechanism - Google Patents

Wafer transportation mechanism Download PDF

Info

Publication number
TW419773B
TW419773B TW088111793A TW88111793A TW419773B TW 419773 B TW419773 B TW 419773B TW 088111793 A TW088111793 A TW 088111793A TW 88111793 A TW88111793 A TW 88111793A TW 419773 B TW419773 B TW 419773B
Authority
TW
Taiwan
Prior art keywords
arm
processed
arm member
wafer
patent application
Prior art date
Application number
TW088111793A
Other languages
English (en)
Inventor
Kazunori Furukawara
Takayuki Yamagishi
Masaei Suwada
Original Assignee
Nippon Asm Kk
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nippon Asm Kk filed Critical Nippon Asm Kk
Application granted granted Critical
Publication of TW419773B publication Critical patent/TW419773B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67766Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Manipulator (AREA)

Description

419773 A7 B7 五、發明說明ί ) 【發明之所屬技術領域】 本發明係關於將半導體晶圓之類的被處理體搬入或搬 出收存被處理體之收存部之搬送機構及搬送方法’特別是 關於可以將被處理體搬送於收存部之規定位置之搬送裝置 及搬送方法。 【習知之技術】 處理室 處理室 典型的 此 。該臂 )。該 在半導體製造上,會進行由收存半導體晶圓之卡匣往 搬送一片之半導體晶圓’或由一個之處理室往其它 利用於其之搬送之 搬送一片之晶圓。圖1係顯示被 先前之搬送機構之斜視圖。 搬送機構1在前方具有可以保持 2之保持晶圓部份設置收存晶圓 臂2之後端被樞著於其之一端被 請 先 閱 讀· 背 面 之 注' 意 事 項 再 填 本 頁 經濟部智慧財產局員工消費合作社印製 臂3及4之其中一方之補助臂3。另一 一端被連結於使補助臂4旋轉之旋轉機 出設置使臂2只在其之軸線方向可以往 此,藉由旋轉機構5補助臂4 一旋轉, 半導體晶圓之臂2 之凹處(參考圖3 樞著之2個之補助 方之補助臂4之另 構5。圖中未顯示 復移動之導件。因 臂2透過補助臂3 ,可以在供給晶圓之位置與保持晶圓縮回(或晶圓例如於 處理室被處理之間拉進)位置之間往復移動。 圖2係顯示臂保持晶圓位於縮回位置之搬送機構之斜 視圖。在此狀態,藉由使搬送機構全體旋轉,或藉由使之 移動(以下,單單稱此種旋轉或移動爲「移動」),可以 由1個之處理室將晶圓移送於其它之處理室。 本紙張尺度適用中國國家標準(CNS)A4規格(2】0 X 297公釐) -4- A7 419773 __B7____ 五、發明說明έ ) 圖3及圖4係顯示利用此先前之搬送機構,將晶圓由 處理室卸載之動作及往該處裝載之動作。如圖3 ( a )所 示般地,晶圓W其之中心可以與處理室(未圖示出)內之 晶圓工作台2 1之中心一致地被以複數的銷2 2支撐著》 處理後,爲了將晶圓W卸載,如圖1般地,臂4旋轉,隨 之臂2伸長。臂2伸長至臂2之晶圓保持中心(圖中以白 三角顯示)與晶圓W之中心(圖中,以黑三角顯示)一致 之位置(伸長位置)爲止。接著,如圖3 ( b )所示般地 ,銷22下降,晶圓W位於臂2之凹處。而且,如圖3 ( c )所示般地,藉由臂4之旋轉,臂2在保持晶圓W之下 ,回到縮回位置。 爲了對於晶圓W進行如下之處理,由於要將晶圓w往 其它之處理室之位置移動之故,如圖3 ( d )所示般地, 使晶圓之保持中心與晶圓W之中心--致之下,如圖2所示 般地,使搬送機構全體移動(旋轉)。 而且,在到達其它之處理室之位置爲止時,如圖4 ( a )所示般地,臂4再度旋轉,臂2往其它之處理室之處 理位置(晶圓工作台之中心與晶圓之中心一致之位置)伸 長。在此處,銷上昇,晶圓移往晶圓工作台(圖4 ( b ) ),臂4旋轉,臂2返回縮回位置。上述處理位置與縮回 位置被記憶於搬送機構之控制部以便於其它之晶圓之卸載 、裝載動作中可被再現。 在此種圖示之搬送機構之外’也有藉由真空吸附以將 晶圓保持於臂之方式之機構。此方式係連通臂之晶圓保持 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) <請先閲讀背面之注意事項再填寫本頁i i I ---— I 訂i! 線- 經濟部智慧財產局負工消費合作社印製 -5 - 419773 A7 ____B7 五、發明說明έ ) (請先閱讀背面之注意事項再填寫本頁) 部與真空源,晶圓位於臂上時,藉由真空力以保持晶圓者 。藉由真空力之保持’在臂沒有需要設置晶圓保持用之凹 處,可以使臂小型化,又,可以高速使晶圓移動、旋轉。 【發明欲解決之課題】 但是’在以臂之凹處配置晶圓之先前的搬送機構(圖 1 )之卸載上,晶圓工作台上之晶圓雖然藉由銷之下降被 移往晶圓之凹處’此時,例如利用電漿在晶圓進行規定之 製程之處理室中’伴隨電漿產生之電荷之影響等,有時會 產生晶圓位置之偏差。 被形成於臂2之凹處考慮到臂、臂間之連結、旋轉機 構等之機械誤差,雖然比收存於此之晶圓大若干(通常, 直徑方向大2 m m以下之程度),但是晶圓位置偏差如大 於其上,晶圓便無法被收存於凹處,成爲擱在凹處之段差 部份,而成爲搬送錯誤。雖然預先預測位置偏差,使凹處 之大小更大些,可以迴避此種錯誤,但是在此情形,凹處 內之晶圓位置不定,位置精度之信賴性、再現性變差。 經濟部智慧財產局員工消費合作社印製 又,臂在往復移動、縮回位置之臂之旋轉移動之際, 晶圓在比晶圓大之凹處內移動,會引起位置之偏差。爲了 防止此,不得不使臂之移動(旋轉)之速度降低,無法期 望晶圓之搬送速度之高速化,搬送時間之縮短化。於真空 吸附式中,沒有此種問題 > 雖然可以高速化搬送速度,反 之,在真空吸附之際,晶圓之裏面與臂之緊密接觸之故, 容易產生微粒。又,此方式畢竟必須在大氣中使用。 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -6 - 經濟部智慧財產局員工消費合作社印製 419773 A7 __B7___ 五、發明說明4 ) 本發明係爲了解決上述課題而完成者,其目的在於提 供:不須附加之工程,可以將被處理體配置於保持被處理 體之臂構件之規定之位置之搬送機構及搬送方法。 本發明之其它之目的在於提供:被處理體之往臂構件 之移送簡便,而且可以將晶圓配置於臂之規定位置之搬送 機構及搬送方法。 進而,本發明之其它之目的在於提供:由收存晶圓之 其中之一之收存部往另一方之收存部之搬送可以筒速化Z 搬送機構及搬送方法。 再者,本發明之其它之目的在於提供:即使在常壓下 、真空下,也可以進行被處理體之搬送之搬送機構及搬送 方法。 再者,本發明之其它之目的在於提供:可以適用於既 存之裝置之搬送機構及搬送方法。 【解決課題用之手段】 達成上述目的之本發明之將被處理體搬入或搬出收存 部之搬送機構係由:(a)前端具有可與被處理體之邊緣 部接觸之突起部,保持被處理體之臂構件,及(b )爲了 將被處理體對於收存部搬入或搬出,在保持被處理體之狀 態下,使該臂構件在縮回位置與伸長位置之間往復移動之 移動機構,及(c )位於臂構件之附近,將被保持之被處 理體配置於臂構件上之規定位置用之可與被處理體之邊緣 部接觸之配置構件所形成。 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐〉 <請先閱讀背面之注意事項再填寫本頁) 々------—訂*-----I!線 A7 4l9773 B7 五、發明說明έ ) (請先閱讀背面之注意事項再填寫本頁) 配置構件係在藉由移動機構’臂構件往縮回位置移動 時,與被保持在臂構件上之被處理體之邊緣部接觸,只阻 止被處理體之移動,配置於臂構件上之規定位置。 由其中一方之收存部往另一方之收存部搬送被處理體 時,移動機構具有在將臂構件維持於縮回位置狀態下,向 著各收存部移動之移動手段。此時,配置構件被安裝於移 動手段。 經濟部智慧財產局8工消費合作社印製 本發明之搬送方法係一種由其中一方之收存部往另一 方之收存部搬送被處理體之方法,具有:(a)在前端具 有可與被處理體之邊緣部接觸之突起部’將保持被處理體 之臂構件在其中一方之收存部內,***至被收存於其中之 被處理體之邊緣部與突起部之間產生間隙之伸長位置爲止 ,將被處理體移往臂構件之工程,及(b )被移動之被處 理體之邊緣部接觸位於臂構件之附近之配置構件爲止地, 將臂構件縮回之工程,及(c )再者,配置構件與臂構件 上之被處理體接觸,防止其之移動,至配置於臂構件之規 定位置之縮回位置地,將臂構件縮回之工程,及(d )維 持在縮回位置之臂構件,向著另一方之收存部移動之工程 ,及(e )在保持被配置於規定之位置之被處理體之狀態 ,將臂構件***另一方之收存部內之工程。 【發明之實施形態】 圖5係顯示本發明之搬送裝置5 1之斜視圖。與圖1 所示之習知之搬送裝置1之不同爲在臂5 2沒有設置凹處 -8 - 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) A7 419773 ___B7___ 五、發明說明έ ) ,只在前端具有沿著半導體晶圓w之外圓周之邊緣部之形 狀之突起部5 2 >之點,及臂位於縮回位置時,在該臂之 附近之配置構件6 0之點。 如圖1說明過般地,本發明之搬送裝置5 1也係:臂 5 2可以在伸長位置與縮回位置往復移動地,透過2個之 補助臂5 3 ,5 4被安裝於旋轉機構5 5。再者,雖然未 圖示出,設置可以使臂5 2只在其之軸線方向往復移動之 導件。因此,藉由旋轉機構55,臂54 —旋轉,臂52 透過臂53可以往復移動。 本發明之特徵之臂5 2如圖般地,保持晶圓W之部份 比柄部份寬,在前端兩個地方具有突起部5 2 ζ 。此突起 部5 2 與晶圓W之邊緣部接觸之故,期望不會弄傷晶圓 地,在接觸部份具有彈性構件,例如彈簧構件。 配置構件6 0在臂5 2之附近,被安裝於旋轉機構 5 5。此配置構件6 0位於臂5 2之上方,由在兩端具有 突出部份之水平部份61及將該水平部份安裝於旋轉機構 5 5之垂直部份6 2所形成,水平部份6 1之內側形成梯 形之凹處1再者,被安裝有與晶圓之邊緣彈性地接觸之彈 性片6 3 ,例如彈簧體。彈性片係對於晶圓W彈性地接觸 用合適之物,但是並非必須之要件,也可以水平部份之梯 形凹處與晶圓之邊緣部接觸。 於圖示之例中,雖然做成爲水平部份6 1被形成爲梯 形之凹處,在晶圓之邊緣部兩個地方接觸,但是一個地方 接觸之形狀亦可,或三個地方以上接觸之形狀亦可。接觸 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閲婧背面之注意事項再填寫本頁) ------- 訂----- ----線- 經濟部智慧財產局員工消費合作社印製 -9- A7 Λ197Τ3 B7____ 五、發明說明f ) 地方愈多,如後述般地,雖然容易將晶圓W配置於臂5 2 上之規定位置,但是對於不同直徑之晶圓不容易對應。 於被安裝配置構件6 0之搬送機構5 1中,藉由旋轉 機構55 ,臂一旋轉,透過臂53,臂52往縮回方向一 移動,被保持在臂5 2上之晶圓W與臂5 2 —同被縮回, 如圖6所示般地,與配置構件6 0之彈性構件6 3,6 3 接觸。再者,臂5 2被縮回時,配置構件6 0阻止晶圓W 與臂一同地移動。因此,晶圓W在臂5 2上被推往臂之突 起部52一,52'。藉由此突起部52一,52一與配 置構件之彈性構件6 3 ,6 3被夾住。此時,設晶圓W被 配置於臂5 2上之位置爲規定之位置,在臂5 2被縮回之 動作時,晶圓W必定成爲被配置於臂5 2上之規定之位置 0 晶圓W由於係在臂5 2上之規定位置藉由突起部 5 2 >與配置構件6 0被固定,例如如圖6所示般地,搬 送機構5 1即使旋轉移動,或雖然未圖示出,搬送機構 5 1即使全體並進移動,晶圓W不會由規定位置偏離。 參考圖7及圖8說明使用上述本發明之搬送機構51 ’由處理室卸載晶圓,將其裝載於其它之處理室之動作。 爲了卸載位於處理室內之晶圓工作台2 1上之處理位 置之被處理晶圓,如圖7 ( a )所示般地,至被形成於位 於處理位置之晶圓之邊緣部與臂5 2之突起部5 2 /之間 之間隔,即間隙只產生規定値之位置(伸長位置)爲止, 使臂5 2在晶圓w之下方,通過銷5 5之間地,伸長於處 本紙張尺度適用中國國家標準(CNS)A4規格(2〗0 X 297公釐) (請先閱讀背面之注意事項再填寫本頁) ------ - 訂- 經濟部智慧財產局員工消費合作社印製 -10- 419 77 3 A7 _ B7 五、發明說明έ ) 理室內。此間隙例如因電漿產生之電荷的影響,即使晶圓 之位置偏離,也不會擱於突起部5 2 —之程度,通常定爲 2 m m之程度。 接著’如圖7 ( b )所示般地,銷2 2下降,晶圓W 被移往臂上。此時,晶圓之中心(圖中以黑三角顯示)與 晶圓位於臂上之規定位置時之晶圓之中心位置(圖中以白 色三角顯示)一般並不一致。而且,藉由旋轉機構5 5, 臂5 4 —旋轉,臂5 2被縮回,晶圓W由處理室被排出( 圖 7 ( c ))。 臂5 2在保持晶圓W之狀態下一旦被縮回,與配置構 件6 0之彈性片6 3接觸。彈性片6 3只阻止臂5 2上之 晶圓W之移動。再者,臂5 2 —被縮回,彈性片6 3將晶 圓W在臂5 2上推往突起部5 2 > 。而且,晶圓W之邊緣 部與突起部5 2 >接觸時,臂5 4之旋轉停止,臂5 2之 縮回終了(圖7 ( d ))。如此,晶圓W成爲被配置於臂 5 2上之規定位置,即晶圓W之中心與臂5 2之規定位置 之中心一致之位置。 要將晶圓送往其它處理室時,如圖6所示般地,使搬 送機構5全體旋轉移動。晶圓由於係被夾住於配置構件 6 0與突起部5 2 >之故,藉由此旋轉移動,不會由規定 位置偏離,因此,可以高速地將晶圚送往其它處理室。此 時,配置構件6 0可以與臂5 2 —齊地旋轉地,有必要被 安裝於旋轉機構5 5。又’此例係使搬送機構5 1全體旋 轉移動,送往其它處理室’當然也可以藉由使搬送機構 本紙張尺度適用t國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁)
* --I I ^ 111·11 I J I I 經濟部智慧財產局員工消費合作社印製 -11 - 經濟部智慧財產局員Η消費合作杜印製 ‘ 419773 A7 B7 五、發明說明6 ) 5 1全體並進移動之移動手段’移動至在其它之處理室可 以裝載晶圓之位置。 晶圓W在被送往其它處理室時,如圖8 ( a )所示般 地,臂5 2伸長於處理室內。臂5 2之伸長進行至臂上之 晶圓W之中心(圖中之白三角印)與晶圓工作台2 1 -之 中心(圖中之黑三角印)一致爲止。 如上述般地,臂上之晶圓藉由配置構件與突起部 5 2 >被夾住’被配置、維持於規定之位置之故,臂5 2 只需伸長之所希望之處,臂上之晶圓W之中心與晶圓工作 台2 1 >之中心可以一致。而且,銷22 上昇,臂5 2 一被縮回(圖8 (b),圖8 (c)),晶圓W成爲被配 置於晶圓工作台2 1 >之所希望之位置,即處理位置。 如此,晶圓藉由臂之突起部與配置構件被夾住之故, 臂只需伸長,可以正確地配置於處理室內。在此配置並不 要求嚴密正確性之搬送,於臂之縮回之際,即使晶圓之邊 緣部與突起部之間有間隙亦可。但是,於晶圓之處理中, 此間隙即使大,也寄望在2 m m,最好在1 . 5 m hi以下 〇 上述說明之例雖係由1個之處理室往其它之處理室搬 送晶圓者,但是並不限於此,由收存晶圓之卡匣往處理室 ,或反之搬送之情形,本發明之搬送機構也可以適用。又 ,也可以理解搬送物並不限定爲晶圓。 又,於半導體晶圓之搬送,由於微粒之產生爲重要之 問題,又,於半導體晶圓之搬送,由於微粒之產生爲重耍 ------I ------ — 1 I I (請先閱婧背面之>i*意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS>A4規格(210x297公釐) -12- 419773 A7 B7 五、發明說明) 之問題,搬送速度、臂之形狀、與晶圓接觸之突起部、配 置構件之形狀、彈性皆係適當決定爲以不產生微粒爲宜。 {請先閱讀背面之注項再填寫本頁) 【發明之效果】 藉由本發明,搬送被處理體之臂構件只在前端具有突 起部,變成不需要收存被處理體之凹處,因此,將被收存 之被處理體移往臂構件之際,不需要將臂構件正確地定位 於被收存於收存部內之被處理體之位置,搬送控制變得簡 便。而且,被處理體在搬送工程中之縮回動作中,被配置 於臂構件之規定位置,因此,只需單純將臂構件伸長,可 以將被處理體搬送於所希望之位置。 又,被處理體藉由臂構件之突起部與配置構件被夾住 ,被保持於臂構件上之故,不會由臂構件上之規定位置偏 離,因此,可以將被處理體保持於臂構件上之規定位置下 ,往其它之收存部高速地移動。藉由此,可以謀求搬送之 高速化。 經濟部智慧財產局員工消費合作社印製 .再者,本發明不借用吸引力保持被處理體之故,即使 在常壓下之搬送、減壓下之搬送都可以適用。 再者,本發明雖然配置構件係必要者,但是實質上不 須改變利用臂構件之搬送機構,可以利用此實施之。 【圖面之簡單說明】 圖1係顯示習知之搬送機構之斜視圖。 圖2係顯示全體旋轉移動狀態之圖1之習知的搬送機 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -13- 419773 A7 __B7___ 五、發明說明61 ) 構之斜視圖。 ·, 一, 圖顯示使用圖1之搬送機構,將晶圓由處理室卸 載之各動_弋 圖示使用圖1之搬送機構,將晶圓往處理室裝 載之各動作。 圖5係顯示本發明之搬送機構之斜視圓。 圖6係顯示全體旋轉移動狀態之圖5之搬送機構之斜 視圖。rz穿 圖示使用圖5之搬送機構,將晶圓由處理室卸 載之各 圖示使用圖5之搬送機構,將晶圓往處理室裝 載之各動作。 【標號之說明】 5 1 :本發明之搬送機構,52 :臂,52 > :突起部, 53 :臂,54 :臂,55 :旋轉機構,60 :配置構件 ,6 1 :水平部份,6 2 :垂直部份,6 3 :彈性片 1 I I ^----- ^ - I I--— II ^ - — I!———— (請先閲讀背面之^'意事項再填寫本頁) 經濟部智慧財產局負工消費合作社印製 -14- 未紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐)

Claims (1)

  1. i 419TT3 g ' D8 六、申請專利範圍 1 . 一種搬送機構,其係將被處理體搬入或搬出收存 部之搬送機構,其特徵爲由:(a)前端具有可與被處理 體之邊緣部接觸之突起部,保持上述被處理體之臂構件, 及(b )爲了將上述被處理體對於上述收存部搬入或搬出 ,在保持上述被處理體之狀態下,使該臂構件在縮回位置 與伸長位置之間往復移動之移動機構, 及(c )位於上述臂構件之附近,將被保持之上述被處理 體配置於上述臂構件上之規定位置用之可與被處理體之邊 緣部接觸之配置構件所形成, 藉由上述移動機構,上述臂構件往上述縮回位置移動 時,上述配置構件與被保持在上述臂構件上之上述被處理 體之邊緣部接觸,只阻止上述被處理體之移動,配置於上 述臂構件上之規定位置。 2 ·如申請專利範圍第1項記載之搬送機構,其中上 述配置構件及上述突起部之至少其中一方之與上述被處理 體之邊緣部接觸之部份係由彈性體構成。 3 ·如申請專利範圍第1項記載之搬送機構,其中藉 由上述臂構件之往上.述縮爲位置移動,上述被處理體在位 於上述規定位置時’上述被處理體與上述突起部及上述配 置構件接觸而被夾住。 4 .如申請專利範圍第1項記載之搬送機構,其中藉 由上述臂構件之往上述縮爲位置移動,上述被處理體在位 於上述規定位置時,上述被處理體之邊緣部與上述突起部 之間有間隙》 本紙張/LA適用中關家揉率(CNS > A4^ ( 21GX297公釐) (請先閲讀背面之注意事項再填寫本頁) 訂- 線 經濟部智慧財產局員工消費合作社印製 ~ 15 - .'41 977 3 as * C8 D8 六、申請專利範圍 5 .如申請專利範圍第4項記載之搬送機構,其中上 述間隙爲2 m m以下之間隔。 (請先閱讀背面之注意事項再填寫本頁) 6 .如申請專利範圍第1項記載之搬送機構,其中上 述收存部係處理室,上述移動機構藉由上述臂構件之往上 述縮回位置移動,將被配置於上述規定位置之上述被處理 體,在使臂構件移動於上述仲長位置下,搬入上述處理室 內之處理位置。 7 .如申請專利範圍第3項或第4項記載之搬送機構 ,其中上述收存部爲複數個, 上述移動機構具有在將上述臂構件維持於上述縮回位 置之狀態,向者上述各收存部移動之移動收段u 8 . —種搬送方法,係一種由其中一方之收存部往另 一方之收存部搬送被處理體之方法,其特徵爲由下述工程 所形成: (a )在前端具有可與被處理體之邊緣部接觸之突起 經濟部智慧財產局員工消費合作社印製 部,將保持上述被處理體之臂構件在上述其中—方之收存 部內,***至被收存於其中之被處理體之邊緣部與上述突 起部之間產生間隙之伸長位置爲止,將上述被處理體移往 上述臂構件之工程,及 (b )上述被移動之被處理體之邊緣部接觸位於上述 臂構件之附近之配置構件爲止地,將上述臂構件縮回之工 程,及 (c )再者,上述配置構件與上述臂構件上之被處理 體接觸,防止其之移動,至配置於上述臂構件之規定位置 本紙張尺度適用中國國家揉承(CNS ) A4規格(ZlOX297公釐) ‘419773申請專利範圍 A8 B8 C8 D8 經濟部智慧財產局員工消費合作社印製 之縮回位置地,將上述臂構件縮回之工程,及 (d )維持在上述縮回位置之上述臂構件,向著上述 另一方之收存部移動之工程,及 (e )在保持被配置於上述規定之位置之上述被處理 體Z狀態,將上述臂構件***上述另一方之收存部內之工 程。 9 ·如申請專利範圍第8項記載之搬送方法,其中上 述間隙在2 m m以上。 1 0 .如申請專利範圍第8項記載之搬送方法,其中 上述被處理體在其被配置於上述臂構件上之上述規定位置 時,與上述突起部及上述配置構件接觸而被夾住。 1 1 .如申請專利範圍第8項記載之搬送方法,其中 在上述臂構件上之上述規定之位置,配置上述被處理體時 ,在上述被處理體之邊緣部與上述突起構件之間具有間隙 ,該間隙即使大也爲1 . 5 m m。 1 2 如申請專利範圍第8項記載之搬送方法,其中 上述其它之收存部爲處理室,將上述臂構件***至其處理 室之處理位置爲止。 (請先閔讀背面之注項再填寫本f) 訂_ 泰紙張尺度適用中國國家標準(CNS ) A4規格(210X297公嫠) -17 -
TW088111793A 1998-07-22 1999-07-12 Wafer transportation mechanism TW419773B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP10206082A JP2000040728A (ja) 1998-07-22 1998-07-22 ウェハ搬送機構

Publications (1)

Publication Number Publication Date
TW419773B true TW419773B (en) 2001-01-21

Family

ID=16517533

Family Applications (1)

Application Number Title Priority Date Filing Date
TW088111793A TW419773B (en) 1998-07-22 1999-07-12 Wafer transportation mechanism

Country Status (5)

Country Link
US (1) US6305898B1 (zh)
EP (1) EP0975009A1 (zh)
JP (1) JP2000040728A (zh)
KR (1) KR20000011844A (zh)
TW (1) TW419773B (zh)

Families Citing this family (375)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3510177B2 (ja) * 2000-03-23 2004-03-22 株式会社東京精密 ウェハ研磨装置
JP4560898B2 (ja) * 2000-06-12 2010-10-13 ソニー株式会社 検査装置及び検査方法
GB2384309B8 (en) * 2000-10-13 2016-03-02 Irm Llc High throughput processing system and method of using
GB2370411B (en) * 2000-12-20 2003-08-13 Hanmi Co Ltd Handler system for cutting a semiconductor package device
US6592324B2 (en) * 2001-02-26 2003-07-15 Irm, Llc Gripper mechanism
US6638004B2 (en) * 2001-07-13 2003-10-28 Tru-Si Technologies, Inc. Article holders and article positioning methods
US6935830B2 (en) * 2001-07-13 2005-08-30 Tru-Si Technologies, Inc. Alignment of semiconductor wafers and other articles
JP2003037146A (ja) * 2001-07-24 2003-02-07 Asm Japan Kk バッファ機構を有する半導体製造装置及び方法
JP2003095435A (ja) * 2001-09-27 2003-04-03 Ebara Corp 四辺形基板搬送ロボット
JP4224278B2 (ja) * 2001-10-12 2009-02-12 シーケーディ株式会社 アライナ装置
US6899507B2 (en) 2002-02-08 2005-05-31 Asm Japan K.K. Semiconductor processing apparatus comprising chamber partitioned into reaction and transfer sections
JP4283559B2 (ja) * 2003-02-24 2009-06-24 東京エレクトロン株式会社 搬送装置及び真空処理装置並びに常圧搬送装置
US7235806B2 (en) * 2003-05-16 2007-06-26 Asm America, Inc. Wafer edge with light sensor
US6823753B1 (en) * 2003-05-16 2004-11-30 Asm America, Inc. Sensor signal transmission from processing system
US7654596B2 (en) * 2003-06-27 2010-02-02 Mattson Technology, Inc. Endeffectors for handling semiconductor wafers
JP4262064B2 (ja) * 2003-11-28 2009-05-13 株式会社ダイヘン 搬送ロボット
KR100576150B1 (ko) * 2004-08-12 2006-05-03 세메스 주식회사 기판 이송 장치
JP4313284B2 (ja) * 2004-11-15 2009-08-12 大日本スクリーン製造株式会社 基板処理装置
US20060113806A1 (en) * 2004-11-29 2006-06-01 Asm Japan K.K. Wafer transfer mechanism
CN1824592B (zh) * 2005-02-25 2012-02-29 细美事有限公司 晶片传送装置
CN100362620C (zh) 2005-08-11 2008-01-16 中微半导体设备(上海)有限公司 半导体工艺件装卸装置及其装载和卸载方法
JP4616731B2 (ja) * 2005-09-01 2011-01-19 東京エレクトロン株式会社 塗布、現像装置
KR101489963B1 (ko) * 2007-12-13 2015-02-04 한국에이에스엠지니텍 주식회사 박막 증착 장치 및 이를 이용한 증착 방법
US8273178B2 (en) 2008-02-28 2012-09-25 Asm Genitech Korea Ltd. Thin film deposition apparatus and method of maintaining the same
KR100945239B1 (ko) 2008-05-15 2010-03-03 주식회사 테스 웨이퍼 이송로봇 및 웨이퍼 이송방법
JP5202205B2 (ja) * 2008-09-17 2013-06-05 株式会社アルバック 搬送装置及び真空装置
KR100980706B1 (ko) * 2008-09-19 2010-09-08 세메스 주식회사 기판 이송 장치, 이를 갖는 기판 처리 장치 및 이의 기판 이송 방법
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
CN101633441B (zh) * 2009-08-19 2012-05-23 友达光电股份有限公司 基板处理***及其基板搬运装置
JP5589790B2 (ja) * 2010-03-31 2014-09-17 株式会社安川電機 基板搬送用ハンドおよび基板搬送ロボット
US9093485B2 (en) * 2010-05-26 2015-07-28 Ulvac, Inc. Transport method
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
CN103700613B (zh) * 2013-12-18 2016-02-03 京东方科技集团股份有限公司 一种真空机械传送***
US9370863B2 (en) * 2014-02-04 2016-06-21 Asm Ip Holding B.V. Anti-slip end-effector for transporting workpiece
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US11175309B2 (en) 2014-12-24 2021-11-16 Qualitau, Inc. Semi-automatic prober
US9536764B2 (en) 2015-01-27 2017-01-03 Lam Research Corporation End effector for wafer transfer system and method of transferring wafers
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TW202405221A (zh) 2018-06-27 2024-02-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5374147A (en) 1982-07-29 1994-12-20 Tokyo Electron Limited Transfer device for transferring a substrate
US5022695A (en) * 1989-01-30 1991-06-11 Texas Instruments Incorporated Semiconductor slice holder
US5162047A (en) 1989-08-28 1992-11-10 Tokyo Electron Sagami Limited Vertical heat treatment apparatus having wafer transfer mechanism and method for transferring wafers
JPH03273663A (ja) 1990-03-23 1991-12-04 Canon Inc 基板保持装置
JP2676334B2 (ja) * 1995-07-31 1997-11-12 住友重機械工業株式会社 ロボットアーム

Also Published As

Publication number Publication date
KR20000011844A (ko) 2000-02-25
EP0975009A1 (en) 2000-01-26
US6305898B1 (en) 2001-10-23
JP2000040728A (ja) 2000-02-08

Similar Documents

Publication Publication Date Title
TW419773B (en) Wafer transportation mechanism
JP3062517B2 (ja) 物品整列装置
KR20040043093A (ko) 무인반송차
JP2012116528A (ja) テーピングユニット及び電子部品検査装置
JP5263587B2 (ja) 密閉容器の蓋開閉システム及び蓋開閉方法
TW414934B (en) Transfer apparatus and vertical heat-processing system using the same
JP4202102B2 (ja) 半導体装置のテーピング装置
JP5371127B2 (ja) 電子部品の処理装置及びその処理方法
KR102107780B1 (ko) 웨이퍼 정렬 장치 및 방법
KR20150103684A (ko) 프로브 장치 및 웨이퍼 반송 시스템
JP2007315794A (ja) 成形容器の検査装置および検査方法
JPS62290616A (ja) ウエハ搬送機構
JPH0922933A (ja) 基板搬送方法及びその装置
JPH1092850A (ja) 半導体部品の搬送装置
JPH02174244A (ja) ウェハキャリア用治具枠およびウェハ移換装置
JPH053241A (ja) 板状体搬送装置
JPH04173536A (ja) バーコードラベル貼り付け装置
CN213084408U (zh) 运输装置
CN219418991U (zh) 晶圆承载装置
JPH10275201A (ja) Icカード発行装置
JP2021004109A (ja) 電子部品の処理装置
JP2020021106A (ja) カードリーダの調整方法
JP4825133B2 (ja) 電子部品ハンドリング装置および電子部品の位置ずれ修正方法
KR20240006150A (ko) 얼라인 버퍼 장치 및 기판 처리 장치
JPH10326818A (ja) 半導体製造装置

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent