TW294819B - - Google Patents

Download PDF

Info

Publication number
TW294819B
TW294819B TW083111996A TW83111996A TW294819B TW 294819 B TW294819 B TW 294819B TW 083111996 A TW083111996 A TW 083111996A TW 83111996 A TW83111996 A TW 83111996A TW 294819 B TW294819 B TW 294819B
Authority
TW
Taiwan
Prior art keywords
gas
sensor
heat exchange
channel
temperature
Prior art date
Application number
TW083111996A
Other languages
English (en)
Original Assignee
Tokyo Electron Co Ltd
Tel Yamanishi Kk
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Co Ltd, Tel Yamanishi Kk filed Critical Tokyo Electron Co Ltd
Application granted granted Critical
Publication of TW294819B publication Critical patent/TW294819B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • C23C16/463Cooling of the substrate
    • C23C16/466Cooling of the substrate using thermal contact gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/2001Maintaining constant desired temperature

Description

經濟部中央樣準局貝工消费合作社印製 A7 __B7 五、發明説明(1 ) 〔發明之背景〕 本發明係有關於在電漿下處理半導體晶圓等之基板用 之電漿處理裝置及電漿處理方法。 最近如1 6MDRAM或6 4MDRAM之高積體化 之半導體裝置,電路布線(配線)之線寬逐漸形成半微米 級或1 / 4微米級之精密級。對於如此之超細微加工,係 使用減壓CVD (化學汽相澱積)裝置,濺射裝置或蝕刻 裝置,灰化(A s h i n g )裝置等。例如在反應性離子蝕刻( R I E )裝置,係以進行各向異性蝕刻,而去除部分之矽 晶圓W上之氧化矽(s i 0 2 ) 3,以形成如圖3所示之具 有垂直側壁5之接觸孔4。 然而,在實施各向異性蝕刻處理中,除了反應性離子 之外’於電漿中亦同時會產生化學性上爲活性之根(基) (活性種〉。而如此之活性根可與Si〇2產生反應,而 使S i 〇 2膜3被實施各向同性蝕刻。其結果,將形成如 圖1所示之具有彎曲(Bowing)形狀之周圍側壁5之接觸 孔4 ,或形成如圖2所示之具有推拔(Ta p e r,傾斜)形 狀之周圍側壁5之接觸孔4。爲此,將感應器上之晶圓予 以冷卻,以減少電漿輻射熱之影響,而予以抑制活性根之 產生。 另一方面,爲了獲取均匀之蝕刻率,有必要儘可能地 令處理中之晶圓表面予以保持成均勻之溫度。惟遍及整面 要使晶圓完全貼緊於感應器(下部電極)乙事,事實上極 爲困難,而且僅以固體間之相互接觸之熱傳導,並無法使 本紙張尺度適用中國國家標芈(CNS ) A4規格(21〇χ 297公釐) ^訂. 線 (請先閱讀背面之注意事項另填寫本頁) 經濟部中央標準局員工消费合作社印製 A7 B7 五、發明説明(2 ) 晶圓之冷卻成爲極充分。如此之冷卻不夠充分之問題,並 非僅限於蝕刻裝置,亦在電漿CVD裝置或電漿灰化裝置 中會產生。在該等裝置,爲使處理室內形成數百托(Τογγ )至數毫托爲止之高眞空狀態,而晶圓會自感應器成爲空 絕熱,致使兩者間之熱交換成爲不佳。爲此,將導入氣體 於晶圓和感應器之相互間隙中,而由熱交換(用)氣體來 促進晶圓/感應器兩者間之熱交換,以令晶圓可迅速且均 勻地予以冷卻。 然而,如圖4所示,雖在晶圓中央區域形成概略均勻 之溫度分布,惟在晶圓周緣區域則形成不均勻之溫度分布 。通常,晶圓周緣區域一方因會形成較晶圓中央區域者更 髙的溫度,因此晶圓周緣區域將形成如圖1或圖2所示之 接觸孔側壁之垂直特性爲不良之各向異性之蝕刻。 再者,先前裝置之感應器,將感應器外徑形成較晶圓 直徑爲小,以形成不受到電漿之照射損傷。以如此之裝置 時,因並無法將突出於感應器外側之晶圓周緣部,冷卻成 如其他之部分,致使蝕刻率形成不均勻。 爲此,本發明之目的,係擬提供可使被處理基板之面 內溫度分布成爲相同,而可實施均勻且良好之處理的電漿 處理裝置及電漿處理方法者。 本發明之電漿處理裝置,係在電漿產生中,使用熱交 換氣體來增進由感應器所賦與被處理基板之冷卻效率的電 漿處理裝置,其特徵爲具備有: 第1通道,開口於感應器上面之周綠部: 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) ----------¾.----J.1 訂-------線 (請先閱讀背面之注意事項再填寫本頁) 經濟部中央標準局貝工消費合作社印製 A7 _B7___ 五、發明説明(3 ) 第1氣體供給機構,藉該第1通道來供熱交換氣體給 予形成在感應器和被處理基板之間的微小間隙用; 第1排氣機構,藉第1通道來予以排氣形成在感應器 和被處理基板之間的微小間隙內用; 第2通道,開口於感應器上面之中央部: 第2氣體供給機構,藉該第2通道來供熱交換氣體給 予形成在感應器和被處理基板之間的微小間隙用: 第2排氣機構,藉第2通道來予以排氣形成在感應器 和被處理基板之間的微小間隙內用;及 控制機構,個別予以控制第1及第2之氣體供給機構 以及第1及第2之排氣機構,以令由第2氣體供給機構及 第2排氣機構所產生於第2通道內之背壓形成較由第1氣 體供給機構及第1排氣機構所產生於第1通道內之背壓更 低0 有關本發明之電漿處理方法,係在電漿產生中,以使 用熱交換氣體來增進由感應器所賦與之被處理基板之冷卻 效率用之電漿處理方法,其特徵爲: 邊予以排氣形成於感應器和被處理基板之間之微小間 隙內,且邊從感應器上面之周緣部開口導入第1熱交換氣 體於前述間隙,並同時亦由感應器上面之中央部開口導入 第2熱交換氣體, 而且令前述第1熱交換氣體之背壓使之形成較前述第 2熱交換氣體之背壓更高。 触刻處理中之晶圓,將受到電漿輻射熱而使表面溫度 本紙張尺度適用中國國家標隼(CNS ) A4現格(210 X 297公釐) ---------1衣------—、玎-------^ (請先閱讀背面之注意事項再填寫本頁) 經濟部中央標準局員工消費合作社印裝 A7 _____B7 五、發明説明(4 ) 升高。例如,即使將感應器冷卻至一1 〇。(:之時,實際之 晶圓表面溫度會達到4 0〜5 0 °C。倘若該狀況下,僅予 以單純地提高熱交換氣體之背壓來提高冷卻能力之時,就 形成如圖4所示,晶圓周緣區域和晶圓中央(中心)區域 之溫度差愈形成爲大,而會產生不均勻之蝕刻。當逆流氣 體(Back Gao)以同樣之壓力來供給時,晶圓周綠區域, 無論怎樣溫度會形成較中央區域爲高。 爲此,使供晶圓中央區域之氣體供給系統和供晶圓周 緣之氣體供給系統形成個別之另一系統,且令晶圓中央區 域之氣體背壓予以形成較晶圓周緣區域之氣體背壓爲體, 以企圖性地將在晶圓中央區域之以氣體所進行之熱交換率 使之變小時,就可獲得如圖5所示之溫度分布。然而,從 晶圓中央至較狹窄之區域,雖會形成與晶圓周緣區域約相 同程度之溫度,惟在晶圓中間區域,就形成較其他之區域 爲低之溫度。尤其大尺寸之晶圓時,中間區域和周緣及中 央區域之溫度差會形成相當大之差異。 爲此,在晶圓中間區域,再追加另一系統之氣體供給 系統,以令晶圓中間區域之氣體背壓亦形成較晶圓周緣區 域之氣體背壓爲低之時,就可獲得如圖1〇及圖11所示 之概略成均勻之溫度分布。 〔實施例〕 以下,將參照所附上之圖式來對於本發明之種種實施 例加以說明。在第1實施例,將參照圖6〜圖1 1未對適 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) ---------种衣----J-I訂-------^ (請先閱讀背面之注意事項再填寫本頁) A7 294819 _____B7____ 五、發明説明(5 ) 用於電漿蝕刻裝置時加以說明。 第1實施例之裝置1 0係具備上部電極(簇射電極) 5 3及下部電極(感應器)1 4之反應性離子蝕刻( R I E)裝置。該R I E裝置1 0具備有以鋁等之導電性 材料而形成圓筒狀之處理室(Process chamber) 1 1 ° 該處理室1 1被構成氣密之構造,並在其下部具有排氣管 1 2,而在上部具有處理(用)氣體供給管5 4。排氣管 1 2係連通於眞空泵(未圖示)之吸入側,以令處理室 1 1之內壓被抽眞空成例如1 〇-2To r r(托)以下之高 眞空度。又在處理室1 1內之底部配設有作爲下部電極之 感應器14,而感應器14本體係以鋁合金所構成。感應 器1 4上面貼附著靜電夾盤1 8,並由該夾盤來使晶圓W 以靜電吸附加以保持之結構。而感應器1 4上部之直徑形 成較晶圓之直徑爲小。 感應器1 4內部形成有流動液化氮等之冷媒用之冷媒 通道1 5。再者,對於該感應器1 4 ,藉阻隔(直流)電容器 1 6施加有高頻電源1 7,而在感應器1 4形成施加有 13. 56MHz之高頻電壓。 又在感應器1 4上面,以黏接劑貼附著與其同一外徑 之靜竃夾盤1 8。該靜電夾盤1 8之導電性金屬膜1 9係 以絕緣性膜2 0挾持者其兩面。導電性金屬膜係由銅箔等 所構成,而絕緣性膜2 0係由聚醯亞胺系樹脂薄膜等所構 成。該導電性金屬膜1 9連接有直流電源2 1 。 感應器1 4內形成有空間1 3 ,而4支升降(用)銷 本紙張尺度適用中國國家標準(CNS ) A4規格(2丨0X 297公釐) . ----11II·------線 (請先閲讀背面之注意事項-S填寫本頁) 經濟部中央梂準局員工消费合作社印製 經濟部中央揉準局負工消费合作社印製 A7 ____B7_ 五、發明説明(6 ) 3 2配設於空間1 3內。空間1 3上部係藉4個縱向通道 2 4而開口於感應器1 4之上面。而升降銷3 2之個個係 由缸筒機構1支撑成可升降於縱向通道2 4之個個。缸筒 機構3 1之驅動(用)開關係被連接於控制器3 0之輸出 部。而構成當朝上方突出升降銷3 2時,晶圓W可由升降 銷3 2而被提髙於感應器1 4上方。 又石英製之聚焦環2 2被配設於感應器1 4之周圍, 以令所產生之電漿,形成可朝半導體晶圓W—方予以會聚 0 又在下部電極1 4上方,配設有隔著1 5〜2 Omm 之間隔而成相對面之上部電極5 3。該上部電極5 3上面 中央裝設有貫穿處理室11上面中央且連通於蝕刻氣體之 供給源(未圇示)之供給管5 4。又在上部電極5 3下面 整面形成有多數之氣孔。上部電極5 3係被接地著以維持 接地電位。 在第1氣體供給排氣(用)裝置2 7連通有氣體通道 2 5a ,2 5b ,而在第2氣體供給排氣(用)裝置2 8 連通有氣體通道2 6 a,2 6 b。第1氣體供給排氣裝置 ,具備有氣體供給源2 7 a,質量流控制器(MFC ) 2 7 b,可變閥2 7 c ,眞空泵2 7 d及壓力察覺器 2 7 e。第2氣體供給排氣裝置2 8 ,具備有氣體供給源 28a ,MFC28b ,可變閥28c ,眞空泵28d及 壓力察覺器2 8 e ,。而壓力察覺器2 7 e,2 8 e係個 別被連接於控制器3 Q之輸入部。氣體供給源2 7 a, 本紙張尺度適用中國國家梯準(CNS ) A4規格(210 X 297公釐) ----------^-----„-I訂-------# (請先閲讀背面之注意事項填寫本頁) 經濟部中央梂準局員工消費合作社印ιί A7 B7 五、發明説明(7 ) 28a,MFC27b,28b,可變閥 27c ,28c ’眞空泵2 7 d,2 8 d,係個別被連接於控制器3 〇之 輸出部。 氣體供給源2 7 a,2 8 a係收容有氦氣。當作熱傳 導性氣體,可舉出除了氦氣之外,例如氖,氬,氙等之惰 氣,或氮氣+氫氣,氮氣+氧氣等之反應性氣體。尤其, 擬使用反應性氣體之時,最好使從第1氣體供給排氣裝置 2 7所供給之反應性氣體,和從第2氣體供給排氣裝置 2 8所供給之反應性氣體有不相同,並在半導體晶圓W和 感應器1 4間之微細間隙中,可互相引起收熱反應者。因 收熱反應不僅僅可增進熱傳達率,亦可由來自晶圓W之收 熱而更可增進冷卻效率。但在作爲熱傳導性氣體來供給反 應性氣體之時,有需要選擇該等之氣體及所產生之氣體對 於電漿處理不產生影響之氣體者。 氣體通道2 5 a係藉MFC 2 7 b連通於第1氣體供 給源2 7 a。氣體通道2 5 b則藉可變閥2 7 c連通於第 1眞空泵2 7 d。而氣體通道2 6 a係藉MFC 2 8 b連 通於第2氣體供給源2 8 a,氣體通道2 6 b則藉可變閥 2 8 c連通於第2眞空泵2 8 d。各氣體通道2 5 a, 2 6 a,2 6 b,係在感應器1 4內部被形成互相不千涉 之狀態之獨立狀態,而且被形成亦對冷媒通道1 5不產生 干涉之獨立狀態於感應器14之內部。 在感應器1 4內埋設有3個溫度察覺器3 7 a , 3 8 a ,3 9 a。第1溫度察覺器3 7 a係位於晶圓周緣 本紙張尺度適用中國國家棣準(CNS ) Α4規格(210Χ 297公釐)-川 (請先閱讀背面之注意事項夬填寫本頁) -裝- 訂.丨 經濟部中央標準局員工消費合作社印製 A7 B7 五、發明説明(8 ) 部之正下面,第2溫度察覺器3 8 a係位於晶圓中間部之 正下面,而第3溫度察覺器3 8 a係位於晶圓中央部之正 下面。各溫度察覺器3 7 a,3 8 a,3 9 a係個別經由 檢測器3 7 b,3 8 b,3 9 b連接於控制器3 0之輸入 部。 如圖7及圖8 A所示,在靜電夾盤1 8周緣部形成有 4條圓弧狀之溝4 1 ,而在各溝4 1底部,個別予以形成 3個貫穿孔3 9之開口。氣體通道2 5 a係在感應器1 4 內部連通於水平通道2 3,並再連通於靜電夾盤1 8之貫 穿孔3 9。再者,靜電夾盤1 8之銅箔1 9之厚度係1 0 〜1 Ο Ομιώ,各聚醯亞胺膜2 0之厚度爲1 0〜1 0 0 ^ m 0 如圖7及圖8B所示,靜電夾盤18中央部有4個開 口之通道2 4 ,而在夾盤1 8中間部則有8個通道4 0之 開口。通道2 4 ,3 9 ,4 0之開口,該等直徑期盼爲 0. 1〜2. 0mm,而最好爲0. 5〜1. 2mm。又 開口數期盼愈多爲佳,作爲6吋直徑之晶圓及8时直徑之 晶圓而言,最好穿設8〜2 0 0個之開口。各群之開口期 盼排列成同心圓狀。再者,期盼中央區域之開口群和中央 區域之開口群之互相間之距離在於5 0 m m以內,又中央 區域之開口群和周緣區域之開口群的互相間距離亦期盼在 於5 0 m m以內。予以形成如此之理由,係在隔離各群開 口之互相之間的距離成5 0mm以上之時,將使由背面氣 體(B a c k g a s )所形成之熱交換效果變小,尤其,可使中 本紙張尺度適用中國國家標準(CNS)A4規格( 210X 297公釐)_ 11 批衣----1J—1T------^ (請先閱讀背面之注意事項-S填寫本頁) A7 A7 經濟部中央橾準局負工消费合作社印製 * B7 ___ 五、發明説明(9 ) 央區域較其他之區域形成過度地被冷卻(過冷)。 接著,將參照圖9之下來說明有關使用上述裝置以處 理晶圓W之狀況。 搬入晶圓W於被抽眞空之處理室1 1內。此時之處理 室之內壓力係設定於數托(Torr)至1 0 0托之間。載置 8时直徑之晶圓W於感應器14上,並將其以靜電夾盤 1 8吸附保持(過程S 1 )。供液體氮氣於冷媒流道1 5 以冷卻感應器14 (過程S2)。感應器14之冷卻設定 溫度爲例如_ 1 〇 °C。 邊將處理室1 1內予以進行眞空排氣,邊從上部電極 5 3供給蝕刻氣體於處理室1 1內,並控制處理室內歷力 成1 0 — 2 T Q r Γ以下之穩定狀態(過程S 3 )。蝕刻氣體之 組成係形成如下列。
C H F 3 氣體......3 1 ]s c c M C F 4 氣體......3 ( 〕s c c M A r 氣體......6 ( 3 0 s c C 予以判定處理室內壓力是否到達1 〇 〇r r以下(過 程S 4 )。當處理室內壓力達到設定値之時,就對於下部 電極1 4施加頻率1 3. 5 6MHz之高頻電壓,以在處 理室內使之產生電漿(過程S 5)。 晶圓W之表面受到所產生之電漿的輻射熱而使表面^ 升至室溫以上之溫度(4 0〜1 〇 〇 °C )。此時,在晶圓 張尺度適用中國國家標準(CNS )八4>£格(210x 297公釐)_ — ---—___ 111 τ 訂 線 (請先閱讀背面之注意事項-¾填寫本頁) A7 B7 經濟部中央搮準局貝工消費合作社印裝 五 發明説明(10 ) 1 1 W 之 背 面 側 之各區 域 9 個 別 供 給 背 面 氣 體 ( Back g a s ) 並 1 1 同 時 予 以 排 氣,以 維 持 兩 者 之 平 衡 0 實 施 背 面氣體 之 供 給 1 1 和 排 氣 之 平 衡調整 〇 以 令 晶 圓 周 緣 面 域 之 氣 體背壓 能 穩 定 1 於 方 請 1 I 1 0 2 5托之 範 圍 內 之 —* 定 値 〇 另 * 面,予 以 變 動 先 閱 1 I 晶 圓 中 間 區 域及中 央 區 域 之 氣 體 背 壓 ( 過 程 S 6 ) 0 在 該 讀 背 面 1 1 I 晶 圓 中 間 域及中 央 區 域 之 變 動 氣 體 壓 力 9 將以較 在 晶 圓 之 注 1 | 意 I 周 綠 區 域 之 一定氣 體 背 壓 爲 低 之 範 圍 予 以 變 動。在 各 15? 域 事 項, 1 1 之 氣 體 背 壓 ,期盼 以 如 下 列 條 件 來 加 以 控 制 0 填 寫 本 頁 1 裝 1 I 區 域 ; 晶圓周 緣 域 晶 圓 中 間 Id? 域及中 央 區 域 1 1 1 氣 體 背 壓 : 3 0托(成 一 定 ) 7 · 5〜12 . 5托(成 可 變 ) 1 1 I 25托(成 一 定 ) 7 · 5 ^ -12 . 5托(成 可 變 ) 1 訂 1 20托(成 — 定 ) 5 . 0〜1 0 . 0托(成 可 變 ) 1 1 1 5托(成 一 定 ) 5, 0 Λ -1 0 · 0托(成 可 變 ) 1 1 10托(成 一 定 ) 5 . 0 - -7 .5托(成可變) 1 | 線 圖 1 0 及圖1 1 係 橫 軸 作 爲 溫 度 檢 測 位 置,縱 軸 作 爲 1 1 I 檢 測 溫 度 而將供 予 各 區 域 之 氣 體 之 背 壓 5 個別加 以 改 變 1 1 來 個 別 調 査 周緣區 域 5 中 間 區 域 , 中 央 T5S* 域 之溫度 的 溫 度 1 1 分 布 圖 0 各 條件係 使 處 理 室 內 壓 力 爲 3 0 0 毫托( m m To Γ 1 1 ) 9 高 頻 施 加功率 爲 3 0 0 W ( 互 特 ) , 晶 圓和上 部 電 極 1 1 之 相 互 間 之 距離爲 1 5 C m , 靜 電 夾 盤 之 吸 持電流 爲 1 I 1 5 A > 感應器 溫 度 爲 — 1 0 °c 上 部 電 極之溫 度 爲 1 I 2 0 °C 處 理室內 壁 溫 度 爲 4 0 V , R F ( 射頻) 功 率 之 1 1 1 通 度 尺 張 紙 本 準 標 家 國 Μ 公 經濟部中央標準局員工消费合作社印製 A7 ____ B7______ 五、發明説明(η ) 施加時間爲2分鐘。並將晶圓周緣區域之溫度的檢測位置 ,使之位於從晶圓外周端有1Omm之處。 在圖'1 0所示之一方,係使晶圓周緣區域之氣體背壓 維持2 5托之一定値。又圖1 〇所示之溫度分布曲線P 1 係形成晶圓中央區域之氣體背壓爲12. 5托,曲線P2 係形成晶圓中央區域之氣體背壓爲1 〇托,曲線P 3係使 晶圓中央區域之氣體背壓成爲7 . 5托,曲線P 4係使晶 圓中央區域之氣體背壓成爲5托,曲線P 5係使晶圓中央 區域之氣體背壓成爲2. 5托之時之結果。 另一方面,在圖1 1所示之一方’將維持晶圓周緣區 域之氣體背壓於2 0托之一定値。圖1 1所示之溫度分布 曲線P 6係使晶圓中央區域之氣體背壓成爲1 2 · 5托, 曲線P 7係使晶圓中央區域之氣體背壓成爲1 〇托,曲線 P 8係使晶圓中央區域之氣體背壓成爲7 . 5托,曲線 P 9係使晶圓中央區域之氣體背壓成爲5托,曲線P 1 0 係使晶圓中央區域之氣體背壓成爲2. 5托之時之結果。 從兩圖之內容可察明,當使晶圓周綠區域之氣體背壓成爲 2 5托(之一定値)時,晶圓中央區域之氣體背壓在 7. 5〜12. 5托(可變)爲最適宜之範圍,而在使晶 圓周緣區域之氣體背壓成爲2 0托(之一定値)時,晶圓 中央區域之氣體背壓在5. 0〜10. 0托(可變)爲最 適宜之範圍。 由於控制背壓氣體之背壓,而可使晶圓周綠區域成爲 室溫程度之溫度(2 0〜3 〇 °C )之同時,並亦可使晶圓 ---------裝----—丨訂「------線 (請先閱讀背面之注意事項Λ填寫本頁) 本紙張尺度適用中國國家標準(CNS ) Λ4規格(210X 297公釐) 14 294819 A7 _B7 五、發明説明() 中間逦域及中央區域之溫度成爲同樣程度。而此時,由氣 體所產生之在中間區域及中央區域之熱交換率會形成較周 綠區域之熱交換率爲小,故可抑制中間區域及中央區域之 過冷。反而從靜電夾盤18突出之晶圓周綠部一方,會較 其他部分被强有力之冷卻,其結果,可使晶圓W整體形成 極佳之平衡狀的冷卻。 以溫度察覺器3 7 a,3 8 a,3 9 a來檢測各區域 之溫度,以判定晶圓各部分是否維持於所定之處理溫度( 過程S 7 )。倘若晶圓各部分之溫度判定爲N 0 (否)之 時,更予以變動在中間區域及中央區域之背壓氣體之壓力 。而在晶圓各部分之溫度判定爲YES (是)之時,將在 從產生電漿經過所定時間之後,停止給予感應器1 4之高 頻電壓之施加(過程S)。再予以停止處理氣體之供給( 過程S 9 )。然後停止靜電夾盤1 8之供電,而由銷3 2 來使晶圓W從感應器1 4朝上方提高,以令晶圓W從處理 室1 1予以搬出(過程S 1 〇 )。其結果,晶圓W上之矽 氧化膜3,將均勻地予以進行各向異性之晶圓,而形成如 圖3所示之具有垂直側壁5之接觸孔4。 順便提出說明時,當晶圓表面以較室溫爲高之溫度帶 (4 0〜1 0 0°C)來進行各向異性蝕刻之時,就形成了 具有如圖1或圇2所示之埤曲形狀或斜面(推拔)形狀之 側壁5之接觸孔4。 再者,作爲替代氦氣構成從第1及第2之氣體供給排 氣機構2 7 ,2 8供給互相會產生反應之反應性氣體,例 先張尺度適用中國國家標準(CNS ) Λ4規格(210X297公釐)_ 1- ----------^------訂------^ (請先閱讀背面之注意事項县填寫本頁) 經濟部中央揉準局員工消费合作社印製
經濟部中央橾準局貝工消费合作社印$L A7 B7 五、發明説明(13 ) 如氮氣和氧氣亦可。若構成如此之時,該等氣體可在晶圓 W之正下面產生收熱反應,而使晶圓W之熱量被奪走,致 使更能在短時間內予以冷卻晶圓w,而可增進生產量。‘ 接著,將參照圖1 2〜圖1 4來說明第2實施例。再 者,第2實施例中,與上述第1實施例重複之部分,將予 以省略其說明。 此第2實施例之熱交換氣體之供給排出機構6 4 , 6 5,係與上述第1實施例之該部分者爲相異者。在感應 器6 1內形成有第1氣體通道6 2及第2氣體通道6 3。 如圖1 3所示,第1及第2之氣體通道6 2 ,6 3 , 係在感應器61中形成分岐,而均在感應器61上面之複 數處形成開口。第1及第2之氣體通道6 2 ,6 3,個別 連通於第1及第2之氣體供給排出機構6 4 ,6 5之配管 6 4 b,6 5 b。第1氣體供給排出機構6 4係具備氦氣 供給源6 4 a,質量流控制器6 4 c ,溫度調整用機構 6 9 ,壓力計6 4 e ,排氣系統之可變閥6 4 d。第2氣 體供給排出機構6 5係具備氦氣供給源6 5 a,質量流控 制器6 5 c ,溫度調整機構7 0 ,壓力計6 5 e ,排氣系 統之可變閥6 5 d。配管6 4 b,6 5 b係以例如不銹鋼 或因科鎳合金等之鎳合金所製成。 再者,替代從氣體供給源6 4 a,6 5 a所供給之作 爲熱交換氣體之氦氣而使用包含有處理氣體之混合氣體或 氬氣,氮氣,二氧化碳等亦可。又作爲可引起收熱反應之 氣體,亦可使用例如由(氮氣+氫氣)所形成之之混合氣 本紙張尺度適用中國國家標隼(CNS ) A4说格(2IOX2W公釐) 裝 訂 線 (請先閱讀背面之注意事項名填寫本頁) 16 A7 B7 經濟部中央標準局員工消費合作杜印震 五 發明説明( 14 ) 體 , 或 由 ( 氮 氣 + Μ 氣 ) 所 形 成 之 之 混 合 氣 體 作 爲 熱 交 換 1 1 氣 體 0 又 作 爲 可 引 起 發 熱 反 應 之 氣 體 > 亦 可 使 用 例 如 由 ( 1 I 氫 氣 + 氧 氣 ) 所 形 成 之 之 混 合 氣 體 , 或 由 ( 一 氧 化 碳 氣 體 1 I + 氧 氣 ) 所 形 成 之 之 混 合 氣 體 作 爲 熱 交 換 氣 體 0 請 先 閱 1 1 I 如 ran 圖 1 3 所 示 9 感 應 器 6 1 周 綠 部 配 設 有 8 個 之 第 1 讀 背 1 1 開 P 6 7 > 而 該 等 開 Ρ 6 7 係 連 通 於 第 1 氣 體 通 道 6 2 0 之 注 1 I 意 I 又 感 應 器 6 1 之 中 間 部 及 中 央 部 配 設 有 總 計 1 6 個 之 第 2 事 項 ί 1 1 開 □ 6 8 9 而 該 等 開 □ 6 8 係 連 通 於 第 2 氣 JHti 體 通 道 6 3 〇 填 寫 本 1 裝 8 個 第 1 開 P 6 7 ( 周 緣 部 ) 和 8 個 第 2 開 □ 6 8 ( 中 頁 1 I 間 部 ) 及 8 個 第 2 開 □ 6 8 ( 中 央 部 ) , 係 排 列 成 同 心 圓 1 I 狀 0 而 第 1 開 P 6 7 係 形 成 於 從 感 應 器 6 1 之 外 周 端 有 1 | 1 1 0 m m 之 位 置 5 第 1 及 第 2 之 開 □ 6 7 , 6 8 之 直 徑 9 1 訂 最 好 在 於 0 1 2 0 m m 0 又 開 □ 數 愈 多 愈 佳 , 最 好 1 1 爲 8 2 0 0 個 0 1 1 接 著 5 對 於 製 造 感 應 器 6 1 之 狀 態 加 以 說 明 〇 1 1 黏 貼 溫 度 檢 測 用 片 於 晶 圓 W 表 面 , 而 載 置 該 晶 圓 W 於 線 I 感 應 器 6 1 上 1 並 以 靜 電 夾 盤 予 以 吸 附 保 持 0 該 溫 度 檢 測 1 I 用 片 > 係 包 含 有 響 應 於 各 溫 度 而 顯 出 顏 色 之 敏 熱 ( 感 熱 ) 1 1 1 色 素 並 予 以 形 成 爲 帶 狀 或 圓 形 狀 等 而 以 觀 察 溫 度 檢 測 1 1 用 片 之 各 部 分 所 呈 現 之 顏 色 來 判 別 晶 圓 W 之 溫 度 分 布 0 將 1 1 進 行 如 此 之 作 業 複 數 次 y 以 掌 握 由 感 應 器 6 1 所 進 行 之 晶 I 1 圓 W 之 冷 卻 狀 況 0 以 如 此 並 對 於 感 應 器 6 1 和 晶 圓 W 之 熱 ! | 交 換 爲 不 佳 之 部 分 1 多 設 開 Ρ 6 7 5 6 8 而 對 於 熱 交 換 1 I 良 好 之 部 分 則 少 設 開 □ 6 7 6 8 〇 1 1 1 本紙張尺度適用中國國家標準(CNS )八4現格(210X29?公釐)_ 經濟部中央標準局負工消费合作社印裝 A7 _B7_ 五、發明説明(i5 ) 如圖1 4所示,第1溫度調整機構6 9係具備有溫度 調整(用)構件6 9 a,和線圈6 9 b及溫度控制器(未 圖示)。溫度調整用機構6 9 a係由捆了多數支毛細管之 管束所形成,而裝置於配管6 4 b內。線圈6 9 b係纏繞 於配管6 4 b外側,圍繞著溫度調整用構件6 9 a之裝設 區域。溫度控制器係形成藉線圈6 9 b來調整溫度調整用 構件 69a。 當要冷卻氦氣之時,線圈6 9 b係被構成作爲循環冷 媒用之冷卻線圈。而在冷卻線圈6 9 b和配管6 4 b之間 ,介居存在有作爲熱交換媒介之矽油脂。 在溫度調整構件6 9 a,除了使用圖1 4所示之將毛 細管多數支予以捆起來者以外,亦可使用多孔性體。該溫 度調整用構件6 9 a,最好由耐蝕性,耐產生塵埃性(防 止塵埃產生)之材料,例如與配管6 4 b同樣之材料或石 英等所形成。 接著,對於動作加以說明。 將以質量流控制器6 4 c實施流量控制之氦氣供予第 1通道6 2 ,並同時以質量流控制器6 5 c實施流量控制 之氦氣供予第2通道6 3。將該等供給氣體之壓力,以可 變閥6 4d,6 5d及壓力計6 4 e,6 5 e而維持成一 定。藉第1及第2之開口部6 7 ,6 8繼續地供給氣體於 感應器6 1和晶圓W之相互間隙中。到達於第1通道6 2 之氣體,將由第1溫度調整用機構6 9而調整成1 5 °C之 溫度。另一方面,到達第2通道6 3之氣體’將由第2温 本紙伕尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) 18 ---------批衣----1--訂·------線 (請先閱讀背面之注意事項i填寫本頁) 經濟部中央梂準局員工消費合作杜印製 A7 B7 五、發明説明(16 ) 度調整用機構7 0而調整成3 7 °C之溫度。並以經由第1 開口 6 7及第2開口 6 8所供給之氣體來設定晶圓W之整 面能形成約5 °C之溫度。 以載置監控板(mcnitar wafer) MW於感應器6 1 上之狀態下,從第1氣體供給機構6 4供一定流量之氣體 給予第1氣體通道6 2。並同時亦從第2氣體供給機構 6 5供一定流量之氣體給予第2氣體通道6 3。 當監控板MW無法被均匀地冷卻時,將監控板MW之 溫度分布以紅外線察覺器予以實施監控之同時,並由質量 流控制器6 4 c,6 5 c予以個別調整氣體流量,或由第 1及第2之溫度調整用機構6 9 ,7 0來調整氣體溫度, 以探測可使監控板M W整面之溫度形成約5 °C之氣體流量 或溫度。 與監控板M W之溫度在高溫部分和低溫部分之溫度差 極爲大之時,就實施由可變閥6 4 d或6 5 d來提高氣體 壓力以加大熱交換率,或使用氛氣等之洽(enthalpy)爲 大之惰性氣體來增進熱輸送能力,或由第1及第2之溫度 調整用機構6 9 ,7 0來調整氣體溫度之其中之一,使之 能在短時間內來使監控板M W整面之溫度加以定水平。 又將監控板MW整面形成均勻溫度之當時之氣體流量 及調整氣體溫度之條件,設定登記於未圖示之控制裝置之 記憶裝置。 當要進行蝕刻處理時,對於處理室內以例如2 0 0 SCCM之流量來供給三氟乙烷(CHF3 )和一氧化碳 本紙張尺度適用中國國家標準(CNS ) Λ4規格(210x^97]公釐)_ _ ' I I —裝 I — I 訂 線 (請先閲讀背面之注意事項,-f.填寫本頁) 經濟部中央梂準局貝工消費合作社印製 A7 ___B7 五、發明説明(17 ) (C0)之混合氣體(混合比爲CHF3 /C0=4 5/ 1 5 5 ),並將蝕刻氣體之氣壓設定成例如4 X 1 Ο _2τ-orr之眞空度。接著,以14 5 OW施加13. 5 6 MHz之高頻電壓於感應器61 ,而藉蝕刻氣體在感應器 6 1和上部電極5 3之間使之產生放電,以產生電漿。主 要將由電漿中之反應性離子而使晶圓W被實施各向異性之 蝕刻。晶圓W雖接受電漿輻射熱而欲上高溫度,惟由感應 器6 1所冷卻著,以致晶圓W之溫度上昇將被抑制。 依據上述之第2實施例,對於溫度較5 °C高之晶圓W 周緣部,供給大流量之氣體來提高背壓,以使該部分之溫 度下降到5 °C。另一方面,對於較5 °C爲低之晶圓W中央 部及中間部,則以小流量來供給氣體,以使氣體背壓下降 而提昇該部分之溫度至5 °C爲止。以如此將可使晶圓W整 面之溫度定水準於5 Ό。 又在本第2實施例,有時看情況,以第1及第2之溫 度調整用機構6 9 ,7 0來調整供給氣體之溫度,以定晶 圓W整面之溫度於5 °C水平。 接著,將參照圖1 5來對於第3實施例加以說明。對 於與上述第1及第2之實施例有公同之部分的說明,將予 以省略其說明。 此第3實施例之蝕刻裝置,係構成藉開口於感應器 8 1上面周綠部之通道8 2來供給氣體,並將該供給氣體 藉開口於感應器8 1上面之中央部及中間部之通道8 3來 加以排氣。供給通道8 2係連通於氣體供給機構8 4之氣 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐)-20 ----------种衣------1T------^ (請先閱讀背面之注意事項丨填寫本頁) 經濟部中央樣準局員工消费合作社印裝 A7 _ _B7 五、發明説明(18 ) 體供給源8 4 a,而排氣通道8 3係連通於氣體排氣機構 8 5之氣體排氣裝置8 5 a。 氣體排氣機構具備控制裝置9 0。控制裝置9 0之輸 入部,以配線來連接著壓力計8 5 e,而控制裝置9 0之 輸出部,將可變閥8 4 d,8 5 d及質量流控制器8 4 c ,個別以配線予以連接著。 接著,對於第3實施例裝置之動作加以說明。 首先,以溫度調整用機構8 9調整供給氣體之溫度, 並用監控板MW進行溫度設定。從氣體排氣機構8 4供一 定流量之氣體給予通道8 2,而從複數之開口 8 7供氣體 給予監控板M W背面側。與此同時藉排氣開口 8 8予以排 氣。由而形成從周緣區域朝向中央區域之氣流。將背面氣 體之背壓以壓力計8 5 d來檢測,且傳遞其檢測信號至控 制裝置9 0 ,以控制質量流控制器8 4 c來使之形成最適 宜之氣體流量。而以如此被調整流量之氣體來調整監控板 MW之溫度。又對應於所需可將可變閥8 4 d,8 5 d之 打開度加以調整來調整氣體背壓。將此時之氣體溫度等予 以設定登記於控制裝置9 Q之記憶裝置。以如此地令監控 板MW整面之溫度略成均匀之後,方開始本來之蝕刻處理 〇 依照本實施例,監控板MW即使具有溫度分布之狀況 ,亦由熱交換氣體來積極地調整監控板MW之溫度’故可 使其整面之溫度定成水平。 又使背面氣體之壓力構成以排氣側之壓力計8 5 e來 本紙張尺度適用中國國家橾準(CNS ) Λ4规格(210X 297公釐) ---------裝----!訂------線 (請先閣讀背面之注意事項-?%寫本頁) A7 B7 經濟部中央標準局貝工消费合作社印製 五 發明説明(19 ) 1 | 檢 測 而 予 以 監 控 9 因 此 較 壓 力 計 配 設 於 氣 體 供 給 側 之 時 1 1 J 更 能 正 確 地 檢 測 監 控 板 Μ W 和 感 應 器 8 1 之 間 隙 的 內 壓 1 I 力 0 /-~V 1 I 接 著 9 將 參 照 圖 1 6 來 說 明 有 關 第 4 實 施 例 之 裝 置 〇 請 先 閱 1 I 於 此 第 4 實 施 例 9 與 上 述 第 1 至 第 3 之 實 施 例 有 公 共 之 部 讀 背 1¾ 1 1 1 分 > 將 予 以 省 略 說 明 0 1 I 意 1 I 此 第 4 實 施 例 之 裝 置 5 係 具 備 1 2 吋 直 徑 晶 圓 W 用 之 事 項 1 1 感 應 器 1 0 1 0 感 應 器 1 0 1 因 大 面 積 而 具 備 多 數 之 上 部 填 寫 本 1 裝 開 P 1 1 6 5 1 2 6 9 1 3 6 0 上 部 開 □ 1 1 6 係 形 成 於 頁 1 I 感 應 器 1 0 1 周 緣 部 上 部 開 Ρ 1 2 6 係 形 成 於 感 應 器 1 I 1 0 1 之 中 央 部 而 上 部 開 □ 1 3 6 係 形 成 於 感 應 器 1 0 1 1 I 1 之 中 間 部 0 第 1 群 之 開 Ρ 1 1 6 係 藉 內 部 通 道 1 1 3 連 1 訂 通 於 第 1 之 氣 體 供 給 排 氣 裝 置 1 1 0 第 2 群 之 開 □ 1 1 1 2 6 係 藉 內 部 通 道 1 2 3 連 通 於 第 2 之 氣 體 供 給 排 氣 裝 1 1 置 1 2 0 9 而 第 3 群 之 開 □ 1 3 6 係 藉 內 部 通 道 1 3 3 連 1 I 通 於 第 3 之 氣 體 供 給 排 氣 裝 置 1 3 0 〇 該 等 3 個 系 統 之 氣 線 I 體 供 給 排 氣 裝 置 1 1 0 5 1 2 0 1 3 0 係 與 上 述 之 第 2 1 1 I 實 施 例 者 爲 相 同 者 0 I 1 依 據 上 述 之 第 4 實 施 例 之 裝 置 因 可 對 3 個 系 統 之 氣 1 1 體 供 給 排 氣 裝 置 1 1 0 > 1 2 0 1 3 0 予 以 個 別 獨 立 地 1 1 來 控 制 5 以 在 每 各 區 域 能 實 施 極 精 細 之 熱 交 換 氣 體 背 壓 之 1 I 控 制 , 因 此 9 即 使 爲 如 1 2 吋 直 徑 晶 圓 W 之 大 面 積 者 亦 1 I 可 實 施 溫 度 控 制 成 溫 度 差 極 小 之 溫 度 分 布 y 而 可 實 現 具 有 1 I 優 異 於 垂 直 特 性 之 側 壁 之 各 向 異 性 蝕 刻 0 1 1 1 本紙張尺度適用中國國家標羋(CNS)A4規格(2丨OX297公釐)_ 99 之 間 器 應 感 和 圓 晶 在 而 刻 蝕 性 異 向 各 J 施 明實 說示 單顯 簡係 之 1 式圖 圖 之 間 器 應 感 和 〇 圓 圖晶 面在 剖而 向刻 縱蝕 的性 孔異 觸向 接各 之施 時實 之示 大_顯 爲係 極 2 差圖 度 溫 A7 B7 五、發明説明(2〇 ) 於上述實施例,雖以蝕刻裝置爲實例來加以說明,惟 本發明之處理裝置並非僅限制於蝕刻裝置而已,同樣亦可 適用於其他之電漿CVD裝置,電漿灰化裝置等。 溫度差有相當大之時之接觸孔的縱向剖面圖。 圖3係顯示實施各向異性蝕刻而在晶圓和感應器間之 溫度差極爲小時之接觸孔的縱向剖面圖。 圖4係顯示以先前之裝置來冷卻半導體晶圓時之晶圓 各部分溫度的溫度分布圖。 圖5係以比較例子之裝置來冷卻半導體晶圓時之晶圓 各部分溫度的溫度分布圖。 圖6係顯示有關本發明第1實施例之電漿處理裝置之 整體的剖面透視圖。 圖7係顯示感應器(下部電極)從上方觀看之平面圖 〇 圖8 A及圖8 B係顯示個別放大感應器一部分之剖面 圖0 圖9係實施晶圓蝕刻處理時之流程圖。 圖1 〇係顯示以實施例之裝置來冷卻半導體晶圓時之 晶圓各部分溫度之溫度分布圖。 本紙張尺度適用中國國家橾芈(CNS ) Λ4規格(2I0X297公釐) ---------f------|,訂------0 (請先閱讀背面之注意事項4填寫本頁) 經濟部中央梂準局員工消费合作社印製 23 A7 B7 五、發明説明(21 ) 圖1 1係顯示以實施例之裝置來冷卻半導體晶圓時之 晶圓各部分溫度之溫度分布圖。 圖1 2係顯示第2實施例之感應器及背面氣體供給系 統之概要的剖面圖。 圖1 3係顯示第2實施例之感應器從上方觀看之平面 圖0 圖1 4係形成爲溫度調整用機構之一部分的配管之分 解斜視圖。 圖15係顯示第3實施例之感應器及背面氣體供給系 統之概要的剖面圖。 圖1 6係顯示第4實施例之感應器及背面氣體供給系 統之概要的剖面圖。 I I I - I 訂 II 線 (請先閱讀背面之注意事項4.填寫本頁) 經濟部中央標準局員工消費合作社印裝 遗 度 尺 一張 紙 一本 j準 ί棟 I家 I國 國 i雜 一釐 ί公 7 9 2

Claims (1)

  1. 經濟部中央標準局員工消費合作社印製 294819 cl ___D8 六、申請專利範圍 1 .—種電漿處理裝置,係在電漿產生中,使用熱交 換氣體來增進由感應器所賦與被處理基板之冷卻效率的電 漿處理裝置,其特徵爲具備有: 第1通道,開口於感應器上面之周緣部: 第1氣體供給機構,藉該第1通道來供熱交換氣體給 予形成在感應器和被處理基板之間的微小間隙用: 第1排氣機構,藉第1通道來予以排氣形成在感應器 和被處理基板之間的微小間隙內用; 第2通道,開口於感應器上面之中央部; 第2氣體供給機構,藉該第2通道來供熱交換氣體給 予形成在感應器和被處理基板之間的微小間隙用; 第2排氣機構,藉第2通道來予以排氣形成在感應器 和被處理基板之間的微小間隙內用:及 控制機構,個別予以控制第1及第2之氣體供給機構 以及第1及第2之排氣機構,以令由第2氣體供給機構及 第2排氣機構所產生於第2通道內之背壓形成較由第1氣 體供給機構及第1排氣機構所產生於第1通道內之背壓更 低。 2.如申請專利範圍第1項所述之電漿處理裝置,其 中,更具備有予以記憶使用仿眞晶圓(監控板)來求出溫 度和背壓之相互關係之資料的記憶機構, 而控制機構係依據從記憶機構所呼叫之資料來控制第 2氣體供給機構及第2排氣機構使之動作,以改變第2通 道內之背壓。 本紙張尺度適用中阀國家標率(CNS > Λ4現格(210X297公缝) I I I I 裝 I I I . 訂 線 (請先閱讀背面之注意事承,〃填寫本頁) 25 A8 B8 C8 D8 經濟部中央標準局員工消費合作社印^ 六、 申請專利範 圍 1 I 3 如 丰 請 專 利 範 圍 第 1 項 所 述 之 電 漿 處 理 裝 置 9 其 1 1 中 ,更 具 有 檢 測 感 應 器 溫 度 用 之 溫 度 察 覺 器 9 而 控 制 機 構 1 1 係 依據 檢 測 溫 度 來 控 制 第 2 氣 體 供 給 機 構 及 第 2 排 氣 機 構 1 請 1 9 以改 變 第 2 通 道 內 之 背 壓 〇 先 聞 1 | 4 如 丰 請 專 利 範 圍 第 2 項 所 述 之 電 漿 處 理 裝 置 9 其 讀 背 1¾ 1 1 I 中 ,控 制 機 構 9 將 控 制 導 入 於 周 緣 TSF 域 之 熱 交 換 氣 體 之 背 之 注 意 I 1 I 壓 ,形 成 5 3 0 To r r ( 托 ) 範 圍 內 之 — 定 値 並 使 導 入 事 項 1 1 於 中央 T5S* 域 之 熱 交 換 氣 Μ必 體 之 背 壓 予 以 變 動 於 5 1 2 5 寫 本 1 裝 | To r r之 範 圍 內 0 頁 、_·〆 1 1 5 如 丰 請 專 利 範 圍 第 3項所述之電漿處理裝置, 其 1 | 中 ,控 制 機 構 ,將控制導入於周緣區域之熱交換氣體之背 1 1 壓 ,形 成 5 3 0 To r r ( 托 ) 範 圍 內 之 一 定 値 5 並 使 導 入 1 訂 1 於 中央 區 域 之 熱 交 換 氣 體 之 背 壓 予 以 變 動 於 5 1 2 5 1 1 To r r之 範 圍 內 0 1 1 6 如 串 請 專 利 範 圍 第 1 項 所 述 之 電 漿 處 理 裝 置 J 其 1 1 中 ,第 1 及 第 2 之 通 道 係 在 感 應 器 且 在 複 數 處 形 成 開 P 5 線 | 並 第1 開 □ 群 和 第 2 開 □ 群 排 列 成 同 心 圓 狀 於 感 應 器 上 面 1 1 I 7 如 串 請 專 利 範 圍 第 6 項 所 述 之 電 漿 處 理 裝 置 9 其 1 1 1 中 ,將 排 列 成 同 心 圓 狀 之 複 數 之 溝 形 成 於 感 應 器 上 面 之 周 1 1 緣 部, 並 在 該 等 溝 之 底 部 配 設 有 前 述 之 第 1 開 □ 0 1 1 8 如 串 請 專 利 範 圍 第 6 項 所 述 之 電 漿 處 理 裝 置 其 1 I 中 ,第 1 開 □ 群 和 第 2 開 □ 群 之 相 互 間 之 »· m. M. 爲 5 0 m m 1 I 以 內。 1 1 1 本紙張尺度適用中國國家標隼(CNS ) Λ4規格(210X 297公釐)_ 經濟部中央標準局員工消費合作杜印¾ A8 B8 C8 D8 六、申請專利範圍 9 .如申請專利範圍第1項所述之電漿處理裝置,其 中,更具備有:開口於位在感應器上面周部緣部和中央部 之中間之中間部的第3通道;藉該第3通道供熱交換氣體 給予感應器和被處理基板間所形成之微小間隙之第3氣體 供給機構:及藉第3通道來實施形成於感應器和被處理基 板間之微小間隙內之排氣的第3排氣機構。 1 〇 .如申請專利範圍第1項所述之電漿處理裝置, 其中,從第1及第2之氣體供給機構所供給之熱交換氣體 係同樣組成(成分)。 1 1 .如申請專利範圍第1項所述之電漿處理裝置, 其中,從第1及第2之氣體供給機構所供給之熱交換氣體 係互爲不相同之組成。 1 2 . —種電漿處理裝置,係在電漿產生中,使用熱 交換氣體來增進由感應器所賦與被處理基板之冷卻效率的 電漿處理裝置,其特徵爲具備有: 第1通道,開口於感應器之被處理基板載置面周緣部 氣體供給機構,藉該第1通道來供熱交換氣體給予形 成在感應器和被處理基板之間的微小間隙之用; 第2通道,開口於感應器之被處理基板載置面中央部 » 排氣機構,藉該第2通道來實施形成於感應器和被處 理基板間之微小間隙內之排氣之用:及 排氣機構,將該排氣機構和氣體供給機構予以個別實 本紙張尺度適用中國國家標準(CNS ) Λ4現格(210X 297公釐) ---------^----1-I.訂------線 (請先閱讀背面之注意事亨,-f..填寫本頁) 27 經濟部中央標準局員工消費合作社印裴 A8 B8 C8 D8 六、申請專利範園 施動作之控制之用。 1 3.如申請專利範圍第1 2項所述之電漿處理裝置 ,其中,排氣機構具備有,用以檢測藉第2通道來實施排 氣之氣體壓力用之壓力檢測機構。 1 4 . 一種電漿處理方法,主要在電漿產生中,以使 用熱交換氣體來增進由感應器所賦與之被處理基板之冷卻 效率,其特徵爲: 邊予以排氣形成於感應器和被處理基板之間之微小間 隙內,且邊從感應器上面之周緣部開口導入第1熱交換氣 體於前述間隙,並同時亦由感應器上面之中央部開口導入 第2熱交換氣體, 而且令前述第1熱交換氣體之背壓使之形成較前述第 2熱交換氣體之背壓更高。 1 5 .如申請專利範圍第1 4項所述之電漿處理方法 ,其中,將第1熱交換氣體之背壓設定於5〜3 OTorr之 範圍內之一定値,而第2熱交換氣體之背壓使之變動於5 〜1 2 . 5Torr之範圍內。 1 6 .如申請專利範圍第1 4項所述之電漿處理方法 ,其中,將電漿產生中之感應器之溫度控制成室溫以下。 1 7 .如申請專利範圍第1 4項所述之電漿處理方法 ,其中,設定電漿產生中之感應器於負1 〇°C以下之溫度 區域,而設定被處理基板表面於2 0〜7 0°C之溫度區域 Ο 本紙張尺度適用中國國家標準(CNS ) Λ4規格(210X 297公釐) ---------^-------1T------線, (請先閱讀背面之注意事淡4'填寫本頁) 28
TW083111996A 1993-12-22 1994-12-21 TW294819B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP34638593 1993-12-22
JP6162706A JPH07249586A (ja) 1993-12-22 1994-06-20 処理装置及びその製造方法並びに被処理体の処理方法

Publications (1)

Publication Number Publication Date
TW294819B true TW294819B (zh) 1997-01-01

Family

ID=26488408

Family Applications (1)

Application Number Title Priority Date Filing Date
TW083111996A TW294819B (zh) 1993-12-22 1994-12-21

Country Status (4)

Country Link
US (3) US5547539A (zh)
JP (1) JPH07249586A (zh)
KR (1) KR100313028B1 (zh)
TW (1) TW294819B (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI484525B (zh) * 2011-12-16 2015-05-11
TWI576970B (zh) * 2016-04-08 2017-04-01 上海新昇半導體科技有限公司 降低預抽腔體中晶片溫度的方法及晶片降溫裝置
US10732615B2 (en) 2017-10-30 2020-08-04 Varian Semiconductor Equipment Associates, Inc. System and method for minimizing backside workpiece damage

Families Citing this family (116)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6278600B1 (en) 1994-01-31 2001-08-21 Applied Materials, Inc. Electrostatic chuck with improved temperature control and puncture resistance
US5639334A (en) * 1995-03-07 1997-06-17 International Business Machines Corporation Uniform gas flow arrangements
JP3512968B2 (ja) * 1996-04-11 2004-03-31 株式会社日本自動車部品総合研究所 半導体装置の製造方法
US5711851A (en) 1996-07-12 1998-01-27 Micron Technology, Inc. Process for improving the performance of a temperature-sensitive etch process
DE69726308T2 (de) * 1996-09-30 2004-08-26 Lam Research Corp., Fremont Anlage zum vermindern der polymer - abscheidung auf einem substratträger
US6033478A (en) * 1996-11-05 2000-03-07 Applied Materials, Inc. Wafer support with improved temperature control
JPH10144668A (ja) * 1996-11-14 1998-05-29 Tokyo Electron Ltd プラズマ処理方法
US5748435A (en) * 1996-12-30 1998-05-05 Applied Materials, Inc. Apparatus for controlling backside gas pressure beneath a semiconductor wafer
GB2325939B (en) * 1997-01-02 2001-12-19 Cvc Products Inc Thermally conductive chuck for vacuum processor
US5936829A (en) * 1997-01-02 1999-08-10 Cvc Products, Inc. Thermally conductive chuck for vacuum processor
JP3650248B2 (ja) * 1997-03-19 2005-05-18 東京エレクトロン株式会社 プラズマ処理装置
JP3077623B2 (ja) * 1997-04-02 2000-08-14 日本電気株式会社 プラズマ化学気相成長装置
US5942039A (en) * 1997-05-01 1999-08-24 Applied Materials, Inc. Self-cleaning focus ring
US5856906A (en) * 1997-05-12 1999-01-05 Applied Materials, Inc. Backside gas quick dump apparatus for a semiconductor wafer processing system
US6054688A (en) * 1997-06-25 2000-04-25 Brooks Automation, Inc. Hybrid heater with ceramic foil serrated plate and gas assist
US6177023B1 (en) * 1997-07-11 2001-01-23 Applied Komatsu Technology, Inc. Method and apparatus for electrostatically maintaining substrate flatness
US6074488A (en) * 1997-09-16 2000-06-13 Applied Materials, Inc Plasma chamber support having an electrically coupled collar ring
KR100397860B1 (ko) * 1997-09-22 2003-12-18 카가쿠기쥬쯔죠 킨조쿠자이료 기쥬쯔켄큐죠 반응성이온에칭법및그장치
US6138745A (en) 1997-09-26 2000-10-31 Cvc Products, Inc. Two-stage sealing system for thermally conductive chuck
US6205870B1 (en) 1997-10-10 2001-03-27 Applied Komatsu Technology, Inc. Automated substrate processing systems and methods
US6482747B1 (en) * 1997-12-26 2002-11-19 Hitachi, Ltd. Plasma treatment method and plasma treatment apparatus
US5948986A (en) * 1997-12-26 1999-09-07 Applied Materials, Inc. Monitoring of wafer presence and position in semiconductor processing operations
US6220607B1 (en) 1998-04-17 2001-04-24 Applied Materials, Inc. Thermally conductive conformal media
JP4151749B2 (ja) * 1998-07-16 2008-09-17 東京エレクトロンAt株式会社 プラズマ処理装置およびその方法
US6190732B1 (en) 1998-09-03 2001-02-20 Cvc Products, Inc. Method and system for dispensing process gas for fabricating a device on a substrate
WO2000045427A1 (fr) * 1999-01-29 2000-08-03 Tokyo Electron Limited Procede et dispositif de traitement au plasma
US6169274B1 (en) * 1999-03-01 2001-01-02 Tokyo Electron Ltd. Heat treatment apparatus and method, detecting temperatures at plural positions each different in depth in holding plate, and estimating temperature of surface of plate corresponding to detected result
JP2000286267A (ja) * 1999-03-31 2000-10-13 Tokyo Electron Ltd 熱処理方法
US6635580B1 (en) * 1999-04-01 2003-10-21 Taiwan Semiconductor Manufacturing Co. Ltd. Apparatus and method for controlling wafer temperature in a plasma etcher
US6320736B1 (en) 1999-05-17 2001-11-20 Applied Materials, Inc. Chuck having pressurized zones of heat transfer gas
US6410451B2 (en) * 1999-09-27 2002-06-25 Lam Research Corporation Techniques for improving etching in a plasma processing chamber
JP4209057B2 (ja) * 1999-12-01 2009-01-14 東京エレクトロン株式会社 セラミックスヒーターならびにそれを用いた基板処理装置および基板処理方法
US20040011468A1 (en) * 2000-05-30 2004-01-22 Jun Hirose Gas introduction system for temperature adjustment of object to be processed
US6494958B1 (en) * 2000-06-29 2002-12-17 Applied Materials Inc. Plasma chamber support with coupled electrode
JP4753460B2 (ja) * 2000-08-16 2011-08-24 株式会社クリエイティブ テクノロジー 静電チャック及びその製造方法
JP2002100573A (ja) * 2000-09-25 2002-04-05 Nec Corp 半導体製造装置および半導体製造方法
AU2002232844A1 (en) * 2000-12-06 2002-06-18 Angstron Systems, Inc. System and method for modulated ion-induced atomic layer deposition (mii-ald)
WO2002061179A1 (en) * 2001-01-19 2002-08-08 Tokyo Electron Limited Method and apparatus for gas injection system with minimum particulate contamination
CN1322556C (zh) * 2001-02-15 2007-06-20 东京毅力科创株式会社 被处理件的处理方法及处理装置
US20020144786A1 (en) * 2001-04-05 2002-10-10 Angstron Systems, Inc. Substrate temperature control in an ALD reactor
JP2002327275A (ja) * 2001-05-02 2002-11-15 Tokyo Electron Ltd 真空処理方法及び真空処理装置
TWI246873B (en) * 2001-07-10 2006-01-01 Tokyo Electron Ltd Plasma processing device
KR100405172B1 (ko) * 2001-07-24 2003-11-12 주식회사 선익시스템 플라즈마 표면 처리장치 및 이를 이용한 플라즈마 표면처리방법
TW561515B (en) * 2001-11-30 2003-11-11 Tokyo Electron Ltd Processing device, and gas discharge suppressing member
US20030106646A1 (en) * 2001-12-11 2003-06-12 Applied Materials, Inc. Plasma chamber insert ring
US7138014B2 (en) * 2002-01-28 2006-11-21 Applied Materials, Inc. Electroless deposition apparatus
US6677167B2 (en) 2002-03-04 2004-01-13 Hitachi High-Technologies Corporation Wafer processing apparatus and a wafer stage and a wafer processing method
US20030221616A1 (en) * 2002-05-28 2003-12-04 Micron Technology, Inc. Magnetically-actuatable throttle valve
US6837965B2 (en) * 2002-08-14 2005-01-04 Aaron D. Gustafson Method and apparatus for etch processing with end point detection thereof
US7252738B2 (en) * 2002-09-20 2007-08-07 Lam Research Corporation Apparatus for reducing polymer deposition on a substrate and substrate support
US20040163601A1 (en) * 2003-02-26 2004-08-26 Masanori Kadotani Plasma processing apparatus
US20040187787A1 (en) * 2003-03-31 2004-09-30 Dawson Keith E. Substrate support having temperature controlled substrate support surface
US20040261946A1 (en) * 2003-04-24 2004-12-30 Tokyo Electron Limited Plasma processing apparatus, focus ring, and susceptor
US6956308B2 (en) 2003-07-15 2005-10-18 Nikon Corporation Dual flow circulation system for a mover
KR100541447B1 (ko) * 2003-07-23 2006-01-11 삼성전자주식회사 웨이퍼용 정전척
KR100512745B1 (ko) 2003-07-24 2005-09-07 삼성전자주식회사 정전기 척
KR100539240B1 (ko) * 2003-08-01 2005-12-27 삼성전자주식회사 복수의 백사이드 가스 유로를 가지는 cvd 장치 및 이를이용한 박막 형성 방법
US7355308B2 (en) * 2003-08-21 2008-04-08 Nikon Corporation Mover combination with two circulation flows
KR100774005B1 (ko) 2003-08-22 2007-11-08 삼성전자주식회사 디스플레이 장치
US7323058B2 (en) * 2004-01-26 2008-01-29 Applied Materials, Inc. Apparatus for electroless deposition of metals onto semiconductor substrates
US7311779B2 (en) * 2003-10-06 2007-12-25 Applied Materials, Inc. Heating apparatus to heat wafers using water and plate with turbolators
US7223308B2 (en) * 2003-10-06 2007-05-29 Applied Materials, Inc. Apparatus to improve wafer temperature uniformity for face-up wet processing
US7654221B2 (en) * 2003-10-06 2010-02-02 Applied Materials, Inc. Apparatus for electroless deposition of metals onto semiconductor substrates
KR101109299B1 (ko) * 2003-10-06 2012-01-31 어플라이드 머티어리얼스, 인코포레이티드 페이스-업 습식 프로세싱을 위해 웨이퍼 온도 균일성을강화시키는 장치
US7827930B2 (en) * 2004-01-26 2010-11-09 Applied Materials, Inc. Apparatus for electroless deposition of metals onto semiconductor substrates
US20050103267A1 (en) * 2003-11-14 2005-05-19 Hur Gwang H. Flat panel display manufacturing apparatus
US20060033678A1 (en) * 2004-01-26 2006-02-16 Applied Materials, Inc. Integrated electroless deposition system
US7713380B2 (en) * 2004-01-27 2010-05-11 Taiwan Semiconductor Manufacturing Co., Ltd. Method and apparatus for backside polymer reduction in dry-etch process
US7282158B2 (en) * 2004-03-26 2007-10-16 Aviza Technology Limited Method of processing a workpiece
US7585371B2 (en) * 2004-04-08 2009-09-08 Micron Technology, Inc. Substrate susceptors for receiving semiconductor substrates to be deposited upon
EP1833078B1 (en) * 2004-07-09 2013-03-20 Sekisui Chemical Co., Ltd. Apparatus and method for processing the outer periphery of a substrate
US7452660B1 (en) * 2004-08-11 2008-11-18 Lam Research Corporation Method for resist strip in presence of low K dielectric material and apparatus for performing the same
US20060096951A1 (en) * 2004-10-29 2006-05-11 International Business Machines Corporation Apparatus and method for controlling process non-uniformity
US20060090855A1 (en) * 2004-10-29 2006-05-04 Tokyo Electron Limited Substrate mounting table, substrate processing apparatus and substrate temperature control method
US20060144337A1 (en) * 2005-01-06 2006-07-06 Hsien-Che Teng Heater for heating a wafer and method for preventing contamination of the heater
JP4694249B2 (ja) * 2005-04-20 2011-06-08 株式会社日立ハイテクノロジーズ 真空処理装置及び試料の真空処理方法
US20070044914A1 (en) * 2005-08-30 2007-03-01 Katsuji Matano Vacuum processing apparatus
US20070074812A1 (en) * 2005-09-30 2007-04-05 Andrej Mitrovic Temperature control of plasma density probe
US20070075036A1 (en) * 2005-09-30 2007-04-05 Paul Moroz Method and apparatus for measuring plasma density in processing reactors using a short dielectric cap
US7651571B2 (en) * 2005-12-22 2010-01-26 Kyocera Corporation Susceptor
KR100672721B1 (ko) * 2005-12-29 2007-01-22 동부일렉트로닉스 주식회사 플래쉬 메모리의 제조방법
US8226769B2 (en) * 2006-04-27 2012-07-24 Applied Materials, Inc. Substrate support with electrostatic chuck having dual temperature zones
US20080073563A1 (en) * 2006-07-01 2008-03-27 Nikon Corporation Exposure apparatus that includes a phase change circulation system for movers
JP4815298B2 (ja) * 2006-07-31 2011-11-16 株式会社日立ハイテクノロジーズ プラズマ処理方法
CN100514571C (zh) * 2006-08-02 2009-07-15 美商慧程***科技股份有限公司 等离子体刻蚀***
KR101312292B1 (ko) * 2006-12-11 2013-09-27 엘아이지에이디피 주식회사 플라즈마 처리장치의 기판 파손 방지장치 및 그 방법
US8580078B2 (en) * 2007-01-26 2013-11-12 Lam Research Corporation Bevel etcher with vacuum chuck
JP2008277499A (ja) * 2007-04-27 2008-11-13 Oki Electric Ind Co Ltd 半導体装置の製造方法
JP2009060011A (ja) * 2007-09-03 2009-03-19 Tokyo Electron Ltd 基板載置台、基板処理装置、及び温度制御方法
KR100959727B1 (ko) * 2007-11-13 2010-05-26 주식회사 동부하이텍 웨이퍼 냉각 장치
CN101459064B (zh) * 2007-12-13 2011-03-23 中芯国际集成电路制造(上海)有限公司 侧墙基层形成方法
JP5324251B2 (ja) * 2008-05-16 2013-10-23 キヤノンアネルバ株式会社 基板保持装置
JP5198226B2 (ja) * 2008-11-20 2013-05-15 東京エレクトロン株式会社 基板載置台および基板処理装置
JP5188385B2 (ja) * 2008-12-26 2013-04-24 株式会社日立ハイテクノロジーズ プラズマ処理装置及びプラズマ処理装置の運転方法
GB2478269A (en) * 2009-12-18 2011-09-07 Surrey Nanosystems Ltd Nanomaterials growth system and method
US9728429B2 (en) * 2010-07-27 2017-08-08 Lam Research Corporation Parasitic plasma prevention in plasma processing chambers
JP5689283B2 (ja) * 2010-11-02 2015-03-25 東京エレクトロン株式会社 基板処理方法及びその方法を実行するプログラムを記憶する記憶媒体
JP5642531B2 (ja) * 2010-12-22 2014-12-17 東京エレクトロン株式会社 基板処理装置及び基板処理方法
JP5550602B2 (ja) * 2011-04-28 2014-07-16 パナソニック株式会社 静電チャックおよびこれを備えるドライエッチング装置
TWI659674B (zh) * 2011-10-05 2019-05-11 應用材料股份有限公司 電漿處理設備及蓋組件
JP5891405B2 (ja) * 2011-12-27 2016-03-23 パナソニックIpマネジメント株式会社 基板のプラズマ処理方法およびプラズマ処理装置
US10537013B2 (en) * 2012-04-23 2020-01-14 Applied Materials, Inc. Distributed electro-static chuck cooling
JP5973841B2 (ja) * 2012-08-22 2016-08-23 日本特殊陶業株式会社 静電チャックのガス制御装置およびガス制御方法
JP5443565B2 (ja) * 2012-09-03 2014-03-19 東京エレクトロン株式会社 プラズマ処理装置
JP5575217B2 (ja) * 2012-12-10 2014-08-20 ラピスセミコンダクタ株式会社 半導体装置の製造方法
CN103074612A (zh) * 2012-12-29 2013-05-01 光达光电设备科技(嘉兴)有限公司 加热装置及化学气相沉积设备
KR101734120B1 (ko) 2013-02-18 2017-05-12 주식회사 아모그린텍 필터 여재 및 그 제조방법과, 이를 이용한 필터 장치
JP2015095580A (ja) * 2013-11-13 2015-05-18 東京エレクトロン株式会社 基板処理装置及び基板離脱方法
US20150162169A1 (en) * 2013-12-05 2015-06-11 Taiwan Semiconductor Manufacturing Co., Ltd. Etching apparatus and method
US20150206741A1 (en) 2014-01-17 2015-07-23 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for in situ steam generation
JP6246685B2 (ja) * 2014-09-12 2017-12-13 株式会社東芝 静電チャック機構、基板処理方法及び半導体基板処理装置
KR102356217B1 (ko) * 2015-05-14 2022-01-27 에이씨엠 리서치 (상하이) 인코포레이티드 기판 베벨 및 이면 보호를 위한 장치
US20210143037A1 (en) * 2018-04-12 2021-05-13 Lam Research Corporation Determining and controlling substrate temperature during substrate processing
JP2020120081A (ja) * 2019-01-28 2020-08-06 東京エレクトロン株式会社 基板処理装置
WO2022224887A1 (ja) * 2021-04-21 2022-10-27 東京エレクトロン株式会社 ガス供給システム、基板処理装置、及びガス供給システムの運用方法
US11869795B2 (en) * 2021-07-09 2024-01-09 Applied Materials, Inc. Mesa height modulation for thickness correction

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5633839A (en) * 1979-08-29 1981-04-04 Hitachi Ltd Plasma treatment and device therefor
JPS5812347B2 (ja) * 1981-02-09 1983-03-08 日本電信電話株式会社 プラズマエッチング装置
JPH03257182A (ja) * 1990-03-07 1991-11-15 Hitachi Ltd 表面加工装置
US5002631A (en) * 1990-03-09 1991-03-26 At&T Bell Laboratories Plasma etching apparatus and method
TW221318B (zh) * 1990-07-31 1994-02-21 Tokyo Electron Co Ltd
JP2939355B2 (ja) * 1991-04-22 1999-08-25 東京エレクトロン株式会社 プラズマ処理装置
US5423936A (en) * 1992-10-19 1995-06-13 Hitachi, Ltd. Plasma etching system
US5350479A (en) * 1992-12-02 1994-09-27 Applied Materials, Inc. Electrostatic chuck for high power plasma processing
US5460684A (en) * 1992-12-04 1995-10-24 Tokyo Electron Limited Stage having electrostatic chuck and plasma processing apparatus using same
US5382311A (en) * 1992-12-17 1995-01-17 Tokyo Electron Limited Stage having electrostatic chuck and plasma processing apparatus using same

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI484525B (zh) * 2011-12-16 2015-05-11
TWI576970B (zh) * 2016-04-08 2017-04-01 上海新昇半導體科技有限公司 降低預抽腔體中晶片溫度的方法及晶片降溫裝置
US10732615B2 (en) 2017-10-30 2020-08-04 Varian Semiconductor Equipment Associates, Inc. System and method for minimizing backside workpiece damage
TWI723290B (zh) * 2017-10-30 2021-04-01 美商瓦里安半導體設備公司 減少在熱轉變期間對工件的損壞的系統、非暫時性電腦可讀存儲介質及方法

Also Published As

Publication number Publication date
US5547539A (en) 1996-08-20
USRE36810E (en) 2000-08-08
KR100313028B1 (ko) 2002-03-21
US5622593A (en) 1997-04-22
KR950020967A (ko) 1995-07-26
JPH07249586A (ja) 1995-09-26

Similar Documents

Publication Publication Date Title
TW294819B (zh)
US8389416B2 (en) Process for etching silicon with selectivity to silicon-germanium
CN107039229B (zh) 蚀刻方法
US9373499B2 (en) Batch-type remote plasma processing apparatus
TWI269351B (en) Method and system for temperature control of a substrate
US6406545B2 (en) Semiconductor workpiece processing apparatus and method
TW200406846A (en) Variable temperature processes for tunable electrostatic chuck
JP4663368B2 (ja) プラズマエッチング方法、プラズマエッチング装置、制御プログラム及びコンピュータ記憶媒体
US20060037702A1 (en) Plasma processing apparatus
TW200952064A (en) Plasma etching method and computer-readable storage medium
KR20130087507A (ko) 실리콘 리세스를 감소시키기 위한 에칭 프로세스
TWI405260B (zh) A plasma etching treatment method and a plasma etching processing apparatus
TWI458013B (zh) 矽氮化物之選擇性蝕刻製程
US7351665B2 (en) Plasma etching method, plasma etching apparatus, control program, computer recording medium and recording medium having processing recipe recorded thereon
JP2002110650A (ja) プラズマエッチング方法およびプラズマエッチング装置
US7285498B2 (en) Etching method
JP2007214299A (ja) エッチング方法
JP2011151055A (ja) 温度測定方法及び基板処理装置
JP2002100607A (ja) 酸化膜エッチング方法
US20100133233A1 (en) Dry etching method
JP2008172184A (ja) プラズマエッチング方法、プラズマエッチング装置、制御プログラム及びコンピュータ記憶媒体
JP4684924B2 (ja) プラズマエッチング方法、プラズマエッチング装置及びコンピュータ記憶媒体
JP3144969B2 (ja) プラズマエッチング方法
JP3167493B2 (ja) 圧力制御装置
JP4615290B2 (ja) プラズマエッチング方法

Legal Events

Date Code Title Description
MK4A Expiration of patent term of an invention patent