TW202146695A - 形成有機膜的方法 - Google Patents

形成有機膜的方法 Download PDF

Info

Publication number
TW202146695A
TW202146695A TW110133249A TW110133249A TW202146695A TW 202146695 A TW202146695 A TW 202146695A TW 110133249 A TW110133249 A TW 110133249A TW 110133249 A TW110133249 A TW 110133249A TW 202146695 A TW202146695 A TW 202146695A
Authority
TW
Taiwan
Prior art keywords
reactant
temperature
substrate
vapor
deposition
Prior art date
Application number
TW110133249A
Other languages
English (en)
Inventor
維爾傑米 J. 波爾
馬可 圖敏南
哈努 霍它利
Original Assignee
荷蘭商Asm Ip 控股公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US14/879,962 external-priority patent/US10695794B2/en
Application filed by 荷蘭商Asm Ip 控股公司 filed Critical 荷蘭商Asm Ip 控股公司
Publication of TW202146695A publication Critical patent/TW202146695A/zh

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/60Deposition of organic layers from vapour phase
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/36Successively applying liquids or other fluent materials, e.g. without intermediate treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32056Deposition of conductive or semi-conductive organic layers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D2505/00Polyamides
    • B05D2505/50Polyimides
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D3/00Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials
    • B05D3/14Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials by electrical means
    • B05D3/141Plasma treatment
    • B05D3/145After-treatment

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

用於有機膜的氣相沈積的方法以及設備經設置以在第一溫度下氣化有機反應物,將蒸氣輸送至容納基板的反應腔室,並且將所述基板維持在低於氣化溫度的溫度下。所述基板與所述有機反應物以及第二反應物以依序沈積順序的交替接觸可致使以藉由其他方式難以達成的方式藉由有機膜自下而上填充空隙以及溝槽。提供有助於沈積有機膜的沈積反應器。

Description

形成有機膜的方法
本發明是關於藉由氣相沈積形成有機薄膜。 [相關申請案的參考] 本申請案為2015年10月9日申請的美國專利申請案第14/879,962號的部分接續申請案。
有機薄膜具有寶貴的光、熱、電以及機械性質,且被廣泛地用於電子、醫學工程、國防、醫藥以及微技術以及奈米技術行業中。微電子以及光子學行業中的聚合物包含:用於微影圖案化的光子或電子可固化/可降解聚合物;以及用於封裝的聚醯亞胺、層間介電質以及可撓性電路板,以及其他實例。Norrman等人, Annu. Rep. Prog. Chem., Sect. C, 2005, 101, 174-201。
聚醯亞胺膜的價值特別在於其熱穩定性以及對機械應力以及化學物質的耐受性。聚醯亞胺薄膜可用作未來V-NAND結構所需的非晶碳膜或層的半導體應用中的起始點。聚醯亞胺膜可(例如)用作抗反射層以改良圖案清晰度且減少微影步驟中的未對準,用作多重圖案化(例如,SDDP、SDQP)中的層,用作層間介電材料的絕緣材料,或用作全有機薄膜電晶體中的閘極介電質。
傳統上經由旋塗技術製造聚合物薄膜。旋塗方法藉由用液體材料塗佈旋轉圓盤並燒結所述液體來形成高度功能化的聚合物膜。然而,旋塗膜的定製出於若干原因而受到限制。舉例而言,均勻薄膜在基板上的形成難以控制,此部分地因為起始液體的黏度,且可能難以填充極小特徵的間隙(例如,金屬線之間的溝槽或間隙)而在固化之後不產生空隙。並且,在相對於所要層厚度的高構形上方的旋塗可能導致不連續以及非保形的沈積。隨著半導體晶片大小繼續縮小,需要具有更可調的形態的更薄且強度更高的膜。
最近,諸如化學氣相沈積(chemical vapor deposition;CVD)、氣相沈積聚合(vapor deposition polymerization;VDP)、分子層沈積(molecular layer deposition;MLD)之氣相沈積製程以及諸如原子層沈積(atomic layer deposition;ALD)以及循環CVD之依序沈積製程已應用於聚合物薄膜的形成。在CVD中,當反應物在基板表面上反應時,沈積膜。將一或多種反應物的氣體遞送至反應腔室中的一或多個基板。在熱CVD中,反應物氣體在熱基板上與彼此反應以形成薄膜,其中生長速率受溫度及供應的反應物的量影響。在電漿增強CVD中,可在遠端電漿產生器中或就地活化一或多種反應物。在ALD中,經由循環執行的自飽和表面反應來累積膜。交替且重複地將氣相反應物供應至基板或晶圓以在晶圓上形成材料的薄膜。在典型製程中,一種反應物在自限制製程中吸附於晶圓上。不同的隨後經脈衝反應物與第一反應物的經吸附物質反應以形成所要材料的不多於一個分子層。經由重複的生長循環產生較厚膜,直至達成目標厚度為止。ALD的電漿增強變體以及混合式ALD/CVD製程(例如,准許反應物的一些重疊)亦為已知的。
在一個態樣中,提供一種用於藉由氣相沈積沈積有機膜的方法。所述方法包括在溫度A下在氣化器中氣化第一有機反應物以形成第一反應物蒸氣。在溫度B下將反應空間中的基板暴露於第一反應物蒸氣,溫度B低於氣化第一有機反應物的溫度A。將有機膜沈積於基板上。
在一些實施例中,有機膜包括聚合物。在一些實施例中,聚合物為聚醯亞胺。在一些實施例中,有機膜包括聚醯胺酸。在一些實施例中,聚醯胺酸進一步轉換成聚醯亞胺。在一些實施例中,第一有機反應物在室溫以及大氣壓下為固體。在一些實施例中,第一有機反應物為二酐,且更特定而言,在一些實施例中,第一有機反應物為PMDA。
以克耳文為單位的溫度A對溫度B的比率大於1。在一些實施例中,以克耳文為單位的溫度A對溫度B的比率可小於1.8,在約1與1.25之間,在約1.01與1.10之間及/或在其他前述值中的任何者之間。
在一些實施例中,溫度A可高於120℃,低於200℃,在約120℃與250℃之間,在約140℃與190℃之間,及/或在其他前述值中的任何者之間。
在一些實施例中,溫度B比溫度A低約5℃與約50℃之間,比溫度A低約10℃與約30℃之間,及/或比溫度A低其他前述值中的任何者之間。
在一些實施例中,溫度B可高於20℃,低於250℃,在約20℃與250℃之間,在約100℃與200℃之間,在約120℃與180℃之間,及/或在其他前述值中的任何者之間。
在一些實施例中,所述方法進一步包含移除過量的第一反應物蒸氣以不與基板接觸。隨後將基板暴露於第二反應物,使得第一反應物蒸氣與第二反應物蒸氣不實質上混合,且移除過量的第二反應物以不與基板接觸。在一些實施例中,在多個循環中重複將基板暴露於第一反應物蒸氣以及將基板暴露於第二反應物的步驟,使得第一反應物蒸氣與第二反應物蒸氣不實質上混合。在一些實施例中,第二反應物為二胺,且更特定而言,在一些實施例中,第二反應物為1,6-二胺基己烷(1,6-diaminohexane;DAH)。在一些實施例中,移除過量的第一反應物蒸氣以及移除過量的第二反應物蒸氣中的每一者發生在多於1秒、少於10秒、在約1秒與約10秒之間及/或在其他前述值中的任何者之間的時間段內。
在一些實施例中,當第一反應物蒸氣暴露於基板時,經由氣體管線將第一反應物蒸氣自氣化器輸送至反應空間。在一些實施例中,氣體管線在溫度C下,溫度C高於氣化第一有機反應物的溫度A。
在一些實施例中,基板包括非平坦構形,且經沈積有機膜包括在基板的較低特徵上形成第一厚度以及在基板的上部場區上沈積第二厚度,其中第一厚度大於第二厚度。
在另一態樣中,提供一種用於控制經沈積有機膜的平坦度的方法。所述方法包括:在溫度A下在氣化器中氣化第一有機反應物以形成第一反應物蒸氣;在溫度B下將反應空間中的基板暴露於第一反應物蒸氣,溫度B低於溫度A;以及在一時間段內移除過量的第一反應物蒸氣以不與基板接觸,其中減少所述時間段增加經沈積有機膜的平坦度。在一些實施例中,經沈積有機膜具有小於約20%、小於約10%、小於約5%、小於約2%、小於約1%以及小於約0.5%的厚度非均一性(1sigma)。在一些實施例中,基板為半導體晶圓,諸如200 mm或300矽mm晶圓或玻璃基板。
在一些實施例中,所述方法進一步包括:將基板暴露於第二反應物,使得第一反應物蒸氣與第二反應物不實質上混合;移除過量的第二反應物以不與基板接觸;以及在多個循環中重複將基板暴露於第一反應物蒸氣以及將基板暴露於第二反應物,使得第一反應物蒸氣與第二反應物不實質上混合。
在另一態樣中,一種有機膜沈積設備包括:容器,其經設置以用於氣化第一有機反應物以形成第一反應物蒸氣;反應空間,其經設置以容納基板且與容器選擇性流體連通;以及控制系統。在較佳實施例中,控制系統經設置以將容器中的反應物維持在溫度A或更高溫度下,將基板維持在低於溫度A的溫度B下,將第一反應物蒸氣自容器輸送至基板,且在基板上沈積有機膜。
在一些實施例中,所述設備經設置以沈積聚合物。在一些實施例中,聚合物包括聚醯亞胺。在一些實施例中,所述設備經設置以沈積聚醯胺酸。在一些實施例中,聚醯胺酸可轉換成聚醯亞胺。
在一些實施例中,所述設備進一步包括將容器流體連接至反應空間的氣體管線,其中控制系統進一步經設置以將氣體管線維持在高於溫度A的溫度C下。
在一些實施例中,控制系統進一步經設置以在依序沈積製程中交替地將第二反應物蒸氣與第一反應物蒸氣輸送至基板。
在一些實施例中,所述設備進一步包括連接至反應空間的出口管線以及惰性氣體源,且控制系統進一步經設置以在第一反應物蒸氣的供應與第二反應物蒸氣的供應之間移除過量反應物蒸氣以及副產物。
在另一態樣中,提供一種用於減小基板上的三維結構的縱橫比的方法。所述方法包含氣化第一反應物以形成第一反應物蒸氣。在反應空間中將基板暴露於第一反應物蒸氣,基板包含具有三維結構的構形。將有機膜沈積於基板上方,與構形的較高特徵相比優先沈積於構形的較低特徵上方,使得在有機膜沈積時,有機膜減小基板上的三維結構的縱橫比。沈積包含將基板暴露於第一反應物蒸氣。
在另一態樣中,提供一種用於形成有機膜的方法。所述方法包含在氣化器中氣化第一反應物以形成第一反應物蒸氣。將反應空間中的基板暴露於第一反應物蒸氣以及第二反應物蒸氣。在基板上形成來自第一反應物蒸氣以及第二反應物蒸氣的聚醯胺酸膜。
氣相沈積技術可應用於有機膜以及聚合物,諸如聚醯亞胺膜、聚醯胺膜、聚脲膜、聚胺基甲酸酯膜、聚噻吩膜以及更多。與塗佈液體前驅體相比,聚合物膜的CVD可產生較大厚度控制、機械可撓性、保形覆蓋以及生物相容性。聚合物的依序沈積處理可在小型研究規模反應器中產生高生長速率。類似於CVD,依序沈積製程可產生較大厚度控制、機械可撓性以及保形性。術語「依序沈積(sequential deposition)」以及「循環沈積(cyclical deposition)」在本文中用以應用於基板交替或依序地暴露於不同前驅體的製程,無論反應機制是否相似於ALD、CVD、MLD或其混合體。
然而,出於多種原因,有機薄膜的氣相沈積可具有挑戰性。舉例而言,用於製造有機膜的反應物趨向於具有低蒸氣壓以及揮發性,且因此需要高源溫度來氣化。可能難以在避免熱分解的同時確保產生足夠的蒸氣壓以允許氣相沈積恰當地發生。另外,基板溫度通常高於氣化器以驅動沈積反應,但用以增大前驅體的蒸氣壓的高氣化溫度不僅有過早熱分解的風險,且亦可導致過高的沈積速率以及隨之發生的非保形沈積。
舉例而言,可藉由使二酐與二胺反應來沈積聚醯亞胺膜,且通常用於此製程的二酐為苯均四酸二酐(pyromellitic dianhydride;PMDA)。在室溫以及大氣壓下,PMDA為具有相當低的蒸氣壓的固體,且因此,其需要加熱以氣化。未能控制聚醯亞胺膜的CVD/VDP中的氣化溫度可導致裂紋形成,且儘管在小型研究規模具有潛力,聚醯亞胺的生產規模依序沈積仍面對可製造性的眾多困難(例如,粒子、較差可重複性、氣體管線的堵塞、較差均一性、低生長速率)。
歸因於對反應物揮發性以及生長溫度的嚴格要求,使用習知氣相沈積技術來獲得高品質有機膜是具有挑戰性的。因此,需要用於有機薄膜的氣相沈積的經改良方法。
在本文中所描述的實施例中,基板處的生長溫度可低於反應物源溫度。此溫度輪廓(temperature profile)允許足夠高的蒸氣壓以氣化反應物(例如,用於有機膜沈積的前驅體,諸如PMDA),足夠低的生長溫度以避免過熱的問題,以及實現高生長速率製程。本文中所教示的沈積製程可達成高生長速率以及處理量,且產生高品質有機薄膜。
圖1A為用於有機膜的氣相沈積的方法的簡化流程圖。在第一說明區塊10中,在溫度A下氣化第一有機反應物以形成第一反應物蒸氣。經氣化的反應物可在標準溫度以及壓力條件(室溫以及大氣壓)下為液體或固體。在一些實施例中,經氣化的反應物包括有機前驅體,諸如二酐,例如,苯均四酸二酐(pyromellitic dianhydride;PMDA)。在區塊20中,在低於溫度A的溫度B下將基板暴露於第一反應物蒸氣,且在區塊30中,沈積有機膜。所述方法可包含額外步驟,且可重複,但無需按所說明順序執行,在重複的情況下亦不必在每一重複中按相同順序執行,且可易於擴展至更複雜的氣相沈積技術。
在一些實施例中,有機膜包括聚合物。在一些實施例中,經沈積的聚合物為聚醯亞胺。在一些實施例中,經沈積的聚合物為聚醯胺。在一些實施例中,經沈積的聚合物為聚脲。經沈積聚合物的其他實例包含二聚體、三聚體、聚胺基甲酸酯、聚硫脲、聚酯、聚亞胺、以上材料的其他聚合形式或混合物。
在一些實施例中,有機膜包括聚合物膜的前驅體材料,前驅體材料可藉由處理製程轉換或聚合成聚合物膜。舉例而言,所沈積有機膜包括聚醯胺酸。在一些實施例中,聚醯胺酸轉換成聚醯亞胺。詳言之,聚醯胺酸為可經環化或亞胺化以形成聚醯亞胺的常見聚醯亞胺前驅體。在實驗中已發現,對於使用二酐以及二胺的氣相沈積,所沈積膜的組成取決於基板溫度。舉例而言,在實驗中,若低於約130℃,則發現所沈積膜主要為聚醯胺酸。若在約130℃與160℃之間,則膜為聚醯胺酸與聚醯亞胺的混合物。若高於約160℃,則膜主要為聚醯亞胺(聚合物)。在多種技術中,聚醯胺酸可轉換成聚醯亞胺,所述技術包含退火、電漿(例如,使用惰性或稀有氣體)、化學處理(例如,使用酸酐)、UV處理以及其他沈積後處理。
術語「約(about)」在本文中用於意謂在標準量測準確度內。
本文中所教示的技術可應用於氣相沈積技術,包含廣泛多種反應器組態中的CVD、VPD、ALD以及MLD。圖1B為依序沈積製程的簡化流程圖,且圖2A至圖2D說明例示性反應器組態的示意性表示。
圖1B的流程圖說明用於有機膜的氣相沈積的依序沈積方法。在區塊10中,在溫度A下氣化第一有機反應物以形成第一反應物蒸氣。在區塊40中,在高於溫度A的溫度C下經由氣體管線將第一反應物蒸氣輸送至基板。在實施例中,第一反應物或其物質以自飽和或自限制方式化學吸附於基板上。氣體管線可為將第一反應物蒸氣自源傳輸至基板的任何管道。在區塊20中,在低於溫度A的溫度B下將基板暴露於第一反應物蒸氣。在區塊45中,移除過量的第一反應物蒸氣(以及任何揮發性反應副產物)以不與基板接觸。此移除可藉由(例如)沖洗、抽空、使基板移動遠離其暴露於第一反應物的腔室或區或其組合來實現。在區塊50中,將基板暴露於第二反應物蒸氣。在實施例中,第二反應物可與基板上的第一反應物的經吸附物質反應。在區塊60中,移除過量的第二反應物蒸氣(以及任何揮發性反應副產物)以不與基板接觸,使得第一反應物蒸氣與第二反應物蒸氣不混合。在一些實施例中,有機膜的氣相沈積製程不使用電漿及/或自由基,且可被視為熱氣相沈積製程。
各種反應物可用於此等製程。舉例而言,在一些實施例中,第一反應物為有機反應物(諸如,酸酐,例如二酐,例如苯均四酸二酐(pyromellitic dianhydride;PMDA)),或具有兩個反應性基團的任何其他單體。在一些實施例中,第一反應物可為酸酐,諸如呋喃-2,5-二酮(順丁烯二酸酐)。在一些實施例中,第二反應物亦為能夠在沈積條件下與第一反應物的經吸附物質反應的有機反應物。舉例而言,第二反應物可為二胺(例如,1,6-二胺基己烷(1,6-diaminohexane;DAH),或具有將與第一反應物反應的兩個反應性基團的任何其他單體。在一些實施例中,不同反應物可用於調節膜性質。舉例而言,可使用4,4'-氧基二苯胺或1,4-二胺基苯代替1,6-二胺基己烷來沈積聚醯亞胺膜及/或聚醯亞胺前驅體材料(例如,聚醯胺酸)膜以得到具有更多芳香性以及增加的乾式蝕刻耐受性的更剛性結構。在一些實施例中,反應物不含有金屬原子。在一些實施例中,反應物不含有半金屬原子。在一些實施例中,反應物中的一者包括金屬原子或半金屬原子。在一些實施例中,反應物含有碳及氫以及以下元素中的至少一或多種:N、O、S、P或鹵化物,諸如Cl或F。沈積條件可取決於所選反應物而有所不同,且可在選擇之後被最佳化。對於在單一晶圓沈積工具中使用PMDA以及DAH的聚醯亞胺的依序沈積,基板溫度可自約100℃至約250℃的範圍中選出,且壓力可自約1毫托至約760托、更特定而言在約100毫托與約100托之間的範圍中選出。在一些實施例中,經氣化的反應物包括自1,4-二異氰酸丁烷或1,4-二異氰酸苯酯的群中選出的有機前驅體。在一些實施例中,經氣化的反應物包括自對酞醯二氯、烷二醯二氯(諸如,己二醯二氯、辛二醯二氯、壬二醯二氯、癸二醯二氯)或對酞醯二氯的群中選出的有機前驅體。在一些實施例中,經氣化的反應物包括自1,4-二異硫氰酸苯酯或對苯二醛的群中選出的有機前驅體。在一些實施例中,經氣化的反應物亦可為二胺,諸如1,4-二胺基苯、癸烷-1,10-二胺、4-硝基苯-1,3-二胺或4,4'-氧基二苯胺。在一些實施例中,經氣化的反應物可為對苯二甲酸雙(2-羥乙基)酯。在一些實施例中,經氣化的反應物可為羧酸,例如,烷基二羧酸或三羧酸、烯基二羧酸或三羧酸、鏈二烯基二羧酸或三羧酸,諸如乙二酸、丙二酸、丁二酸、戊二酸或丙-1,2,3-三羧酸。在一些實施例中,經氣化的反應物可為芳族羧酸或二羧酸,諸如苯甲酸、苯-1,2-二羧酸、苯-1,4-二羧酸或苯-1,3-二羧酸。在一些實施例中,經氣化的反應物可自二醇、三醇、胺基苯酚的群中選出,諸如,4-胺基苯酚、苯-1,4-二醇或苯-1,3,5-三醇。在一些實施例中,經氣化的反應物可為8-喹啉醇。在一些實施例中,經氣化的反應物可包括烯基氯矽烷,如烯基三氯矽烷,諸如7-辛烯基三氯矽烷。
在區塊30中,沈積有機膜。熟習此項技術者將瞭解,區塊30可表示區塊10、區塊40、區塊20、區塊45、區塊50以及區塊60的結果而非單獨動作。區塊10至區塊60共同定義循環,循環可重複直至基板上留有足夠厚度的膜(區塊80)為止,且結束沈積(區塊90)。循環可包含額外步驟,所述步驟無需按相同順序或在每一重複中以相同方式執行,且可易於擴展至更複雜的氣相沈積技術。舉例而言,循環可包含額外反應物供應區塊,諸如在每一循環中或在所選循環中供應以及移除額外反應物。儘管未示,但製程可另外包括處理經沈積膜以形成聚合物(例如,UV處理、退火等)。
在一些實施例中,有機膜不含有金屬原子。在一些實施例中,有機膜不含有半金屬原子。在一些實施例中,有機膜含有金屬原子或半金屬原子。在一些實施例中,有機膜含有碳及氫以及以下元素中的至少一或多種:N、O、S或P。
圖2A為用於有機膜的氣相沈積的設備100的簡化示意性表示。所述設備包含第一反應物容器105,第一反應物容器105經設置以用於將有機的第一反應物110氣化成第一反應物蒸氣。反應腔室界定經設置以容納至少一個基板120的反應空間115。控制系統125經設置以將第一反應物容器105中的第一反應物110維持在溫度A下,且經設置以將反應空間115中的基板120維持在溫度B下,其中溫度B低於溫度A。
氣體管線130將第一反應物容器105流體連接至反應空間115,且經設置以選擇性地將第一反應物蒸氣自第一反應物容器105輸送至通往反應空間115的入口歧管135。在實施例中,控制系統125或單獨溫度控制經設置以將氣體管線130維持在溫度C下,其中溫度C高於溫度A。
設備100包含第二反應物容器140,第二反應物容器140容納第二反應物145。在一些實施例中,第二反應物145天然地為氣態;在其他實施例中,第二反應物容器140亦經設置以將第二反應物145自天然液態或固態氣化。第二反應物容器與入口歧管135選擇性流體連通。入口歧管可包含跨越腔室寬度的共用分佈氣室,或可維持至反應空間115的用於分開的反應物的分開路徑。對於依序沈積實施例,可需要保持反應物入口路徑分開直至引入至反應空間115為止,以便避免沿多個反應物的共同流動路徑的表面發生反應,此可導致粒子產生。在一些實施例中,所述設備可包含用於供應額外反應物的額外容器。
一或多個惰性氣體源150與第一反應物容器105以及反應空間115選擇性流體連通。惰性氣體源150亦可與第二反應物容器140(如所示)以及任何其他所要反應物容器選擇性流體連通以充當運載氣體。根據本文中所描述的沈積方法,控制系統125與氣體分佈系統的閥通信。對於依序沈積處理,閥以交替且重複地將基板暴露於反應物的方式操作,而對於習知CVD製程中反應物的同時供應,閥可經操作以將基板同時暴露於彼此具反應性的反應物。
來自反應空間115的排氣出口155經由排氣管線160與真空泵165連通。控制系統125經設置以操作真空泵165以維持所要操作壓力且經由排氣出口155排出過量反應物蒸氣以及副產物。
圖2B示意性說明可用於如本文中所描述的有機膜的氣相沈積的噴頭反應腔室200的實例。反應器包含噴頭204,其經設置以接收並跨越基板支撐件208上的基板206的分佈反應物蒸氣。雖然說明為單一基板腔室,但熟習此項技術者將瞭解,噴頭反應器亦可容納多個基板。反應空間209界定於噴頭204與基板206之間。第一入口210與第一反應物的源連通,且第二入口212與第二反應物的源連通。可為惰性氣體及/或額外反應物的分開的源提供額外入口(未繪示),且噴頭204亦可具備單獨排氣裝置(未繪示)以在依序沈積(例如,ALD)製程的階段之間加速移除反應物。雖然第一入口210以及第二入口212均繪示為與噴頭204的單一氣室連通,但將理解,在其他配置中,入口可獨立地將反應物饋送至反應空間且無需共用噴頭氣室。以圍繞基板支撐件208的基底的排氣環的形式所示的排氣出口214與真空泵216連通。
圖2C說明可用於如本文中所描述的有機膜的氣相沈積的反應腔室230的不同組態,其中用類似參考數字指代在功能上與圖2B的特徵類似的特徵。通常被稱為水平流動反應器的反應腔室230經組態有第一入口210以及第二入口212,以及排氣出口214。雖然說明為單一基板腔室,但熟習此項技術者將瞭解,水平流動反應器亦可容納多個基板。可為惰性氣體及/或額外反應物的分開的源提供額外入口(未繪示)。如對於依序沈積反應器通常較佳,繪示了分開的第一入口210、第二入口212以使反應空間209的上游的沈積反應最小化,但將理解,在其他配置中,可經由共同入口歧管提供不同反應物,特別是對於CVD處理。雖然第二入口212被說明為自遠端電漿單元202饋送,但熟習此項技術者將瞭解,對於熱沈積製程可省略RPU或不對其供電。熟習此項技術者將瞭解,在其他類型的水平流動反應器中,亦可自腔室的不同側提供不同反應物,其中分開的排氣裝置交替地在不同側上操作,使得第一反應物可在一個方向上流動且第二反應物可以分開的脈衝在另一方向上流動。
圖2D說明可用於有機膜的氣相沈積的反應腔室240的另一實例。所說明腔室經設置以用於經空間劃分的依序沈積反應,而非經時間劃分的反應。經空間劃分的反應使用不同區,此處為區A、區B、區C以及區D,基板穿過所述區移動。替代地,注氣系統(gas injection system)可相對於基板移動,且基板可靜止或旋轉。所述區由障壁242分離,障壁242可為使區A至區D間的蒸氣相互作用最小化的實體壁、惰性氣體簾幕、排氣裝置或其組合。基板支撐件208可呈旋轉平台的形式,如所繪示,或用於經線性排列區的傳送帶(未繪示)。在一個實例中,區A可經裝設管道以及經操作以不斷地供應有第一反應物(諸如,吸附於基板上的前驅體),區B以及區D可經裝設管道以及經操作以供應有惰性或沖洗氣體,且區C可經裝設管道以及經操作以供應有與第一反應物的經吸附物質反應的第二反應物。基板206(繪示四個)移動穿過所述區以依序暴露於第一反應物(區A)、惰性氣體(區B)、第二反應物(區C)以及惰性氣體(區D),之後重複該循環。在經空間劃分的電漿依序沈積的情況下,反應物的駐留時間可取決於反應物穿過區的速度以及基板支撐件208的移動速率兩者。在一些情況下,基板靜止或旋轉,且氣體供應系統(諸如,氣體注入器)在基板上方旋轉。注入器或基板的旋轉速度亦可影響氣體駐留時間。在經空間劃分的依序沈積的變化中,經空間劃分與經時間劃分的依序沈積的組合可在不同時間將不同反應物供應至同一區,同時基板移動穿過所述區。每一區可供應分開的反應物,且可藉由提供由較大數目個區劃分的較大平台或藉由提供穿過較大數目個區的較長傳送機來添加額外區。
雖然未繪示,但熟習此項技術者將易於瞭解,本文中所教示的原理以及優點適用於其他類型的氣相沈積反應器,包含分批反應器,諸如在CVD以及依序沈積(例如,ALD、循環CVD以及混合體)處理的領域中已知的垂直爐。
圖3A至圖3B的曲線圖說明在用於氣相沈積有機膜的方法的不同階段的溫度。圖3A說明根據實施例的沿反應物路徑的溫度輪廓。在溫度A下氣化反應物的源。反應腔室或至少基板保持在溫度B下,溫度B低於溫度A。圖3B說明一些實施例的溫度輪廓,其中在高於溫度A的溫度C下在氣體管線中將反應物蒸氣自氣化容器輸送至反應腔室。較高溫度氣體管線減少冷凝以及隨之發生的污染及/或氣體管線堵塞的風險。
所說明溫度輪廓可應用於涉及低蒸氣壓反應物及/或生長溫度限制的廣泛多種氣相沈積製程。每一反應中的特定溫度將取決於多個因素,包含反應物、所要膜性質、沈積機制以及反應器組態。所述實施例特別適用於氣化有機前驅體以用於氣相有機膜沈積。
前驅體冷凝或多層吸附可能導致可重複性以及製程穩定性的問題。冷凝或多層吸附可出現在源溫度高於沈積溫度時。在一些實施例中,源容器以及源管線中的壓力高於發生沈積的反應腔室或區中的壓力。此負壓力差可減小前驅體冷凝以及多層吸附的概率。此負壓力差可應用於氣相沈積製程的反應物中的一或多種,包含經受圖3A中所說明的溫度輪廓的反應物以及不經受圖3A中所說明的溫度輪廓的反應物兩者。在實驗中,PMDA源管線在45托至50托下,而反應腔室在約2托至10托下。在一些實施例中,源管線與發生沈積的反應腔室或區之間的壓力差可大於1毫托,小於760托,在約1毫托與760托之間,在約5毫托與300托之間,在約10托與200托之間,及/或在其他前述值中的任何者之間。在一些實施例中,以托為單位的源管線壓力對發生沈積的反應腔室或區的壓力的比率可大於1.01,小於1000,在約2與100之間,在約3與50之間,在約5與25之間,及/或在其他前述值中的任何者之間。
在本發明的一些實施例中,溫度A可大於120℃,小於250℃,在約120℃與200℃之間,在約140℃與190℃之間,及/或在其他前述值中的任何者之間。在一些實施例中,溫度B比溫度A低約5℃與約50℃之間,比溫度A低約10℃與約30℃之間,及/或比溫度A低其他前述值中的任何者之間。在一些實施例中,溫度C比溫度A高約0.1℃與約300℃之間,比溫度A高約1℃與約100℃之間,比溫度A高約2℃與約75℃之間,比溫度A高約2℃與約50℃之間,及/或比溫度A高其他前述值中的任何者之間。在一些實施例中,以克耳文為單位的溫度A對溫度C的比率可在約1.001與約2.0之間,在約1.001與約1.5之間,在約1.001與約1.25之間,及/或在約1.001與約1.10之間。在一些實施例中,溫度C可低於溫度A,但高於溫度B。在一些實施例中,溫度C可比溫度A低約0.1℃與約200℃之間,約0.1℃與約50℃之間,約0.1℃與約30℃之間,但高於溫度B。然而,在一些實施例中,溫度C可大致與溫度A相同,但高於溫度B。在一些實施例中,溫度A、溫度B以及溫度C可大致相同。
除了反應物的低蒸氣壓以外,固體反應物的精細微粒形式亦可能在氣相沈積期間造成問題。舉例而言,若在沈積的脈衝期間的壓力差過大,則粒子可易於被吹送或運載至基板。雖然過濾器可用於減少被吹送或運載至基板的微粒,但過濾器可被堵塞,且可嚴重減小氣體管線傳導性,使得劑量變得過低。因此,較佳將沈積期間的壓力差限制為小於約80托,且更特定而言限制為小於約50托,且無需過濾器。
已發現,使用本文中所描述的實施例來沈積有機膜有助於定製膜形態。在一些實施例中,藉由使用對反應物以及設備的交替脈衝以及與前驅體源容器或氣化器相比更低的沈積溫度,減小三維結構的縱橫比的理想地非保形膜可沈積於非平坦基板上。在一些實施例中,非平坦基板包括溝槽或介層孔或其他三維結構。可以在基板的較低特徵上達成比基板的上部場區更厚的膜的方式沈積膜。此自下而上沈積出人意料,因為習知氣相沈積通常在上部場區域上生長更快(諸如,習知CVD),從而導致在溝槽的頂部處捏合且「鑰孔(keyhole)」形成,不然就為保形的(諸如,習知依序沈積製程)。
圖4A至圖4C為根據一些實施例的減小基板的三維結構的縱橫比的氣相沈積製程的示意性表示。圖4A說明具有呈溝槽410形式的三維(three dimensional;3D)特徵的圖案的基板400的橫截面的示意性表示。在其他實施例中,基板可具有不同表面構形。3D特徵可相當小、具有高縱橫比,此通常使得難以藉由沈積達至底部以及填充特徵中的間隙或溝槽而不形成空隙。在所說明的實施例中,3D特徵可具有5 nm至10 µm、更特定而言約5 nm至約500 nm,或約10 nm至約200 nm的橫向尺寸。同時,所說明實施例的3D特徵或溝槽410的高度對寬度的比率或縱橫比可在約0.25與1000之間、約0.5與約100之間、更特定而言約1.0與75之間、且甚至更特定而言約2.0與約50之間的範圍內。圖4B說明基板400的橫截面,其中經沈積的聚合物420展現溝槽410的縱橫比的減小,此是因為與大多數氣相沈積技術形成對比,在自下而上填充製程中沈積有利於3D特徵的底部。圖4C說明基板400的橫截面,其中經沈積聚合物420已均勻地填充溝槽410而在顯微圖中不見任何縫隙且無空隙。在一些實施例中,經沈積有機膜使三維結構的縱橫比減小了大於約1.5倍,大於約5倍,大於約15倍以及大於約25倍,或在一些實施例中,減小了大於約100倍。在一些實施例中,經沈積有機膜減小基板的縱橫比,使得在沈積有機膜之後不再存在實質性縱橫比。在一些實施例中,經沈積有機物填充三維結構(諸如,介層孔或溝槽),三維結構的體積的至少約50%、至少約75%、至少約90%、至少約95%而在經填充體積中不具有任何實質性縫隙或空隙。在一些實施例中,經沈積有機物完全填充三維結構(諸如,介層孔或溝槽),及/或在基板中的三維結構的頂部層級之上存在有機且實質上平坦的膜。經沈積有機膜可包括聚醯胺酸、聚醯亞胺、聚脲、聚胺基甲酸酯、聚噻吩以及其混合物。
圖4D至圖4E為繪示負溫度差實驗的結果的電子顯微圖,其中在依序沈積製程中將PMDA以及DAH交替且依序地提供至基板以沈積聚醯亞胺膜。在150℃的溫度下氣化第一反應物PMDA,將PMDA氣體管線維持在155℃下,且將基板維持在127℃下。使用450 sccm的管線流量、2托的泵管線壓力以及40托至100托的源管線壓力。分別對PMDA以及DAH使用11/8.1秒以及4.7/9秒的脈衝/沖洗長度。圖4D說明在20個循環之後已藉由自下而上填充溝槽410而沈積聚合物420的基板400的橫截面。圖4E說明在60個循環之後已藉由自下而上填充溝槽410而沈積聚合物420的基板400的橫截面。圖4E的經沈積膜展現與初始溝槽的構形相比相對平坦的表面。
在一些實施例中,可基於移除過量反應物蒸氣以不與基板接觸的時間段的長度而定製膜的平坦度。減少移除過量反應物的時間段增加經沈積有機膜的平坦度。在一些實施例中,移除過量的第一反應物蒸氣以及移除過量的第二反應物蒸氣中的每一者發生在多於1秒、少於10秒、在約1秒與約10秒之間及/或在其他前述值中的任何者之間的時間段內。 實例1
圖5A至圖5D繪示將使用自氣化器至基板的負溫度差(圖5A以及圖5B)與使用自氣化器至基板的正溫度差(圖5C以及圖5D)的類似依序沈積製程比較的實驗的結果。所有實驗在由ASM International, N.V.(阿爾梅勒,荷蘭(Almere, The Netherlands))供應的PULSAR 3000™ beta ALD工具中使用300 mm晶圓。與具有正差的製程相比,負溫度差在超過三倍的生長速率下沈積膜,且產生具有更高的厚度均一性的膜。
對於負溫度差實驗,在依序沈積製程中將PMDA以及DAH交替且依序地提供至基板以沈積聚醯亞胺膜。在150℃的溫度下氣化第一反應物PMDA,將PMDA氣體管線維持在153℃下,且將基板維持在127℃下。將第二反應物DAH保持在45℃下。使用450 sccm的管線流量,且分別對PMDA以及DAH使用11/8.066秒以及4.68/9秒的脈衝/沖洗長度。針對PMDA將脈衝壓力差設置成約45托,且不使用管線過濾器。應用60個沈積循環,且藉由光譜橢圓偏振量測法分析所得膜。圖5A及圖5B繪示分別在200 mm晶圓映射大小以及300 mm晶圓映射大小上獲得的厚度圖,在兩種情況下皆使用3 mm邊緣排除(edge exclusions)。生長速率為每循環5.1 Å,且使用200 mm映射大小以及300 mm映射大小的1σ厚度非均一性分別為0.6%以及1.4%。
對於正溫度差實驗,在140℃的溫度下氣化第一反應物PMDA,將PMDA氣體管線維持在143℃下,且將基板維持在150℃下。將第二反應物DAH保持在45℃下。使用450 sccm的管線流量,且分別對PMDA以及DAH使用5/5秒以及2/5秒的脈衝/沖洗長度。針對PMDA將脈衝壓力差設置成約45托,且不使用管線過濾器。應用165個沈積循環,且藉由光譜橢圓偏振量測法分析所得膜。圖5C及圖5D繪示使用200 mm晶圓映射大小(wafer mapping size)以及300 mm晶圓映射大小來獲得的厚度圖,在兩種情況下皆應用3 mm邊緣排除。生長速率為每循環1.6 Å,且使用200 mm映射大小以及300 mm映射大小的1σ厚度非均一性分別為1.1%以及6.0%。 實例2
在於圖案化有溝槽的晶圓上進行的另一負溫度差實驗中,在依序製程中使PMDA與DAH反應以在具有溝槽圖案的基板上沈積聚醯亞胺膜。溝槽具有40 nm至50 nm的可變間距以及25 nm至35 nm開口。在150℃的溫度下氣化第一反應物PMDA,將PMDA氣體管線維持在153℃下,且將基板維持在127℃下。將第二反應物DAH保持在45℃下。使用450 sccm的管線流量,且分別對PMDA以及DAH使用11/8.066秒以及4.68/9秒的脈衝/沖洗長度。藉由穿隧電子顯微法(tunneling electron microscopy;TEM)分析所得膜。在20個循環之後,TEM影像顯示膜在溝槽底部區域上較厚,且在溝槽的側壁上較薄。使用相同參數生長的平坦晶圓上的膜厚度為7 nm,一些溝槽的底部上的膜厚度為約11 nm,且一些溝槽的側面上的膜厚度為約4 nm。因此生長在溝槽的底部區域中進行得更快,從而指示自下而上填充。在60個沈積循環之後,TEM分析顯示藉由聚醯亞胺對溝槽的無縫、自下而上間隙填充。頂部表面相對平滑,從而展現某種自平坦化性能。 實例3
在另一負溫度差實驗中,在依序沈積製程中使PMDA與DAH反應以在具有溝槽圖案的基板上沈積聚醯亞胺膜。使用不同的沖洗時間長度。在一個膜中,對PMDA使用8.066秒的沖洗長度且對DAH使用9.0秒的沖洗長度,在另一膜中,對PMDA以及DAH中的每一者使用15秒的沖洗長度,且在另一膜中,對PMDA以及DAH中的每一者使用25秒的沖洗長度。藉由TEM分析所得膜。沖洗長度確實似乎影響間隙填充效能。然而,較短沖洗導致結構頂部上的膜更平坦。沖洗長度可因此用作定製膜的最終形態的因子。 實例4
在另一負差實驗中,在不同溫度下在兩個分開的替代以及依序沈積製程中使PMDA與DAH反應。在第一實驗中,在150℃下氣化PMDA,且將基板維持在127℃下。在第二實驗中,在180℃下氣化PMDA,且將基板維持在160℃下。在第一實驗中沈積的膜主要為聚醯胺酸,且在第二實驗中經沈積的膜主要為聚醯亞胺。當反應物為PMDA以及DAH時,沈積溫度看起來影響經沈積膜的組成。較低沈積溫度看起來導致聚醯胺酸的比例較大,且較高沈積溫度看起來導致聚醯亞胺的比例較大。 實例5
在另一負溫度差實驗中,將經沈積聚醯胺膜退火以形成聚醯亞胺。當使PMDA與DAH反應時,在較低沈積溫度下以較大比例沈積聚醯胺酸。藉由FTIR光譜法確認轉換成聚醯亞胺,在不同溫度下退火的四個聚醯胺膜的資料如下: 表I
  127 下沈積的聚醯胺膜 退火 經退火膜
平均厚度 nm 厚度非均一性 1 σ 折射率 溫度( 平均厚度 nm 厚度非均一性 1 σ 折射率
1 32.898 1.44 1.578 200 22.707 1.99 1.6099
2 31.048 1.87 1.5719 250 20.438 2.89 1.6119
3 31.183 1.65 1.572 300 20.385 2.11 1.6149
4 30.665 1.81 1.5642 350 19.426 2.39 1.6056
實例6
在另一負溫度差實驗中,在不同溫度下沈積有機膜。藉由光譜測電法(spectroscopic electrometry;SE)以及X射線反射率(X-ray reflectivity;XRR)分析及量測厚度。亦量測密度以及RMS粗糙度。四個膜的資料如下: 表II
沈積溫度 退火 SE 厚度 nm XRR 厚度 nm 密度 g/cm3 粗糙度 nm
1 127℃ 32.6 33.4 1.419 0.338
2 127℃ 200℃ 24.6 24.6 1.434 0.449
3 150℃ 25.2 25.9 1.472 0.377
4 160℃ 38.2 39.4 1.401 0.400
實例7
在另一負溫度差實驗中,使用水來蝕刻經沈積膜以確認自聚醯胺酸至更耐蝕刻聚合物(諸如,聚醯亞胺)的轉換。聚醯胺酸為水溶性的,且可由水蝕刻。相比之下,聚醯亞胺不是水溶性的,且無法由水蝕刻。在127℃下沈積第一膜,且因此第一膜主要為聚醯胺酸。在160℃下沈積第二膜,且因此第二膜主要為聚醯亞胺。在127℃下沈積第三膜,且隨後藉由氬電漿處理以將經沈積聚醯胺酸轉換成聚醯亞胺。在暴露於水之前以及之後量測膜的厚度且比較所述厚度以判定藉由水蝕刻的程度。以下資料顯示在127℃下沈積的聚醯胺膜被水蝕刻,且在160℃下沈積的聚醯亞胺膜以及在127℃下沈積且隨後經固化以形成聚醯亞胺的聚醯胺酸膜未被水蝕刻: 表III
127 下沈積
H2 O 中的時間( s 開始厚度( nm 結束厚度( nm Δ (nm)
1 33.20 7.10 26.10
5 33.12 9.27 23.85
10 33.07 7.52 25.55
表IV
160 下沈積
H2 O 中的時間( s 開始厚度( nm 結束厚度( nm Δ (nm)
10 41.10 40.87 0.23
20 40.72 39.89 0.83
60 40.18 40.63 -0.45
表V
127 下沈積 後接續藉由氬電漿處理 200W 2 min
H2 O 中的時間( s 開始厚度( nm 結束厚度( nm Δ (nm)
10 40.05 41.33 -1.28
120 39.96 40.85 -0.89
300 39.40 41.02 -1.62
實例8
在於圖案化有溝槽的晶圓上進行的另一負溫度差實驗中,在依序製程中使對1,4-苯二異氰酸酯(phenylenediisocyanate;PDIC)與DAH反應以在具有溝槽圖案的基板上沈積聚脲膜。溝槽具有40 nm及50 nm的可變間距以及25 nm至35 nm開口。在75℃的溫度下氣化第一反應物PDIC,將PDIC氣體管線維持在85℃下,且將基板維持在40℃下。將第二反應物DAH保持在45℃下。使用450 sccm的管線流量,且分別對PDIC以及DAH使用3/2秒以及8/7秒的脈衝/沖洗長度。藉由穿隧電子顯微法(tunneling electron microscopy;TEM)分析所得膜。在50個循環之後,TEM影像顯示膜在溝槽底部區域上較厚,且在溝槽的側壁上較薄(圖6A)。使用相同參數生長的平坦晶圓上的膜厚度為7 nm,一些溝槽的底部上的膜厚度為約10 nm,且一些溝槽的側面上的膜厚度為約3 nm。因此生長在溝槽的底部區域中進行得更快,從而指示自下而上填充。在215個沈積循環之後,TEM分析(圖6B)顯示藉由聚脲對溝槽的無縫、自下而上間隙填充。三維特徵的縱橫比減小,從而展現某種自平坦化性能。
圖7至圖9為經設置以用於自反應空間的側面提供反應物蒸氣饋通件而不管頂置式氣體分佈系統的氣相沈積設備的高階示意圖。側饋通件的使用導致自有機前驅體氣化器至氣體分佈區塊的路徑與至(例如)噴頭氣室的習用頂置式、對稱饋送相比更短。較短反應物路徑可有利於操作並維護用於有機膜的氣相沈積的設備,如上文所描述。為簡單起見,不繪示氣相沈積設備的其他特徵,諸如第二反應物源、反應物入口、入口歧管、排氣出口以及控制系統,但可如關於(例如)圖2A所描述。
圖7為根據本文中所描述的某些實施例的氣相沈積設備700的示意性側視截面圖。第一反應物容器705可為經加熱反應物源,諸如適合於如上文所描述的有機膜的ALD的有機反應物的氣化器。內部反應腔室界定可支撐一或多個基板的反應空間715。亦加熱自第一反應物容器705通至反應空間715的氣體管線730。分開的外部真空腔室732圍繞內部反應腔室。溫度輪廓可遵循圖3B的溫度輪廓,使得氣體管線730的溫度高於反應物容器705或反應空間715中的基板溫度;且反應物容器705的溫度高於反應空間715中的基板溫度。
圖8繪示氣相沈積設備700,其中用類似參考數字指代與圖7的部分類似的部分。在圖8中,內部反應腔室繪示為包含兩個部分:經加熱區塊735以及反應空間715。經加熱區塊735的溫度可高於經加熱氣體管線730的溫度,使得溫度可自反應物容器705至通往經加熱區塊735的氣體管線730增加,其中反應空間715中的基板的溫度低於反應物容器705的溫度。經加熱區塊735可用以跨越反應空間715中所容納的基板均勻地分佈反應物蒸氣。舉例而言,經加熱區塊735可表示在反應空間715中的基板支撐件(例如,晶座)上方的噴頭。
在圖7以及圖8中,經加熱反應物容器705以及饋送至反應腔室中的經加熱氣體管線730皆位於腔室的側上。此配置有助於反應器維修,且腔室可易於自頂部敞開。相比之下,典型噴頭反應器經由腔室的頂部對稱地相對於分佈穿孔饋送反應物。此頂置式饋送延長反應物的路徑,且亦使得更難以敞開腔室以用於維修,特別對於有機膜沈積而言。而且,氣體管線730(特定而言經由反應腔室饋送的部分)的加熱當氣體管線在側上時更容易,且經加熱氣體管線730的饋通件部分的長度可製造得極小。此配置使得其更高效且更容易自管線消除冷點。亦可藉由較短饋通管線達成較佳傳導性,因此允許較大前驅體劑量。
雖然圖7以及圖8出於說明的目的僅繪示一個經加熱反應物容器以及經加熱氣體管線,但熟習此項技術者將瞭解,取決於有機膜沈積配方中的前驅體的數目以及類型,經加熱源以及經加熱管線的數目可多於一個。
圖9(例如)為根據某些實施例的具有兩個經加熱反應物容器705A以及705B以及穿過外部真空腔室732饋送至經加熱區塊735的兩個經加熱氣體管線730A以及730B的氣相沈積設備700的示意性俯視平面圖。經加熱區塊735可為在反應空間715上方的氣體分佈區塊(例如,噴頭),反應空間715可包含基板支撐件。基板支撐件可包括附接至升降機的圓形晶座板,以易於在外部真空腔室732內轉移晶圓。
經加熱區塊735可自反應物容器705A以及705B均勻地跨越反應空間715內所容納的基板分佈前驅體氣體。經加熱區塊735可具有多種設計。在一個實施例中,所有入口氣體饋通件被引導至同一空間(例如,共同噴頭氣室),且前驅體自同一通道(例如,至反應空間715中的基板的噴頭穿孔)流動。在另一實施例中,不同前驅體氣體經由不同通道引導至基板,使得反應空間715為不同反應物會合的第一位置。此配置較佳用於某些ALD配方以避免在經加熱區塊735內部出現彼此具反應性的元素之間的反應,且因此避免粒子形成。在一個實例中,可使用雙反應物噴頭,其為分開的反應物提供分開的氣室以及分開的穿孔。在另一實例中,可為分開的反應物提供分開的穿孔管道。反應物應保持分離或是穿過共同分佈氣室取決於沈積配方的實際反應物以及反應溫度。
圖10為供結合圖8或圖9的實施例使用的具有分開的反應物的分開分佈的氣體分佈區塊735的實例的示意性俯視平面圖。將理解,在示意性表示中未按比例繪製尺寸。在圖10中,經加熱氣體管線730A以及730B延伸至具有在基板706之上的穿孔的經加熱反應物分佈管730A'以及730B'中,基板706支撐於所述管之下的反應空間中。分佈管730A'以及730B'借助於分別可控制閥717A以及717B引導至排氣裝置716。閥717A以及717B可控制前驅體流量以及在反應物階段之間自經加熱分佈管730A'以及730B'的沖洗。
圖11為併有圖10的氣體分佈區塊735的氣相沈積設備的示意性側視截面圖。經加熱反應物容器705A以及705B饋送經加熱氣體管線730A以及730B,經加熱氣體管線730A以及730B又延伸至經加熱氣體分佈管730A'以及730B'中。閥717A、717B控制自氣體分佈管730A'以及730B'至排氣裝置716的流量,以便在操作中控制反應物流量以及沖洗。分佈管730A'以及730B'延伸至內部反應腔室的覆蓋區塊750中。外部真空腔室732以及內部反應腔室界定其之間的真空空間752。基板706繪示為支撐於基板支撐件708上,且在支撐基板706的位置周圍提供排氣裝置714。氣體分佈管730A'以及730B'的排氣裝置716以及反應空間715的排氣裝置714可連接至相同或不同的真空源。覆蓋區塊750與基板支撐件708之間的間隔件754輔助密封反應空間715。
圖10以及圖11繪示經加熱氣體分佈區塊735的內部部分的一個可能設計。兩個迷路(labyrinthine)管(分佈管730A'以及730B')繪示為在基板706上方呈z形。第一反應物自第一分佈管730A'中的孔擴散跨越基板706,且第二反應物自第二分佈管730'B的孔分佈。分佈管730A'以及730B'兩者引導至泵排氣裝置716。在將第一反應物供應至基板期間,惰性運載氣體可用於促進反應物流動至第一分佈管730A'。可保持反應空間715中的壓力低於分佈管730A'中的壓力,且因此前驅體自分佈管730A'流動至基板706的表面。在ALD程序中,在反應物脈衝之間的沖洗期間,停止反應物流動,且僅運載氣體在第一分佈管730A'中流動。可高效沖洗分佈管730A',因為其亦引導至排氣裝置716。可在反應物提供期間關閉閥717A以及717B以促進反應物流動至反應空間715,且在沖洗期間再次打開閥717A以及717B。噴頭狀氣體分佈系統的此類型具有噴頭的益處,但其可被更有效地沖洗以減少粒子形成。管中的孔大小可藉由常規實驗最佳化。分佈管730A'以及730B'在覆蓋區塊750內延伸以使逃脫至外部真空腔室732的反應物最小化,從而導致較大的前驅體消耗效率。
如上文所描述,溫度梯度可自反應物容器705A以及705B至其各別氣體管線730A以及730B增加,且繼續向分佈區塊735的分佈管730A'以及730B'增加。基板支撐件708以及支援於其上的基板706的溫度可低於反應物容器705A以及705B的溫度,且因此亦可低於經加熱氣體管線730A以及730B以及分佈區塊735的溫度。換言之,系統控制可控制氣化溫度A、基板溫度B、氣體管線溫度C以及氣體分佈區塊溫度D,使得B<A<C<D。
在圖11的沈積設備700中,反應空間715具有其自身的排氣裝置714。在所說明的實施例中,排氣裝置714均勻地圍繞基板(例如,晶圓),且自基板周圍抽汲氣體。
圖10以及圖11繪示氣體分佈區塊的一個實例。在其他實施例中,分佈管730A'以及730B'可製成不同形狀,諸如螺旋形。較佳地,流動路徑不具有尖銳轉角或隅角,使得氣體流體地流動且具有極小湍流。
圖12為具有分開的反應物的共同分佈路徑的氣相沈積設備的示意性側視截面圖,其中用類似參考數字指代與圖11的部分類似的部分。圖12的實施例不同於圖11的實施例,此是因為傳統噴頭760代替圖11的管充當經加熱分佈區塊735。溫度可自第一反應物容器705A至對應經加熱氣體管線730A至對應饋通管線(分佈管730A')至噴頭760增加。類似地,溫度可自第二反應物容器705B至對應經加熱氣體管線730B至對應饋通管線(分佈管730B')至噴頭760增加。在噴頭760下方的反應空間715中的基板706的溫度可低於反應物容器705A以及705B以及沿流動路徑的介入特徵的溫度。換言之,系統控制可針對每一反應物控制氣化溫度A、基板溫度B、氣體管線溫度C、氣體饋通件溫度D以及氣體分佈區塊溫度E,使得B<A<C<D<E。類似於圖11的閥717A、717B,閥717C可控制反應物流量以及在反應物脈衝之間的噴頭760的沖洗。
在其他實施例中,分佈區塊可類似於美國專利公開案第US2004216665號、第US20030075273號以及第US2004216668號的氣體分佈系統,所述美國專利公開案的全部揭露內容出於所有目的以引用的方式併入本文中。在此等實施例以及圖7至圖12的實施例中,與水平或交叉流動反應腔室相比,可自頂上分佈氣體以用於跨越基板更均勻地分佈反應物。
然而,不同於傳統噴頭或雙噴頭氣體分佈系統,側饋通件(side feedthrough)提供至分佈區塊的較短且較不複雜的流動路徑。傳統噴頭系統不通常適合於低蒸氣壓前驅體,諸如,用於有機膜沈積的有機前驅體,如本文中所描述。其趨向於具有藉由許多接頭連接至噴頭的頂部的長前驅體管道,且閥趨向於削弱溫度控制效率,且可歸因於冷點而導致粒子產生。除了有助於在沈積操作之間進行維護以及清潔以外,所說明側饋通件亦更易於藉由經適當定位的加熱器以及溫度感測器均勻地加熱。
此外,沈積設備可具備就地清潔系統。不同於無機膜,可沿本文中所描述的沈積反應器的氣體分佈路徑形成的有機膜以及前驅體殘餘物可相對易於藉由氧化反應清潔。因此,可藉由將含氧蒸氣提供至氣體管線或直接地藉由單獨供應至氣體分佈區塊735來實現就地清潔。舉例而言,O2 可提供至氣體分佈區塊735或向上游提供至經加熱氣體管線或經加熱氣體饋通件。更佳地,供應活化氧化劑(諸如,O3 氣體或O電漿產物)以用於週期性地在沈積或沈積操作之間進行就地清潔循環。
儘管已論述某些實施例以及實例,但熟習此項技術者將理解,本發明申請專利範圍的範疇延伸超出特定揭露的實施例而至其他替代性實施例及/或用途以及其顯而易見的修改以及等效物。
10、20、30、40、45、50、60、80、90:區塊 100:設備 105:第一反應物容器 110:第一反應物 115:反應空間 120:基板 125:控制系統 130:氣體管線 135:入口歧管 140:第二反應物容器 145:第二反應物 150:惰性氣體源 155:排氣出口 160:排氣管線 165:真空泵 200:噴頭反應腔室 202:遠端電漿單元 204:噴頭 206:基板 208:基板支撐件 209:反應空間 210:第一入口 212:第二入口 214:排氣出口 216:真空泵 230:反應腔室 240:反應腔室 242:障壁 400:基板 410:溝槽 420:聚合物 700:氣相沈積設備 705:反應物容器 705A:反應物容器 705B:反應物容器 706:基板 708:基板支撐件 714:排氣裝置 715:反應空間 716:排氣裝置 717A:閥 717B:閥 717C:閥 730:氣體管線 730A:氣體管線 730B:氣體管線 730A':分佈管 730B':分佈管 732:外部真空腔室 735:區塊 750:覆蓋區塊 752:真空空間 754:間隔件 760:噴頭 A、B、C:溫度
圖1A至圖1B為說明有機膜的氣相沈積方法的流程圖。 圖2A至圖2D為可用於本文中所描述的沈積製程的氣相沈積設備的實例的示意性表示。 圖3A至圖3B為說明在用於氣相沈積有機膜的方法的不同階段的溫度的曲線圖。 圖4A至圖4E為根據用於氣相沈積有機膜的方法的溝槽的自下而上填充的表示。 圖5A至圖5D為分別藉由沈積溫度高於氣化容器的方法以及藉由使用高於沈積溫度的氣化溫度的沈積製程來沈積的膜的厚度圖。 圖6A至圖6B為根據用於氣相沈積有機膜的方法的溝槽的自下而上填充的表示。 圖7為根據本文中所描述的某些實施例的氣相沈積設備的示意性側視截面圖。 圖8為根據某些實施例的具有經加熱蒸氣分佈區塊的氣相沈積設備的示意性側視截面圖。 圖9為根據某些實施例的具有兩個經加熱蒸氣源以及至經加熱蒸氣分佈區塊的兩個蒸氣饋源(feed)的氣相沈積設備的示意性俯視平面圖。 圖10為具有供結合圖8或圖9的實施例使用的分開的反應物的分開分佈的氣體分佈區塊的實例的示意性俯視平面圖。 圖11為併有圖10的氣體分佈區塊的氣相沈積設備的示意性側視截面圖。 圖12為具有分開的反應物的共同分佈路徑的氣相沈積設備的示意性側視截面圖。
100:設備
105:第一反應物容器
110:第一反應物
115:反應空間
120:基板
125:控制系統
130:氣體管線
135:入口歧管
140:第二反應物容器
145:第二反應物
150:惰性氣體源
155:排氣出口
160:排氣管線
165:真空泵

Claims (12)

  1. 一種形成有機膜的方法,包括: 在氣化器中氣化第一反應物以形成第一反應物蒸氣; 於反應空間中,暴露半導體基板於所述第一反應物蒸氣以及第二反應物蒸氣,其中所述半導體基板在暴露期間維持在約100℃與約150℃之間的溫度下;以及 在所述半導體基板上自所述第一反應物蒸氣以及所述第二反應物蒸氣沈積聚醯胺酸膜,其中所述聚醯胺酸膜主要包括聚醯胺酸。
  2. 如申請專利範圍第1項所述的形成有機膜的方法,其進一步包括將所述聚醯胺酸膜轉換成聚醯亞胺。
  3. 如申請專利範圍第1項所述的形成有機膜的方法,其中所述第一反應物蒸氣包括二酐。
  4. 如申請專利範圍第3項所述的形成有機膜的方法,所述二酐包括苯均四酸二酐(PMDA)。
  5. 如申請專利範圍第4項所述的形成有機膜的方法,其中所述第二反應物蒸氣包括二胺,所述二胺包括1,6-二胺基己烷(DAH)。
  6. 如申請專利範圍第1項所述的形成有機膜的方法,其中暴露所述半導體基板於所述第一反應物蒸氣以及所述第二反應物蒸氣包括將所述半導體基板維持在約130℃以下。
  7. 如申請專利範圍第1項所述的形成有機膜的方法,其中所述第二反應物蒸氣包括二胺。
  8. 如申請專利範圍第7項所述的形成有機膜的方法,其中所述二胺包括1,6-二胺基己烷(DAH)。
  9. 如申請專利範圍第1項所述的形成有機膜的方法,其中暴露所述半導體基板於所述第一反應物蒸氣以及所述第二反應物蒸氣包括交替且依序地暴露所述半導體基板於所述第一反應物蒸氣以及所述第二反應物蒸氣。
  10. 如申請專利範圍第1項所述的形成有機膜的方法,其中所述半導體基板在所述暴露期間維持的所述溫度較氣化的溫度低約5℃至約50℃之間。
  11. 如申請專利範圍第1項所述的形成有機膜的方法,其中所述半導體基板在所述暴露期間維持的所述溫度較氣化的溫度低約10℃至約30℃之間。
  12. 如申請專利範圍第1項所述的形成有機膜的方法,更包括經由氣體管線將所述第一反應物蒸氣輸送至所述反應空間,所述氣體管線維持的溫度高於氣化的溫度,其中氣化的所述溫度高於所述半導體基板在所述暴露期間維持的所述溫度。
TW110133249A 2015-10-09 2016-10-06 形成有機膜的方法 TW202146695A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US14/879,962 US10695794B2 (en) 2015-10-09 2015-10-09 Vapor phase deposition of organic films
US14/879,962 2015-10-09
US15/070,594 US10343186B2 (en) 2015-10-09 2016-03-15 Vapor phase deposition of organic films
US15/070,594 2016-03-15

Publications (1)

Publication Number Publication Date
TW202146695A true TW202146695A (zh) 2021-12-16

Family

ID=58499280

Family Applications (3)

Application Number Title Priority Date Filing Date
TW110133249A TW202146695A (zh) 2015-10-09 2016-10-06 形成有機膜的方法
TW105132286A TWI707978B (zh) 2015-10-09 2016-10-06 有機膜的氣相沈積
TW109123189A TWI827860B (zh) 2015-10-09 2016-10-06 減小半導體基板上的三維結構的縱橫比的方法及有機膜沈積設備

Family Applications After (2)

Application Number Title Priority Date Filing Date
TW105132286A TWI707978B (zh) 2015-10-09 2016-10-06 有機膜的氣相沈積
TW109123189A TWI827860B (zh) 2015-10-09 2016-10-06 減小半導體基板上的三維結構的縱橫比的方法及有機膜沈積設備

Country Status (4)

Country Link
US (1) US10343186B2 (zh)
JP (4) JP7007795B2 (zh)
KR (2) KR102546221B1 (zh)
TW (3) TW202146695A (zh)

Families Citing this family (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI529808B (zh) 2010-06-10 2016-04-11 Asm國際股份有限公司 使膜選擇性沈積於基板上的方法
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US9895715B2 (en) 2014-02-04 2018-02-20 Asm Ip Holding B.V. Selective deposition of metals, metal oxides, and dielectrics
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10814349B2 (en) 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
US9981286B2 (en) 2016-03-08 2018-05-29 Asm Ip Holding B.V. Selective formation of metal silicides
CN109314045B (zh) 2016-04-18 2023-08-04 Asm Ip 控股有限公司 于基底上形成定向自组装层的方法
US10204782B2 (en) 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US10014212B2 (en) 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
US11094535B2 (en) 2017-02-14 2021-08-17 Asm Ip Holding B.V. Selective passivation and selective deposition
US11404313B2 (en) 2017-04-26 2022-08-02 Applied Materials, Inc. Selective tungsten deposition at low temperatures
US10256144B2 (en) 2017-04-26 2019-04-09 Applied Materials, Inc. Process integration approach of selective tungsten via fill
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
US11170993B2 (en) 2017-05-16 2021-11-09 Asm Ip Holding B.V. Selective PEALD of oxide on dielectric
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
JP7077108B2 (ja) * 2018-04-05 2022-05-30 東京エレクトロン株式会社 被加工物の処理方法
JP7093667B2 (ja) * 2018-04-11 2022-06-30 東京エレクトロン株式会社 成膜装置及び成膜方法
JP7043950B2 (ja) * 2018-04-11 2022-03-30 東京エレクトロン株式会社 成膜装置、及び成膜方法
JP6981356B2 (ja) 2018-04-24 2021-12-15 東京エレクトロン株式会社 成膜装置及び成膜方法
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
JP2019207965A (ja) * 2018-05-30 2019-12-05 東京エレクトロン株式会社 成膜装置及び成膜方法
JP7061935B2 (ja) * 2018-06-21 2022-05-02 東京エレクトロン株式会社 制御装置、成膜装置、制御方法、成膜方法、および制御プログラム
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
JP7236953B2 (ja) * 2019-08-05 2023-03-10 東京エレクトロン株式会社 成膜装置および成膜方法
JP7323409B2 (ja) * 2019-10-01 2023-08-08 東京エレクトロン株式会社 基板処理方法、及び、プラズマ処理装置
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
TW202204658A (zh) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
KR20210158811A (ko) 2020-06-24 2021-12-31 에이에스엠 아이피 홀딩 비.브이. 유기 재료의 영역 선택적 제거

Family Cites Families (172)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4948755A (en) 1987-10-08 1990-08-14 Standard Microsystems Corporation Method of manufacturing self-aligned conformal metallization of semiconductor wafer by selective metal deposition
US4863879A (en) 1987-12-16 1989-09-05 Ford Microelectronics, Inc. Method of manufacturing self-aligned GaAs MESFET
JPH0485024A (ja) 1990-07-30 1992-03-18 Mitsubishi Gas Chem Co Inc 銅張積層板の製造法
DE4115872A1 (de) * 1991-05-15 1992-11-19 Basf Ag Verfahren zur herstellung duenner polyimidschutzschichten auf keramischen supraleitern oder hochtemperatursupraleitern
US5447887A (en) 1994-04-01 1995-09-05 Motorola, Inc. Method for capping copper in semiconductor devices
US6251758B1 (en) 1994-11-14 2001-06-26 Applied Materials, Inc. Construction of a film on a semiconductor wafer
JP3373320B2 (ja) * 1995-02-10 2003-02-04 株式会社アルバック 銅配線製造方法
US5633036A (en) 1995-04-21 1997-05-27 The Board Of Trustees Of The University Of Illinois Selective low temperature chemical vapor deposition of titanium disilicide onto silicon regions
US5925494A (en) 1996-02-16 1999-07-20 Massachusetts Institute Of Technology Vapor deposition of polymer films for photolithography
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5939334A (en) 1997-05-22 1999-08-17 Sharp Laboratories Of America, Inc. System and method of selectively cleaning copper substrate surfaces, in-situ, to remove copper oxides
US5869135A (en) 1997-10-03 1999-02-09 Massachusetts Institute Of Technology Selective chemical vapor deposition of polymers
US20060219157A1 (en) 2001-06-28 2006-10-05 Antti Rahtu Oxide films containing titanium
US6958174B1 (en) 1999-03-15 2005-10-25 Regents Of The University Of Colorado Solid material comprising a thin metal film on its surface and methods for producing the same
KR20010001072A (ko) 1999-06-01 2001-01-05 부원영 네트웍을 이용한 온라인 축구 게임 및 그 방법
US6046108A (en) 1999-06-25 2000-04-04 Taiwan Semiconductor Manufacturing Company Method for selective growth of Cu3 Ge or Cu5 Si for passivation of damascene copper structures and device manufactured thereby
US6319635B1 (en) 1999-12-06 2001-11-20 The Regents Of The University Of California Mitigation of substrate defects in reticles using multilayer buffer layers
US6503330B1 (en) 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
US7494927B2 (en) 2000-05-15 2009-02-24 Asm International N.V. Method of growing electrical conductors
US6878628B2 (en) 2000-05-15 2005-04-12 Asm International Nv In situ reduction of copper oxide prior to silicon carbide deposition
US6679951B2 (en) 2000-05-15 2004-01-20 Asm Intenational N.V. Metal anneal with oxidation prevention
US6921712B2 (en) 2000-05-15 2005-07-26 Asm International Nv Process for producing integrated circuits including reduction using gaseous organic compounds
US6759325B2 (en) 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US7030551B2 (en) 2000-08-10 2006-04-18 Semiconductor Energy Laboratory Co., Ltd. Area sensor and display apparatus provided with an area sensor
US7294563B2 (en) 2000-08-10 2007-11-13 Applied Materials, Inc. Semiconductor on insulator vertical transistor fabrication and doping process
JP4095763B2 (ja) 2000-09-06 2008-06-04 株式会社ルネサステクノロジ 半導体装置及びその製造方法
WO2002045167A2 (en) 2000-11-30 2002-06-06 Asm International N.V. Thin films for magnetic devices
US6949450B2 (en) 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US7192827B2 (en) 2001-01-05 2007-03-20 Micron Technology, Inc. Methods of forming capacitor structures
US6613656B2 (en) 2001-02-13 2003-09-02 Micron Technology, Inc. Sequential pulse deposition
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
JP4921652B2 (ja) 2001-08-03 2012-04-25 エイエスエム インターナショナル エヌ.ヴェー. イットリウム酸化物およびランタン酸化物薄膜を堆積する方法
JP2003109941A (ja) 2001-09-28 2003-04-11 Canon Inc プラズマ処理装置および表面処理方法
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
US20030192090P1 (en) 2002-04-03 2003-10-09 Meilland Alain A. Hybrid tea rose plant named 'Meibderos'
US6812153B2 (en) * 2002-04-30 2004-11-02 Applied Materials Inc. Method for high aspect ratio HDP CVD gapfill
US6586330B1 (en) 2002-05-07 2003-07-01 Tokyo Electron Limited Method for depositing conformal nitrified tantalum silicide films by thermal CVD
KR102220703B1 (ko) 2002-11-15 2021-02-26 프레지던트 앤드 펠로우즈 오브 하바드 칼리지 금속 아미디네이트를 이용한 원자층 증착법
KR20040056026A (ko) 2002-12-23 2004-06-30 주식회사 하이닉스반도체 구리 배선의 캐핑층 형성 방법
US7115528B2 (en) 2003-04-29 2006-10-03 Micron Technology, Inc. Systems and method for forming silicon oxide layers
US6844258B1 (en) 2003-05-09 2005-01-18 Novellus Systems, Inc. Selective refractory metal and nitride capping
US7914847B2 (en) 2003-05-09 2011-03-29 Asm America, Inc. Reactor surface passivation through chemical deactivation
KR101090895B1 (ko) 2003-05-09 2011-12-08 에이에스엠 아메리카, 인코포레이티드 화학적 비활성화를 통한 반응기 표면의 패시베이션
US6811448B1 (en) 2003-07-15 2004-11-02 Advanced Micro Devices, Inc. Pre-cleaning for silicidation in an SMOS process
US7067407B2 (en) 2003-08-04 2006-06-27 Asm International, N.V. Method of growing electrical conductors
US7323411B1 (en) 2003-09-26 2008-01-29 Cypress Semiconductor Corporation Method of selective tungsten deposition on a silicon surface
US7375033B2 (en) 2003-11-14 2008-05-20 Micron Technology, Inc. Multi-layer interconnect with isolation layer
US7405143B2 (en) 2004-03-25 2008-07-29 Asm International N.V. Method for fabricating a seed layer
US7309395B2 (en) 2004-03-31 2007-12-18 Dielectric Systems, Inc. System for forming composite polymer dielectric film
TW200539321A (en) * 2004-05-28 2005-12-01 Applied Materials Inc Method for improving high density plasmachemical vapor deposition process
US20060019493A1 (en) 2004-07-15 2006-01-26 Li Wei M Methods of metallization for microelectronic devices utilizing metal oxide
TW200619222A (en) 2004-09-02 2006-06-16 Rohm & Haas Elect Mat Method for making organometallic compounds
US8882914B2 (en) 2004-09-17 2014-11-11 Intermolecular, Inc. Processing substrates using site-isolated processing
US7476618B2 (en) 2004-10-26 2009-01-13 Asm Japan K.K. Selective formation of metal layers in an integrated circuit
US20060156979A1 (en) 2004-11-22 2006-07-20 Applied Materials, Inc. Substrate processing apparatus using a batch processing chamber
US20060199399A1 (en) 2005-02-22 2006-09-07 Muscat Anthony J Surface manipulation and selective deposition processes using adsorbed halogen atoms
US7488967B2 (en) 2005-04-06 2009-02-10 International Business Machines Corporation Structure for confining the switching current in phase memory (PCM) cells
US7425350B2 (en) 2005-04-29 2008-09-16 Asm Japan K.K. Apparatus, precursors and deposition methods for silicon-containing materials
US7084060B1 (en) 2005-05-04 2006-08-01 International Business Machines Corporation Forming capping layer over metal wire structure using selective atomic layer deposition
US7402519B2 (en) 2005-06-03 2008-07-22 Intel Corporation Interconnects having sealing structures to enable selective metal capping layers
KR100695876B1 (ko) 2005-06-24 2007-03-19 삼성전자주식회사 오버레이 키 및 그 형성 방법, 오버레이 키를 이용하여형성된 반도체 장치 및 그 제조 방법.
US20070099422A1 (en) 2005-10-28 2007-05-03 Kapila Wijekoon Process for electroless copper deposition
GB2432363B (en) 2005-11-16 2010-06-23 Epichem Ltd Hafnocene and zirconocene precursors, and use thereof in atomic layer deposition
US7595271B2 (en) 2005-12-01 2009-09-29 Asm America, Inc. Polymer coating for vapor deposition tool
TW200746268A (en) 2006-04-11 2007-12-16 Applied Materials Inc Process for forming cobalt-containing materials
JP5032145B2 (ja) 2006-04-14 2012-09-26 株式会社東芝 半導体装置
EP2029790A1 (en) 2006-06-02 2009-03-04 L'AIR LIQUIDE, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method of forming high-k dielectric films based on novel titanium, zirconium, and hafnium precursors and their use for semiconductor manufacturing
US9245739B2 (en) 2006-11-01 2016-01-26 Lam Research Corporation Low-K oxide deposition by hydrolysis and condensation
DE102007004867B4 (de) 2007-01-31 2009-07-30 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Erhöhen der Zuverlässigkeit von kupferbasierten Metallisierungsstrukturen in einem Mikrostrukturbauelement durch Anwenden von Aluminiumnitrid
US20080241575A1 (en) 2007-03-28 2008-10-02 Lavoie Adrein R Selective aluminum doping of copper interconnects and structures formed thereby
KR20100072021A (ko) 2007-09-14 2010-06-29 시그마-알드리치컴퍼니 하프늄과 지르코늄계 전구체를 이용한 원자층 증착에 의한 박막의 제조 방법
JP2009076590A (ja) 2007-09-19 2009-04-09 Hitachi Kokusai Electric Inc クリーニング方法
WO2009102363A2 (en) 2007-11-15 2009-08-20 Stc.Unm Ultra-thin microporous/hybrid materials
JP5198106B2 (ja) * 2008-03-25 2013-05-15 東京エレクトロン株式会社 成膜装置、及び成膜方法
US20090269507A1 (en) 2008-04-29 2009-10-29 Sang-Ho Yu Selective cobalt deposition on copper surfaces
US7993950B2 (en) 2008-04-30 2011-08-09 Cavendish Kinetics, Ltd. System and method of encapsulation
US8114301B2 (en) 2008-05-02 2012-02-14 Micron Technology, Inc. Graphoepitaxial self-assembly of arrays of downward facing half-cylinders
WO2010009295A2 (en) 2008-07-16 2010-01-21 Applied Materials, Inc. Hybrid heterojunction solar cell fabrication using a metal layer mask
US8425739B1 (en) 2008-09-30 2013-04-23 Stion Corporation In chamber sodium doping process and system for large scale cigs based thin film photovoltaic materials
EP2361445A4 (en) 2008-12-01 2012-07-04 Du Pont ANODE FOR AN ORGANIC ELECTRONIC DEVICE
US20100147396A1 (en) 2008-12-15 2010-06-17 Asm Japan K.K. Multiple-Substrate Transfer Apparatus and Multiple-Substrate Processing Apparatus
US9379011B2 (en) 2008-12-19 2016-06-28 Asm International N.V. Methods for depositing nickel films and for making nickel silicide and nickel germanide
US7927942B2 (en) 2008-12-19 2011-04-19 Asm International N.V. Selective silicide process
US8242019B2 (en) 2009-03-31 2012-08-14 Tokyo Electron Limited Selective deposition of metal-containing cap layers for semiconductor devices
US8071452B2 (en) 2009-04-27 2011-12-06 Asm America, Inc. Atomic layer deposition of hafnium lanthanum oxides
JP5359642B2 (ja) 2009-07-22 2013-12-04 東京エレクトロン株式会社 成膜方法
MX2012001115A (es) 2009-07-31 2012-03-21 Akzo Nobel Chemicals Int Bv Proceso para la preparacion de un sustrato recubierto, el sustrato recubierto, y uso del mismo.
KR101129090B1 (ko) 2009-09-01 2012-04-13 성균관대학교산학협력단 패턴화된 세포 배양용 기판의 제조방법, 패턴화된 세포 배양용 기판, 세포의 패턴화된 배양 방법, 및 패턴화된 세포칩
JP2011222779A (ja) 2010-04-09 2011-11-04 Dainippon Printing Co Ltd 薄膜素子用基板の製造方法、薄膜素子の製造方法および薄膜トランジスタの製造方法
US8481355B2 (en) 2009-12-15 2013-07-09 Primestar Solar, Inc. Modular system and process for continuous deposition of a thin film layer on a substrate
US8293658B2 (en) 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
JP5222864B2 (ja) 2010-02-17 2013-06-26 株式会社ジャパンディスプレイイースト 液晶表示装置の製造方法
JP5373669B2 (ja) 2010-03-05 2013-12-18 東京エレクトロン株式会社 半導体装置の製造方法
TWI529808B (zh) 2010-06-10 2016-04-11 Asm國際股份有限公司 使膜選擇性沈積於基板上的方法
US20110311726A1 (en) 2010-06-18 2011-12-22 Cambridge Nanotech Inc. Method and apparatus for precursor delivery
KR101386944B1 (ko) 2010-07-01 2014-04-18 도쿄엘렉트론가부시키가이샤 반도체 장치의 제조 방법
US8357608B2 (en) 2010-08-09 2013-01-22 International Business Machines Corporation Multi component dielectric layer
US9487600B2 (en) 2010-08-17 2016-11-08 Uchicago Argonne, Llc Ordered nanoscale domains by infiltration of block copolymers
TW201224190A (en) 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
JP5562434B2 (ja) 2010-11-19 2014-07-30 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US20120219824A1 (en) 2011-02-28 2012-08-30 Uchicago Argonne Llc Atomic layer deposition of super-conducting niobium silicide
US8980418B2 (en) 2011-03-24 2015-03-17 Uchicago Argonne, Llc Sequential infiltration synthesis for advanced lithography
JP2012209393A (ja) * 2011-03-29 2012-10-25 Tokyo Electron Ltd クリーニング方法及び成膜方法
US8871617B2 (en) 2011-04-22 2014-10-28 Asm Ip Holding B.V. Deposition and reduction of mixed metal oxide thin films
DE102011051260A1 (de) * 2011-06-22 2012-12-27 Aixtron Se Verfahren und Vorrichtung zum Abscheiden von OLEDs
KR20130007059A (ko) 2011-06-28 2013-01-18 삼성전자주식회사 반도체 장치의 제조 방법
EP2557132B1 (en) 2011-08-10 2018-03-14 3M Innovative Properties Company Multilayer adhesive film, in particular for bonding optical sensors
JP6202798B2 (ja) 2011-10-12 2017-09-27 エーエスエム インターナショナル エヌ.ヴェー.Asm International N.V. 酸化アンチモン膜の原子層堆積
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US9238865B2 (en) 2012-02-06 2016-01-19 Asm Ip Holding B.V. Multiple vapor sources for vapor deposition
JP6020239B2 (ja) * 2012-04-27 2016-11-02 東京エレクトロン株式会社 成膜方法及び成膜装置
JP5966618B2 (ja) * 2012-05-28 2016-08-10 東京エレクトロン株式会社 成膜方法
JP5862459B2 (ja) 2012-05-28 2016-02-16 東京エレクトロン株式会社 成膜方法
US11037923B2 (en) 2012-06-29 2021-06-15 Intel Corporation Through gate fin isolation
JP6040609B2 (ja) 2012-07-20 2016-12-07 東京エレクトロン株式会社 成膜装置及び成膜方法
US8890264B2 (en) 2012-09-26 2014-11-18 Intel Corporation Non-planar III-V field effect transistors with conformal metal gate electrode and nitrogen doping of gate dielectric interface
US9099490B2 (en) 2012-09-28 2015-08-04 Intel Corporation Self-aligned structures and methods for asymmetric GaN transistors and enhancement mode operation
JP2014093331A (ja) 2012-10-31 2014-05-19 Tokyo Electron Ltd 重合膜の成膜方法、成膜装置の環境維持方法、成膜装置、並びに電子製品の製造方法
US9330899B2 (en) 2012-11-01 2016-05-03 Asm Ip Holding B.V. Method of depositing thin film
US8963135B2 (en) 2012-11-30 2015-02-24 Intel Corporation Integrated circuits and systems and methods for producing the same
US8993404B2 (en) 2013-01-23 2015-03-31 Intel Corporation Metal-insulator-metal capacitor formation techniques
US9566609B2 (en) 2013-01-24 2017-02-14 Corning Incorporated Surface nanoreplication using polymer nanomasks
JP5949586B2 (ja) * 2013-01-31 2016-07-06 東京エレクトロン株式会社 原料ガス供給装置、成膜装置、原料の供給方法及び記憶媒体
US20140227461A1 (en) 2013-02-14 2014-08-14 Dillard University Multiple Beam Pulsed Laser Deposition Of Composite Films
US10573511B2 (en) 2013-03-13 2020-02-25 Asm Ip Holding B.V. Methods for forming silicon nitride thin films
US9147574B2 (en) 2013-03-14 2015-09-29 Tokyo Electron Limited Topography minimization of neutral layer overcoats in directed self-assembly applications
US9209014B2 (en) 2013-03-15 2015-12-08 Tokyo Electron Limited Multi-step bake apparatus and method for directed self-assembly lithography control
US20140273290A1 (en) 2013-03-15 2014-09-18 Tokyo Electron Limited Solvent anneal processing for directed-self assembly applications
US9159558B2 (en) 2013-03-15 2015-10-13 International Business Machines Corporation Methods of reducing defects in directed self-assembled structures
JP2014188656A (ja) 2013-03-28 2014-10-06 Tokyo Electron Ltd 中空構造体の製造方法
JP5605464B2 (ja) 2013-06-25 2014-10-15 東京エレクトロン株式会社 成膜装置及びそのクリーニング方法
RU2643931C2 (ru) 2013-06-28 2018-02-06 Интел Корпорейшн Устройства, основанные на избирательно эпитаксиально выращенных материалах iii-v групп
US9362163B2 (en) 2013-07-30 2016-06-07 Lam Research Corporation Methods and apparatuses for atomic layer cleaning of contacts and vias
JP6111171B2 (ja) 2013-09-02 2017-04-05 東京エレクトロン株式会社 成膜方法及び成膜装置
US9385033B2 (en) 2013-09-27 2016-07-05 Intel Corporation Method of forming a metal from a cobalt metal precursor
EP3050084A4 (en) 2013-09-27 2017-05-24 Intel Corporation Forming layers of materials over small regions by selective chemical reaction including limiting encroachment of the layers over adjacent regions
US9067958B2 (en) 2013-10-14 2015-06-30 Intel Corporation Scalable and high yield synthesis of transition metal bis-diazabutadienes
US20150118863A1 (en) 2013-10-25 2015-04-30 Lam Research Corporation Methods and apparatus for forming flowable dielectric films having low porosity
JP6135475B2 (ja) 2013-11-20 2017-05-31 東京エレクトロン株式会社 ガス供給装置、成膜装置、ガス供給方法及び記憶媒体
TW201525173A (zh) 2013-12-09 2015-07-01 Applied Materials Inc 選擇性層沉積之方法
US9236292B2 (en) 2013-12-18 2016-01-12 Intel Corporation Selective area deposition of metal films by atomic layer deposition (ALD) and chemical vapor deposition (CVD)
CN106415800B (zh) 2013-12-19 2020-04-14 英特尔公司 自对准栅极边缘和局部互连件及其制造方法
US9455150B2 (en) 2013-12-24 2016-09-27 Intel Corporation Conformal thin film deposition of electropositive metal alloy films
US9895715B2 (en) 2014-02-04 2018-02-20 Asm Ip Holding B.V. Selective deposition of metals, metal oxides, and dielectrics
TWI624515B (zh) 2014-02-10 2018-05-21 國立清華大學 無機-有機複合氧化物聚合體及其製備方法
JP6254459B2 (ja) 2014-02-27 2017-12-27 東京エレクトロン株式会社 重合膜の耐薬品性改善方法、重合膜の成膜方法、成膜装置、および電子製品の製造方法
EP2918701A1 (en) * 2014-03-14 2015-09-16 Nederlandse Organisatie voor toegepast- natuurwetenschappelijk onderzoek TNO Method of manufacturing a stacked organic light emitting diode, stacked OLED device, and apparatus for manufacturing thereof
WO2015147843A1 (en) 2014-03-27 2015-10-01 Intel Corporation Precursor and process design for photo-assisted metal atomic layer deposition (ald) and chemical vapor deposition (cvd)
KR102472396B1 (ko) 2014-03-28 2022-12-01 인텔 코포레이션 선택적 에피택셜 성장된 iii-v족 재료 기반 디바이스
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US11021630B2 (en) 2014-12-30 2021-06-01 Rohm And Haas Electronic Materials Llc Copolymer formulation for directed self assembly, methods of manufacture thereof and articles comprising the same
KR102185458B1 (ko) 2015-02-03 2020-12-03 에이에스엠 아이피 홀딩 비.브이. 선택적 퇴적
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US9646883B2 (en) 2015-06-12 2017-05-09 International Business Machines Corporation Chemoepitaxy etch trim using a self aligned hard mask for metal line to via
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10204782B2 (en) 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
CN109314045B (zh) 2016-04-18 2023-08-04 Asm Ip 控股有限公司 于基底上形成定向自组装层的方法
US20170298503A1 (en) 2016-04-18 2017-10-19 Asm Ip Holding B.V. Combined anneal and selective deposition systems
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US11094535B2 (en) 2017-02-14 2021-08-17 Asm Ip Holding B.V. Selective passivation and selective deposition
US9911595B1 (en) 2017-03-17 2018-03-06 Lam Research Corporation Selective growth of silicon nitride

Also Published As

Publication number Publication date
TWI707978B (zh) 2020-10-21
JP7007795B2 (ja) 2022-01-25
JP2023120310A (ja) 2023-08-29
KR102664066B1 (ko) 2024-05-08
KR102546221B1 (ko) 2023-06-21
KR20230095896A (ko) 2023-06-29
TW202041704A (zh) 2020-11-16
TWI827860B (zh) 2024-01-01
US20170100743A1 (en) 2017-04-13
JP7299289B2 (ja) 2023-06-27
JP7383192B2 (ja) 2023-11-17
TW201732069A (zh) 2017-09-16
JP2024001340A (ja) 2024-01-09
JP2017076784A (ja) 2017-04-20
JP2022009666A (ja) 2022-01-14
US10343186B2 (en) 2019-07-09
KR20170044026A (ko) 2017-04-24

Similar Documents

Publication Publication Date Title
JP7383192B2 (ja) 有機膜の気相堆積
US11389824B2 (en) Vapor phase deposition of organic films
US11654454B2 (en) Vapor phase deposition of organic films
JP5294694B2 (ja) シリコン及びチタン窒化物のインサイチュ蒸着
US7202185B1 (en) Silica thin films produced by rapid surface catalyzed vapor deposition (RVD) using a nucleation layer
US20080050932A1 (en) Overall defect reduction for PECVD films
US20090325391A1 (en) Ozone and teos process for silicon oxide deposition
US9212420B2 (en) Chemical vapor deposition method
KR20090017073A (ko) 원자층 박막 증착법을 이용한 실리콘다이옥사이드 박막제조방법
KR20240068605A (ko) 유기막들의 기상 퇴적
CN114262878A (zh) 氧化硅沉积方法
JP5733507B2 (ja) 成膜方法
JP7495569B2 (ja) 有機膜の堆積
KR101334221B1 (ko) 다층금속박막 제조 방법 및 장치
TW202301413A (zh) 處理基材之設備
JPWO2020233480A5 (zh)
JP2023166553A (ja) 有機膜の堆積
TW202403076A (zh) 有機材料之選擇性沉積
TW202006181A (zh) 具有氣體分佈及個別泵送的批次固化腔室