JP2023120310A - 有機膜の気相堆積 - Google Patents

有機膜の気相堆積 Download PDF

Info

Publication number
JP2023120310A
JP2023120310A JP2023098005A JP2023098005A JP2023120310A JP 2023120310 A JP2023120310 A JP 2023120310A JP 2023098005 A JP2023098005 A JP 2023098005A JP 2023098005 A JP2023098005 A JP 2023098005A JP 2023120310 A JP2023120310 A JP 2023120310A
Authority
JP
Japan
Prior art keywords
reactant
substrate
temperature
vapor
exposing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2023098005A
Other languages
English (en)
Other versions
JP7383192B2 (ja
Inventor
ヴィジャミ ジェイ. ポア
J Pore Viljami
マルコ トゥオミネン
Tuominen Marko
ハンヌ フオタリ
Huotari Hannu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM IP Holding BV
Original Assignee
ASM IP Holding BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US14/879,962 external-priority patent/US10695794B2/en
Application filed by ASM IP Holding BV filed Critical ASM IP Holding BV
Publication of JP2023120310A publication Critical patent/JP2023120310A/ja
Priority to JP2023188391A priority Critical patent/JP2024001340A/ja
Application granted granted Critical
Publication of JP7383192B2 publication Critical patent/JP7383192B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/36Successively applying liquids or other fluent materials, e.g. without intermediate treatment
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/60Deposition of organic layers from vapour phase
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32056Deposition of conductive or semi-conductive organic layers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D2505/00Polyamides
    • B05D2505/50Polyimides
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D3/00Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials
    • B05D3/14Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials by electrical means
    • B05D3/141Plasma treatment
    • B05D3/145After-treatment

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

【課題】気相堆積によって有機膜の堆積を助ける堆積反応器及び方法を提供する。【解決手段】有機反応体を第一の温度で気化し、基板を収容する反応チャンバへ蒸気を輸送し、基板を気化温度より低い温度に維持する有機膜の気相堆積の方法は、第一の有機反応体を温度Aで気化させて第一の反応体の蒸気を形成することを含む。気化される反応体は、標準温度および圧力条件(室温および大気圧)で液体または固体であってよく、酸二無水物たとえばピロメリット酸二無水物(PMDA)などの有機前駆体を含む。方法はまた、基板を、温度Aより低い温度Bで第一の反応体の蒸気に曝露することと、有機膜を堆積させることと、を含む。【効果】逐次堆積手順による基板と有機反応体および第二の反応体との交互接触が他の方法では実現するのが難しいやり方で有機膜によるボイドおよびトレンチのボトムアップ充填の結果を得ることができる。【選択図】図1A

Description

関連出願の参照
本出願は、2015年10月9日出願の米国特許出願第14/879,962号の一部継続出願である。
分野
本発明は、気相堆積によって有機薄膜を形成する方法に関する。
有機薄膜は、価値の高い光学的、熱的、電気的および機械的特性を有し、エレクトロニクス、医療工学、防衛、医薬、ならびにマイクロおよびナノテクノロジー産業において広く用いられている。マイクロエレクトロニクスおよびフォトニクス産業における高分子は、とりわけリソグラフィックパターニングのための光子または電子硬化性/分解性高分子と、包装、層間誘電体およびフレキシブルプリント基板のためのポリイミドとを含む。Norrman et al., Annual Reports on the Progress of Chemistry,Section C,2005,101,174-201。
特に、ポリイミド膜は、その熱安定性ならびに機械的応力および化学物質に対する抵抗性によって価値が高い。ポリイミド薄膜は、将来のV-NAND構造体に必要な半導体用途における非晶質炭素膜もしくは層への出発点として用いることができる。ポリイミド膜は、たとえばリソグラフィーステップにおいてパターン精細度を向上させ、位置ずれを少なくする反射防止層として、多重パターン形成(たとえばSDDP、SDQP)における層として、層間誘電材料のための絶縁材料として、または全有機薄膜トランジスタにおけるゲート誘電体として用いることができる。
従来からスピンコーティング技法によって高分子薄膜が作製されている。スピンコーティング法は、回転する円板を液体材料でコートし、この液体を焼結することによって高度に機能的な高分子膜を形成する。しかし、スピン塗布した膜の調整はいくつかの理由によって制約される。たとえば、基板上の均一な薄膜の形成は、一部は原料液体の粘度のために調節することが難しく、非常に小さな寸法(フィーチャ)のギャップ(たとえばメタルラインの間のトレンチまたはギャップ)を硬化後のボイド(空洞)を発生させることなく埋めることは難しいことがある。また、層の所望の厚さと比べて高いトポグラフィーの上へのスピンコーティングは、不連続な堆積やコンフォーマルでない堆積を生じる結果となることがある。半導体チップサイズが縮小し続けるにつれ、より調整しやすい形態を有するより薄く、より高強度の膜が必要とされる。
最近、化学気相堆積(CVD)、気相堆積重合(VDP)、分子層堆積(MLD)などの気相堆積(vapor phase deposition)プロセスや、原子層堆積(ALD)および循環CVDなどの逐次堆積プロセスが高分子薄膜の形成に適用されている。CVDでは、基板表面において反応体が反応するとき膜が堆積する。反応チャンバの中の一以上の基板に一種または二種以上の反応体のガスが供給される。熱CVDでは、熱い基板の上で反応体ガスが互いと反応して薄膜を形成し、成長速度は、温度と供給される反応体の量との影響を受ける。プラズマエンハンストCVDでは、リモートプラズマ発生器の中でまたはインサイチュで一種または二種以上の反応体を活性化することができる。ALDでは、循環して行われる自己飽和型表面反応によって膜が構築される。基板またはウエハに交互に繰り返して気相反応体が供給されてウエハの上に材料の薄膜を形成する。典型的なプロセスにおいては、一種類の反応体が自己制限的プロセスでウエハに吸着する。続いてパルスされた異なる反応体が第一の反応体の吸着化学種と反応して単分子層を超えない所望の材料を形成する。繰り返される成長サイクルによって目標とする厚さが実現するまでより厚い膜が製造される。ALDのプラズマエンハンスト変化形、およびALD/CVDハイブリッドプロセス(たとえば反応体の一部の重複が許される)も知られている。
一態様において、気相堆積によって有機膜を堆積するための方法が提供される。この方法は、気化器の中で第一の有機反応体を温度Aで気化して第一の反応体の蒸気を形成することを含む。反応空間の中の基板が温度Bで第一の反応体の蒸気に曝露され、温度Bは、第一の有機反応体が気化した温度Aより低い。基板の上に有機膜が堆積される。
いくつかの実施形態において、有機膜は高分子を含む。いくつかの実施形態において、高分子はポリイミドである。いくつかの実施形態において、有機膜はポリアミック酸を含む。いくつかの実施形態において、ポリアミック酸はポリイミドへさらに変換される。いくつかの実施形態において、第一の有機反応体は室温および大気圧で固体である。いくつかの実施形態において、第一の有機反応体は酸二無水物であり、より詳しくはいくつかの実施形態においてPMDAである。
ケルビンで表わした温度Bに対する温度Aの比は、1より大きい。いくつかの実施形態において、ケルビンで表わした温度Bに対する温度Aの比は1.8未満、約1から1.25の間、約1.01から1.10の間、および/またはその他の前述の値のいずれかからいずれかの間であってよい。
いくつかの実施形態において、温度Aは120℃強、200℃未満、約120℃から250℃の間、約140℃から190℃の間、および/またはその他の前述の値のいずれかからいずれかの間であってよい。
いくつかの実施形態において、温度Bは温度Aより約5℃から約50℃の間、温度Aより約10℃から約30℃の間、および/または温度Aよりその他の前記の値のいずれかからいずれかの間、低い。
いくつかの実施形態において、温度Bは20℃強、250℃未満、約20℃から250℃の間、約100℃から200℃の間、約120℃から180℃の間、および/またはその他の前記の値のいずれかからいずれかの間であってよい。
いくつかの実施形態において、この方法は、余分な第一の反応体の蒸気を基板との接触から除くことをさらに含む。基板は、次に第一の反応体の蒸気と第二の反応体の蒸気とが実質的に混合しないように第二の反応体に曝露され、余分な第二の反応体が基板との接触から除かれる。いくつかの実施形態において、基板を第一の反応体の蒸気に曝露するステップと基板を第二の反応体に曝露するステップとが、第一の反応体の蒸気と第二の反応体の蒸気とが実質的に混合しないように複数のサイクルで繰り返される。いくつかの実施形態において、第二の反応体はジアミンであり、より詳しくはいくつかの実施形態において1,6-ジアミノヘキサン(DAH)である。いくつかの実施形態において、余分な第一の反応体の蒸気を除くことと余分な第二の反応体の蒸気を除くこととのそれぞれは、1秒強、10秒未満、約1秒から約10秒の間、および/またはその他の前記の値のいずれかからいずれか間の期間行われる。
いくつかの実施形態において、第一の反応体の蒸気は、基板と接触するときガスラインを通って気化器から反応空間へ輸送される。いくつかの実施形態において、ガスラインは温度Cにあり、温度Cは、第一の有機反応体が気化した温度Aより高い。
いくつかの実施形態において、基板は、平面でないトポグラフィーを含み、堆積された有機膜は、基板の下部フィーチャ(lower feature)の上に第一の厚さを形成することと、基板の上部領域区域の上に第二の厚さを堆積することとを含み、第一の厚さは第二の厚さより大きい。
別の態様において、堆積された有機膜の平坦度を制御するための方法が提供される。この方法は、気化器の中で第一の有機反応体を温度Aで気化して第一の反応体の蒸気を形成することと、反応空間の中で温度Aより低い温度Bで基板を第一の反応体の蒸気に曝露することと、余分な第一の反応体の蒸気をある期間にわたって基板との接触から除き、この期間を減らすと堆積された有機膜の平坦度が増加するステップとを含む。いくつかの実施形態において、堆積された有機膜は、約20%未満、約10%未満、約5%未満、約2%未満、約1%未満および約0.5%未満の厚さ不均一性(1σ)を有する。いくつかの実施形態において、基板は、200mmもしくは300mmシリコンウエハなどの半導体ウエハ、またはガラス基板である。
いくつかの実施形態において、この方法は、第一の反応体の蒸気と第二の反応体とが実質的に混合しないように基板を第二の反応体に暴露することと、余分な第二の反応体を基板との接触から除くことと、第一の反応体の蒸気と第二の反応体とが実質的に混合しないように第一の反応体の蒸気への基板の暴露と第二の反応体への基板の暴露とを複数のサイクルで繰り返すこととをさらに含む。
別の態様において、有機膜堆積のための装置が、第一の有機反応体を気化して第一の反応体の蒸気を形成するように構成された容器と、基板を収容し、容器と選択的に流体連通するように構成された反応空間と、制御システムとを備える。好ましい実施形態において、制御システムは、容器の中の反応体を温度A以上に維持し、基板を温度Aより低い温度Bに維持し、第一の反応体の蒸気を容器から基板へ輸送し、基板の上に有機膜を堆積するように構成される。
いくつかの実施形態において、装置は、高分子を堆積するように構成される。いくつかの実施形態において、高分子はポリイミドを含む。いくつかの実施形態において、装置はポリアミック酸を堆積するように構成される。いくつかの実施形態において、ポリアミック酸はポリイミドへ変換することができる。
いくつかの実施形態において、装置は、容器を反応空間に流体接続するガスラインをさらに備え、制御システムは、ガスラインを温度Aより高い温度Cに維持するようにさらに構成される。
いくつかの実施形態において、制御システムは、逐次堆積プロセスにおいて第二の反応体の蒸気を第一の反応体の蒸気と交互に基板へ輸送するようにさらに構成される。
いくつかの実施形態において、装置は、反応空間に接続された出口ラインと不活性ガス供給源とをさらに備え、制御システムは、第一の反応体の蒸気と第二の反応体の蒸気との供給の間に余分な反応体の蒸気と副生成物とを除去するようにさらに構成される。
別の側面において、基板の上の三次元構造体のアスペクト比を小さくするための方法が提供される。この方法は、第一の反応体を気化して第一の反応体の蒸気を形成することを含む。基板は反応空間の中で第一の反応体の蒸気に曝露され、基板は三次元構造を有するトポグラフィーを含む。有機膜は、トポグラフィーの上部フィーチャ(higher features)と比べてトポグラフィーの下部フィーチャの上で優先的に有機膜が基板上に堆積され、これによって有機膜は、堆積するにつれて基板の上の三次元構造体のアスペクト比を小さくする。堆積することは、基板を第一の反応体の蒸気に曝露することを含む。
別の側面において、有機膜を形成するための方法が提供される。この方法は、気化器の中で第一の反応体を気化して第一の反応体の蒸気を形成するステップを含む。反応空間の中の基板が第一の反応体の蒸気および第二の反応体の蒸気に曝露される。基板の上の第一の反応体の蒸気と第二の反応体の蒸気とからのポリアミック酸膜。
有機膜の気相堆積のための方法を例示するフロー図である。 有機膜の気相堆積のための方法を示すフロー図である。 本明細書に記載される堆積プロセスのために使用することができる気相堆積装置の例の概略図である。 本明細書に記載される堆積プロセスのために使用することができる気相堆積装置の例の概略図である。 本明細書に記載される堆積プロセスのために使用することができる気相堆積装置の例の概略図である。 本明細書に記載される堆積プロセスのために使用することができる気相堆積装置の例の概略図である。 有機膜を気相堆積するための方法のさまざまな段階における温度を例示するグラフである。 有機膜を気相堆積するための方法のさまざまな段階における温度を例示するグラフである。 有機膜を気相堆積するための方法によるトレンチのボトムアップ充填の図示である。 有機膜を気相堆積するための方法によるトレンチのボトムアップ充填の図示である。 有機膜を気相堆積するための方法によるトレンチのボトムアップ充填の図示である。 有機膜を気相堆積するための方法によるトレンチのボトムアップ充填の図示である。 有機膜を気相堆積するための方法によるトレンチのボトムアップ充填の図示である。 堆積温度が気化容器より高い方法と堆積温度より高い気化温度を使用する堆積プロセスとによってそれぞれ堆積された膜の厚さマップである。 堆積温度が気化容器より高い方法と堆積温度より高い気化温度を使用する堆積プロセスとによってそれぞれ堆積された膜の厚さマップである。 堆積温度が気化容器より高い方法と堆積温度より高い気化温度を使用する堆積プロセスとによってそれぞれ堆積された膜の厚さマップである。 堆積温度が気化容器より高い方法と堆積温度より高い気化温度を使用する堆積プロセスとによってそれぞれ堆積された膜の厚さマップである。 有機膜を気相堆積するための方法によるトレンチのボトムアップ充填の図示である。 有機膜を気相堆積するための方法によるトレンチのボトムアップ充填の図示である。 本明細書に記載されている特定の実施形態による気相堆積装置の概略側面である。 加熱された蒸気分配ブロックを有する、特定の実施形態による気相堆積装置の概略側面である。 二つの加熱された蒸気供給源と加熱された蒸気分配ブロックへの二つの蒸気供給路とを有する、特定の実施形態による気相堆積装置の概略上面図である。 別々の反応体の別々の分配を有する、図8または9の実施形態による使用のためのガス分配ブロックの例の概略上面図である。 図10のガス分配ブロックを組み込んだ気相堆積装置の概略側面である。 別々の反応体のための共通の分配路を有する気相堆積装置の概略側面である。
気相堆積技法は、有機膜および高分子、たとえばポリイミド膜、ポリアミド膜、ポリウレア膜、ポリウレタン膜、ポリチオフェン膜などに適用することができる。高分子膜のCVDは、液体前駆体の塗布と比べてより大きな厚さ制御、機械的柔軟性、コンフォーマルカバレッジおよび生体適合性を生み出すことができる。高分子の逐次堆積プロセス処理は、小型の研究規模反応器中で高い成長速度を生み出すことができる。CVDと同様に、逐次堆積プロセスは、より大きな厚さ制御、機械的柔軟性およびコンフォーマリティを生み出すことができる。本明細書において用語「逐次堆積」および「循環堆積」は、反応機構がALD、CVD、MLDまたはそれらのハイブリッドに似ているかどうかに関わらず、基板が交互にまたは順に異なる前駆体に曝露されるプロセスに適用するために使用される。
しかし、有機膜の気相堆積は、さまざまな理由により困難になることがある。たとえば、有機膜を作製するための反応体は、低い蒸気圧および揮発性を有する傾向があり、したがって気化させるために高い原料温度を必要とする。気相堆積が適切に進むことを可能にするのに十分な蒸気圧が発生することを保証する一方で熱分解を避けることは、難しいことがある。さらに、基板温度は、典型的には堆積反応を推進するために気化器より高いが、前駆体の蒸気圧を増加させる高い気化温度は、早すぎる熱分解の危険があるだけでなく過度に高い堆積速度およびその結果としてのコンフォーマルでない堆積をもたらすことがある。
たとえばポリイミド膜は、酸二無水物とジアミンとを反応させることによって堆積することができ、このプロセスのために典型的に用いられる酸二無水物は、ピロメリット酸二無水物(PMDA)である。室温および大気圧でPMDAは、非常に低い蒸気圧を有する固体であり、したがって蒸発させるために加熱を必要とする。ポリイミド膜のCVD/VDPにおいて蒸発温度を制御し損なうとクラック形成につながることがあり、小型の研究規模での潜在性に関らず、ポリイミドの製造スケールでの逐次堆積は、製造可能性にとっての多数の問題点(たとえば粒子、低い繰り返し性、ガスラインの詰り、低い均一性、低い成長速度)に直面する。
反応体揮発性と成長温度という厳しい要件により、従来の気相堆積技法を用いて高品質の有機膜を得ることは困難である。よって、有機薄膜の気相堆積のための改良された手法が求められている。
本明細書において記載される実施形態において、基板における成長温度は、反応体供給源温度より低くすることができる。この温度プロフィールは、反応体(たとえばPMDAなどの有機膜堆積用前駆体)が蒸発するのに十分高い蒸気圧、過熱の問題を避けるのに十分低い成長温度を可能にし、高い成長速度のプロセスを可能にする。本明細書において教示される堆積プロセスは、高い成長速度およびスループットを実現することができ、高品質有機薄膜を生み出す。
図1Aは、有機膜の気相堆積のための方法の単純化したフロー図である。最初の例示されたブロック10において第一の有機反応体が温度Aで気化して第一の反応体の蒸気を形成する。気化される反応体は、標準温度および圧力条件(室温および大気圧)で液体または固体であってよい。いくつかの実施形態において、気化される反応体は、酸二無水物たとえばピロメリット酸二無水物(PMDA)などの有機前駆体を含む。ブロック20において基板は、温度Aより低い温度Bで第一の反応体の蒸気に曝露され、ブロック30において有機膜が堆積する。この方法は、追加のステップを含むことができ、繰り返してもよいが、例示された順序で行う必要も、繰り返す場合に各繰り返しにおいて同じ順序で行う必要もなく、より複雑な気相堆積技法へ容易に拡張することができる。
いくつかの実施形態において、有機膜は、高分子を含む。いくつかの実施形態において、堆積される高分子はポリイミドである。いくつかの実施形態において、堆積される高分子はポリアミドである。いくつかの実施形態において、堆積される高分子はポリウレアである。堆積される高分子の他の例は、二量体、三量体、ポリウレタン、ポリチオウレア、ポリエステル、ポリイミン、他の高分子形または上記材料の混合物を含む。
いくつかの実施形態において、有機膜は、処理プロセスによって変換または重合することができる、高分子膜への前駆体材料を含む。たとえば、堆積直後の有機膜は、ポリアミック酸を含む。いくつかの実施形態において、ポリアミック酸は、ポリイミドへ変換される。特に、ポリアミック酸は、環化すなわちイミド化してポリイミドを形成することができる共通のポリイミド前駆体である。酸二無水物とジアミンとを用いる気相堆積の場合、堆積直後の膜の組成は、基板温度に依存することが実験において見いだされている。たとえば実験において、約130℃未満では堆積直後の膜は大部分がポリアミック酸であることが見いだされた。約130℃から160℃の間では、膜はポリアミック酸とポリイミドとの混合物であった。約160℃より高温では、膜は大部分がポリイミド(高分子)であった。ポリアミック酸は、アニール処理、プラズマ(たとえば不活性ガスまたは希ガスを用いる)、化学処理(たとえば酸無水物を用いる)、紫外線処理、および他の堆積後処理を含むさまざまな技法でポリイミドへ変換することができる。
用語「約」は、本明細書において標準的な測定精度の範囲内を意味するために使用される。
本明細書において教示される技法は、多種多様な反応器構成でのCVD、VPD、ALDおよびMLDを含む気相堆積技法に適用することができる。図1Bは、逐次堆積プロセスの単純化したフロー図であり、図2A~2Dは、反応器構成例の概略図を例示する。
図1Bのフローチャートは、有機膜の気相堆積のための逐次堆積方法を例示する。ブロック10において、第一の有機反応体が温度Aで気化されて第一の反応体の蒸気を形成する。ブロック40において、第一の反応体の蒸気は、ガスラインを通って温度Aより高い温度Cで基板へ輸送される。実施形態において、第一の反応体またはそれらの化学種は、自己飽和型または自己制限型で基板に化学的に吸着する。ガスラインは、第一の反応体の蒸気を供給源から基板へ輸送するいずれの管路であってもよい。ブロック20において、基板は、第一の反応体の蒸気に温度Aより低い温度Bで曝露される。ブロック45において、余分な第一の反応体の蒸気(およびあらゆる揮発性反応副生成物)が基板との接触から除かれる。そのような除去は、たとえばパージ操作、排気、基板が第一の反応体に曝露されるチャンバまたは区域から基板を離れさせる移動、またはそれらの組み合わせによって実現することができる。ブロック50において、基板は、第二の反応体の蒸気に曝露される。実施形態において、第二の反応体は、基板の上の第一の反応体の吸着化学種と反応してよい。ブロック60において、第一の反応体の蒸気と第二の反応体の蒸気とが混合しないように余分な第二の反応体の蒸気(およびあらゆる揮発性反応副生成物)が基板との接触から除かれる。いくつかの実施形態において、有機膜の気相堆積プロセスは、プラズマおよび/またはラジカルを使用せず、熱気相堆積プロセスとみなすことができる。
これらのプロセスのためにさまざまな反応体を用いることができる。たとえば、いくつかの実施形態において、第一の反応体は、酸無水物、たとえば酸二無水物、たとえばピロメリット酸二無水物(PMDA)、または二つの反応基を有するいずれか他の単量体などの有機反応体である。いくつかの実施形態において、第一の反応体は、フラン-2,5-ジオン(無水マレイン酸)などの酸無水物であってよい。いくつかの実施形態において、第二の反応体も、堆積条件において第一の反応体の吸着化学種と反応することができる有機反応体である。たとえば、第二の反応体はジアミン、たとえば1,6-ジアミノヘキサン(DAH)、または第一の反応体と反応する二つの反応基を有するいずれか他の単量体であってよい。いくつかの実施形態において、膜特性を調整するためにさまざまな反応体を用いることができる。たとえば、より多くの芳香族性および増加したドライエッチング耐性を有するより剛直な構造体を得るために1,6-ジアミノヘキサンの代りに4,4’-オキシジアニリンまたは1,4-ジアミノベンゼンを用いてポリイミド膜および/またはポリイミド前駆体材料(たとえばポリアミック酸)膜を堆積することができるだろう。いくつかの実施形態において、反応体は金属原子を含まない。いくつかの実施形態において、反応体は半金属原子を含まない。いくつかの実施形態において、反応体の一つは金属または半金属原子を含む。いくつかの実施形態において、反応体は炭素および水素と、以下の元素すなわちN、O、S、PまたはClもしくはFなどのハロゲン化物の少なくとも一つ以上を含む。堆積条件は、選ばれた反応体に応じて異なってよく、選択によって最適化することができる。単一のウエハ堆積ツールの中でPMDAおよびDAHを用いるポリイミドの逐次堆積の場合、基板温度は約100℃から約250℃の範囲から選ぶことができ、圧力は約1mTorrから約760Torrの範囲から、より詳しくは約100mTorrから約100Torrの間で選ぶことができる。いくつかの実施形態において、気化される反応体は、1,4-ジイソシアナトブタンまたは1,4-ジイソシアナトベンゼンの群から選ばれる有機前駆体を含む。いくつかの実施形態において、気化される反応体は、テレフタロイルジクロリド、ヘキサンジオイルジクロリド、オクタンジオイルジクロリド、ノナンジオイルジクロリド、デカンジオイルジクロリドなどのアルキルジオイルジクロリド、またはテレフタロイルジクロリドの群から選ばれる有機前駆体を含む。いくつかの実施形態において、気化される反応体は、1、4-ジイソチオシアナトベンゼンまたはテレフタルアルデヒドの群から選ばれる有機前駆体を含む。いくつかの実施形態において、気化される反応体は、1,4-ジアミノベンゼン、デカン-1,10-ジアミン、4-ニトロベンゼン-1,3-ジアミンまたは4,4’-オキシジアニリンなどのジアミンであってもよい。いくつかの実施形態において、気化される反応体は、テレフタル酸ビス(2-ヒドロキシエチル)エステルであってよい。いくつかの実施形態において、気化される反応体は、カルボン酸、たとえばアルキル-、アルケニル-、アルカジエニルジカルボン酸あるいはトリカルボン酸、たとえばエタン二酸、プロパン二酸、ブタン二酸、ペンタン二酸またはプロパン-1,2,3-トリカルボン酸であってよい。いくつかの実施形態において、気化される反応体は、芳香族カルボン酸またはジカルボン酸、たとえば安息香酸、ベンゼン-1,2-ジカルボン酸、ベンゼン-1,4-ジカルボン酸またはベンゼン-1,3-ジカルボン酸であってよい。いくつかの実施形態において、気化される反応体は、ジオール、トリオール、アミノフェノール、たとえば4-アミノフェノール、ベンゼン-1,4-ジオールまたはベンゼン-1,3,5-トリオールの群から選ぶことができる。いくつかの実施形態において、気化される反応体は、8-キノリノールであってよい。いくつかの実施形態において、気化される反応体は、アルケニルトリクロロシラン、たとえば7-オクテニルトリクロロシランのようなアルケニルクロロシランを含んでよい。
ブロック30において有機膜が堆積される。ブロック30が別個の動作ではなくブロック10、40、20、45、50および60の結果を表すことは当業者にとって当然である。ブロック10~60は、一緒になってサイクル70を定義し、サイクル70は、十分な厚さの膜が基板の上に残され(ブロック80)、堆積が終る(ブロック90)まで繰り返すことができる。サイクル70は、追加のステップを含むことができ、同じ順序である必要も各繰り返しにおいて同一に行われる必要もなく、より複雑な気相堆積技法へ容易に拡張することができる。たとえば、サイクル70は、追加の反応体供給ブロック、たとえば各サイクルまたは選ばれたサイクルにおける追加の反応体の供給および除去を含むことができる。図示していないが、このプロセスは、堆積した膜を処理(たとえば紫外線処理、アニール処理等)して高分子を形成することをさらに含むことができる。
いくつかの実施形態において、有機膜は金属原子を含まない。いくつかの実施形態において、有機膜は半金属原子を含まない。いくつかの実施形態において、有機膜は金属または半金属原子を含む。いくつかの実施形態において、有機膜は炭素および水素と以下の元素すなわちN、O、SまたはPの少なくとも一つまたは二つ以上を含む。
図2Aは、有機膜の気相堆積のための装置100の単純化した概略図である。装置は、第一の有機反応体110を第一の反応体の蒸気へ気化するように構成された第一の反応体容器105を備える。反応チャンバが、少なくとも一つの基板120を収容するように構成された反応空間115を画定する。制御システム125が、第一の反応体容器105の中で第一の反応体110を温度Aに維持するように構成され、反応空間115の中で基板120を温度Bに維持するように構成され、温度Bは、温度Aより低い。
ガスライン130が、第一の反応体容器105を反応空間115へ流体接続し、第一の反応体の蒸気を第一の反応体容器105から入口マニホルド135へ、反応空間115へ選択的に輸送するように構成される。実施形態において、制御システム125または別個の温度制御が、ガスライン130を温度Cに維持するように構成され、温度Cは、温度Aより高い。
装置100は、第二の反応体145を保持する第二の反応体容器140を備える。いくつかの実施形態において、第二の反応体145は本来、気体状態にあり、他の実施形態において、第二の反応体容器140も第二の反応体145を本来の液体状態または固体状態から気化させるように構成される。第二の反応体容器は、入口マニホルド135と選択的に流体連通している。入口マニホルドは、チャンバ幅にわたる共有の分配プレナムを備えることができるか、または別々の反応体のための反応空間120への別々の通路を維持することができる。逐次堆積実施形態の場合、複数の反応体のための共通の流路の表面に沿って粒子発生に至ることがある反応を避けるために、反応空間115への導入まで反応体入口通路を別々にしておくことが望ましいことがある。装置は、いくつかの実施形態において追加の反応体の供給のために追加の容器を含むことができる。
一以上の不活性ガス供給源150が、第一の反応体容器105および反応空間115と選択的に流体連通している。不活性ガス供給源150は、図示される第二の反応体容器140およびキャリアガスとして機能するいずれか他の所望の反応体容器とも選択的に流体連通することができる。制御システム125は、本明細書に記載される堆積方法によるガス分配システムのバルブと連通している。逐次堆積プロセス処理の場合、バルブは、基板を交互に繰り返し反応体に曝露するように操作されるが、従来のCVDプロセスにおける反応体の同時供給の場合、バルブは、互いに反応する反応体に基板を同時に曝露するように操作することができる。
反応空間115からの排気出口155は、排気ライン160を通して真空ポンプ165と連通している。制御システム125は、真空ポンプ165を操作して所望の操作圧力を維持し、排気出口155を通して余分な反応体蒸気および副生成物を排出するように構成される。
図2Bは、本明細書に記載される有機膜の気相堆積のために使用することができるシャワーヘッド反応チャンバ200の例を概略例示する。反応器は、反応体蒸気を受け、基板支持体208の上の基板206にわたって分配するように構成されたシャワーヘッド204を備える。単一の基板チャンバとして例示されているが、シャワー反応器が複数の基板を収容することができることは、当業者には当然である。シャワーヘッド204と基板206との間に反応空間209が画定される。第一の入口210が第一の反応体の供給源と連通し、第二の入口212が第二の反応体の供給源と連通している。不活性ガスおよび/または追加の反応体の別々の供給源のために追加の入口(図示せず)を設けることができ、シャワーヘッド204に逐次堆積(たとえばALD)プロセスの場合に段階の間の反応体の除去を速める別個の排気口(図示せず)も設けることができる。第一の入口210と第二の入口212との両方がシャワーヘッド204の単一のプレナムと連通して示されているが、他の配置において、入口は、反応体を反応空間へ独立に供給することができ、シャワーヘッドプレナムを共有する必要がないことは当然である。基板支持体208の基部を囲む排気リングの形で示される排気出口214が真空ポンプ216と連通している。
図2Cは、本明細書に記載される有機膜の気相堆積のために使用することができる反応チャンバの別の構成230を例示し、機能が図2Bのものと似ているフィーチャは、類似の参照番号によって参照される。典型的には水平流通反応器として知られる反応チャンバ230は、第一の反応体入口210および第二の反応体入口212と排気出口216とで構成される。単一基板チャンバとして例示されているが、この水平流通反応器が複数の基板を収容することもできることは、当業者には当然である。不活性ガスおよび/または追加の反応体の別々の供給源のために追加の入口(図示せず)を提供することができる。逐次堆積反応器の場合に一般的に好ましいように、反応空間209の上流における堆積反応を最小にする別々の入口210、212が示されるが、他の配置において、特にCVDプロセス処理の場合に共通の入口マニホルドを通して異なる反応体を供給することができることは当然である。第二の入口212は、リモートプラズマ装置202から供給するとして例示されるが、熱堆積プロセスの場合にRPUを省略するかまたは電源を入れないままにすることができることは、当業者にとって当然である。他の型の水平流通反応器において、別々のパルスで第一の反応体は一つの方向に流れることができ第二の反応体は別の方向に流れることができるように、別々の排気口を異なる側で交互に動作させ、これらの異なる反応体をチャンバの異なる側から供給することもできることは、当業者にとって当然である。
図2Dは、有機膜の気相堆積のために使用することができる反応チャンバの別の例240を例示する。例示されたチャンバは、時間分割された反応ではなく空間分割された逐次堆積反応のために構成されている。空間分割された反応は、基板が移動する異なるゾーン、ここではゾーンA、B、CおよびDを使用する。あるいは、ガス注入システムを基板に対して移動することができ、基板は、静止しているかまたは回転しているかもしれない。ゾーンは、バリア242によって分離され、バリア242は、ゾーンA~Dの間の蒸気相互作用を最小にする物理的な壁、不活性ガスカーテン、排気口、またはそれらの組み合わせであってよい。基板支持体208は、図示するように回転する台、または線形に配列されたゾーンの場合のコンベアベルト(図示せず)の形であってよい。一例において、ゾーンAは、一貫して第一の反応体、たとえば基板に吸着する前駆体を供給されるように配管し、動作させることができ、ゾーンBおよびDは、不活性ガスまたはパージガスを供給されるように配管し、動作させることができ、ゾーンCは、第一の反応体の吸着化学種と反応する第二の反応体を供給されるように配管し、動作させることができるだろう。基板206(4枚示す)がゾーンの間を移動して第一の反応体(ゾーンA)、不活性ガス(ゾーンB)、第二の反応体(ゾーンC)、および不活性ガス(ゾーンD)に順番に曝露された後にこのサイクルが繰り返される。空間分割プラズマ逐次堆積の場合、反応体の滞留時間は、ゾーンを通る反応体の速度ならびに基板支持体208の移動の速度の両方に依存することがある。いくつかの場合に、基板は、静止しているかまたは回転し、ガス供給システム、たとえばガス注入器が基板の上で回転する。注入器または基板の回転速度もガス滞留時間に影響を及ぼすことができる。空間分割された逐次堆積の変化形において、空間分割された逐次堆積と時間分割された逐次堆積との組み合わせは、基板がゾーンの間を動く間に、異なる時間に異なる反応体を同じゾーンに供給することができるだろう。各ゾーンは、別々の反応体を供給してもよく、より大きな数のゾーンによって分割されたより大きなプラットフォームを提供することにより、またはより大きな数のゾーンを通るより長いコンベアを提供することにより、追加のゾーンを加えてもよい。
図示しないが、本明細書において教示される原理および利点が、CVDおよび逐次堆積(たとえばALD、循環CVDおよびハイブリッド)プロセス処理のための分野において公知であるバッチ反応器、たとえば垂直炉を含む他の型の気相堆積反応器に適用可能であることは、当業者にとって至極当然である。
図3A~3Bのグラフは、有機膜を気相堆積するための方法の異なる段階における温度を例示する。図3Aは、実施形態による反応体経路に沿った温度プロフィールを例示する。反応体の原料は、温度Aで気化される。反応チャンバ、または少なくとも基板は、温度Aより低い温度Bに保たれる。図3Bは、ガスラインの中で反応体蒸気が温度Aより高い温度Cで蒸発容器から反応チャンバへ輸送されるいくつかの実施形態の温度プロファイルを例示する。より高い温度のガスラインは、凝縮およびその結果としての汚染および/またはガスライン詰まりの危険性を小さくする。
例示された温度プロフィールは、低い蒸気圧の反応体および/または成長温度の制約を含む多種多様な気相堆積プロセスに適用することができる。各反応における特定の温度は、反応体、所望の膜特性、堆積機構および反応器構成を含む複数の要因に依存する。これらの実施形態は、気相有機膜堆積のために有機前駆体を蒸発させる際に特に有用である。
前駆体凝縮または多分子層吸着が繰り返し性およびプロセス安定性における問題を引き起こし得る。原料温度が堆積温度より高いと、凝縮または多分子層吸着が起こり得る。いくつかの実施形態において、原料容器および原料ラインの圧力は、反応チャンバまたは堆積が起こるゾーンの圧力より高い。この負の圧力差が前駆体凝縮および多分子層吸着の確率を小さくすることができる。この負の圧力差を、図3Aに例示された温度プロフィールに付される反応体と図3Aに例示された温度プロフィールに付されない反応体との両方を含む、気相堆積プロセスへの反応体の一つまたは二つ以上に適用することができる。実験において、PMDA原料ラインは45~50Torrであり、一方、反応チャンバは約2~10Torrであった。いくつかの実施形態において、原料ラインと反応チャンバまたは堆積が起こるゾーンとの間の圧力差は、1mTorr強、760Torr未満、約1mTorrから760Torrの間、約5mTorrから300Torrの間、約10Torrから200Torrの間、および/またはその他の前記の値のいずれかからいずれかの間であってよい。いくつかの実施形態においてTorrで表わした反応チャンバまたは堆積が起こるゾーンの圧力に対する原料ラインの圧力の比は、1.01強、1000未満、約2から100の間、約3から50の間、約5から25の間、およびまたはその他の前記の値のいずれかからいずれかの間であってよい。
本発明のいくつかの実施形態において、温度Aは、120℃強、250℃未満、約120℃から200℃の間、約140℃から190℃の間、および/またはその他の前記の値のいずれかからいずれかの間であってよい。いくつかの実施形態において、温度Bは、温度Aより約5℃から約50℃の間だけ低く、温度Aより約10℃から約30℃の間だけ低く、および/または温度Aよりその他の前記の値のいずれかからいずれかの間だけ低い。いくつかの実施形態において、温度Cは、温度Aより約0.1℃から約300℃の間だけ高く、温度Aより約1℃から約100℃の間だけ高く、温度Aより約2℃から約75℃の間だけ高く、温度Aより約2℃から約50℃の間だけ高く、および/または温度Aよりその他の前記の値のいずれかからいずれかの間だけ高い。いくつかの実施形態において、ケルビンで表わした温度Aに対する温度Cの比は、約1.001から約2.0の間、約1.001から約1.5の間、約1.001から約1.25の間および/または約1.001から約1.10の間である。いくつかの実施形態において、温度Cは温度Aより低くてよいが、温度Bより高くてよい。いくつかの実施形態において、温度Cは、温度Aより約0.1℃から約200℃の間、約0.1℃から約50℃の間、約0.1℃から約30℃の間だけ低くてよいが、温度Bより高くてよい。しかし、いくつかの実施形態において、温度Cは、温度Aとほぼ同じであってよいが、温度Bより高くてよい。いくつかの実施形態において温度A、BおよびCは、ほぼ同じであってよい。
反応体の低い蒸気圧に加えて、固体反応体の微粒子形は、気相堆積時に問題となることがある。たとえば、堆積のためのパルス動作時の圧力差があまり大きいと、粒子が容易に基板へ吹き付けられるかまたは運ばれることがある。基板へ吹き付けられるかまたは運ばれる粒子を減らすためにフィルターが用いられることがあるが、フィルターは詰まることがあり、ガスラインコンダクタンスを大きく減少させるので塗布量が低くなりすぎる。よって、堆積時の圧力差を約80Torr未満、より詳しくは約50Torr未満に限定し、フィルターを用いないで済ますことが好ましい。
本明細書に記載される実施形態を用いて有機膜を堆積すると膜形態の調整が容易になることが見いだされている。いくつかの実施形態において、反応体および装置への交互パルス動作と、前駆体原料容器または気化器と比べてより低い堆積温度とを使用すると、三次元構造体のアスペクト比を低下させる所望どおりに非共形の膜を平面でない基板の上に堆積することができる。いくつかの実施形態において、平面でない基板は、トレンチまたはビアあるいはその他の三次元構造体を備える。膜は、基板の下部フィーチャの上で基板の上部領域区域の上より厚い膜を実現するように堆積することができる。そのようなボトムアップ堆積は、従来の気相堆積が典型的には上部領域区域の上でより速く成長し(従来型のCVDなど)、トレンチの上部におけるピンチングおよび「キーホール」形成に至るか、またはコンフォーマルである(従来の逐次堆積プロセスなど)かのどちらかであることを考えれば驚くべきことである。
図4A~4Cは、いくつかの実施形態によって基板の三次元構造のアスペクト比を小さくする気相堆積プロセスの概略図である。図4Aは、トレンチ410の形の三次元フィーチャ(3D features)のパターンを有する基板400の断面の概略図を例示する。他の実施形態において、基板は、異なる表面トポグラフィーを有してよい。3Dフィーチャは、非常に小さくなり、アスペクト比が高いことがあり、通常は、堆積によって底部に到達し、ボイドを形成しないでフィーチャまたはトレンチのギャップを埋めることが難しくなる。例示された実施形態において、3Dフィーチャは、5nmから10μm、より詳しくは約5nmから約500nm、または約10nmから約200nmの側方寸法を有してよい。同時に、3Dフィーチャ、または例示された実施形態の場合にはトレンチ410の幅に対する高さの比、すなわちアスペクト比は約0.25から1000、約0.5から約100、より詳しくは約1.0から75、さらに詳しくは約2.0から約50の間の範囲であってよい。図4Bは、堆積がほとんどの気相堆積技法とは対照的にボトムアップ充填プロセスにおいて3Dフィーチャの底部で有利であるために、堆積されている高分子420がトレンチ410のアスペクト比の減少を示す基板400の断面を例示する。図4Cは、堆積された有機膜420がトレンチ410を均一に充填し、顕微鏡像において眼に見える継ぎ目がまったくなくボイドがない基板400の断面を例示する。いくつかの実施形態において、堆積された有機膜は、三次元構造体のアスペクト比を約1.5、約5、および約25倍以上、またはいくつかの実施形態において、約100倍以上小さくする。いくつかの実施形態において、堆積された有機膜は、有機膜の堆積後にもはや実質的なアスペクト比が残されないように基板のアスペクト比を小さくする。いくつかの実施形態において、堆積された有機膜は、三次元構造体、たとえばビアまたはトレンチを、三次元構造体の体積の少なくとも約50%、少なくとも約75%、少なくとも約90%、少なくとも約95%満たし、満たされた体積の中に実質的な継ぎ目もボイドもまったくない。いくつかの実施形態において、堆積された有機膜は、三次元構造体、たとえばビアまたはトレンチを完全に満たし、および/または、基板において三次元構造体の上部レベルの上に有機膜および実質的に平らな膜が存在する。堆積された有機膜は、ポリアミック酸、ポリイミド、ポリウレア、ポリウレタン、ポリチオフェン、およびそれらの混合物を含んでよい。
図4D~4Eは、逐次堆積プロセスにおいてPMDAとDAHとが交互に逐次的に基板に供給されてポリイミド膜を堆積する負の温度差実験の結果を示す電子顕微鏡写真である。第一の反応体PMDAは150℃の温度で気化され、PMDAガスラインは155℃に維持され、基板は127℃に維持された。450sccmのライン流量、2Torrのポンプライン圧力、および40~100Torrの原料ライン圧力が用いられた。PMDAおよびDAHのために11/8.1秒および4.7/9秒のパルス/パージ長さがそれぞれ用いられた。図4Dは、20サイクル後にトレンチ410のボトムアップ充填によって高分子420が堆積された基板400の断面を例示する。図4Eは、60サイクル後にトレンチ410のボトムアップ充填によって高分子420が堆積された基板400の断面を例示する。図4Eの堆積された膜は、最初のトレンチのトポグラフィーと比べて比較的平らな表面を示す。
いくつかの実施形態において、余分な反応体蒸気が基板との接触から除かれる期間の長さに基づいて膜の平坦度を調整することができる。余分な反応体が除かれる期間を減らすと堆積された有機膜の平坦度が増加する。いくつかの実施形態において、余分な第一の反応体の蒸気を除くことと余分な第二の反応体の蒸気を除くこととのそれぞれは、1秒強、10秒未満、約1秒から約10秒の間、および/またはその他の前記の値のいずれかからいずれかへの間の期間行われる。
実施例1
図5A~5Dは、気化器から基板への負の温度差を用いるもの(図5Aおよび5B)と気化器から基板への正の温度差を用いるもの(図5Cおよび5D)との同様な逐次堆積プロセスを比較する実験の結果を示す。すべての実験は、ASM International,N.V.(Almere、オランダ)によって提供されるPULSAR 3000(商標)beta ALDツールの中で300mmウエハを使用した。負の温度差は、正の差を有するプロセスと比べて3倍を超える成長速度で膜を堆積し、はるかに高い厚さ均一性を有する膜を作り出した。
負の温度差実験の場合、逐次堆積プロセスにおいてPMDAとDAHとを交互に逐次的に基板に供給してポリイミド膜を堆積した。第一の反応体PMDAを150℃の温度で気化し、PMDAガスラインを153℃に維持し、基板を127℃に維持した。第二の反応体DAHを45℃に保った。450sccmのライン流量を用い、PMDAおよびDAHに11/8.066秒および4.68/9秒のパルス/パージ長さをそれぞれ用いた。パルス動作圧力差はPMDAでは約45Torrに設定し、ラインフィルターは用いなかった。60回の堆積サイクルを施用し、得られた膜を分光エリプソメトリーによって分析した。図5Aおよび5Bは、200mmウエハマッピングサイズおよび300mmウエハマッピングサイズで得た厚さマップをそれぞれ示し、両方の場合に3mmのエッジエクスクルージョンを使用した。成長速度は、サイクルあたり5.1Åであり、1σ厚さ不均一度は、200mmおよび300mmマッピングサイズを用いてそれぞれ0.6%および1.4%であった。
正の温度差実験の場合、第一の反応体PMDAを140℃の温度で気化し、PMDAガスラインを143℃に維持し、基板を150℃に維持した。第二の反応体DAHを45℃に保った。450sccmのライン流量を用い、PMDAおよびDAHに5/5秒および2/5秒のパルス/パージ長さをそれぞれ用いた。パルス圧力差はPMDAでは約45Torrに設定し、ラインフィルターを用いなかった。165回の堆積サイクルを施用し、得られた膜を分光エリプソメトリーによって分析した。図5Cおよび5Dは、200mmウエハマッピングサイズおよび300mmウエハマッピングサイズのどちらかを用いて得た厚さマップを示し、両方の場合に3mmのエッジエクスクルージョンを適用した。成長速度は、サイクルあたり1.6Åであり、1σ厚さ不均一度は、200mmおよび300mmマッピングサイズを用いてそれぞれ1.1%および6.0%であった。
実施例2
トレンチをパターン形成したウエハの上で行った別の負の温度差実験において、PMDAとDAHとを逐次プロセスにおいて反応させてトレンチパターンを有する基板の上にポリイミド膜を堆積した。トレンチは40および50nmの可変ピッチと25~35nmの開口とを有した。第一の反応体PMDAを150℃の温度で気化し、PMDAガスラインを153℃に維持し、基板を127℃に維持した。第二の反応体DAHを45℃に保った。450sccmのライン流量を用い、PMDAおよびDAHに11/8.066秒および4.68/9秒のパルス/パージ長さをそれぞれ用いた。得られた膜をトンネル電子顕微鏡法(TEM)によって分析した。20サイクル後、TEM画像は、膜がトレンチ底部区域で厚くなり、トレンチの側壁で薄くなることを示した。同じパラメータを用いて成長した平らなウエハ上の膜厚は7nmであり、いくつかのトレンチの底部の上の膜厚は約11nmであり、いくつかのトレンチの側部の上の膜厚は約4nmであった。したがって、成長は、トレンチの底部区域でより速く進み、ボトムアップ充填を示した。60回の堆積サイクル後、TEM分析は、ポリイミドによるトレンチの継ぎ目のないボトムアップギャップ充填を示した。上面は、比較的滑らかであり、ある程度の自己平坦化挙動を示した。
実施例3
別の負の温度差実験において、逐次堆積プロセスにおいてPMDAとDAHとを反応させてトレンチパターンを有する基板の上にポリイミド膜を堆積した。さまざまな時間のパージ長さを用いた。一つの膜においてはPMDAに8.066秒、DAHに9.0秒のパージ長さを用い、別の膜においてはPMDAとDAHとのそれぞれに15秒のパージ長さを用い、別の膜においてはPMDAとDAHとのそれぞれに25秒のパージ長さを用いた。得られた膜をTEMによって分析した。パージ長さは、ギャップ充填性能に確かに影響を及ぼすようであった。しかし、短いパージほど構造体の上に平坦な膜が得られた。したがってパージ長さは、膜の最終形態を調整する因子として用いることができる。
実施例4
別の負の差実験において、異なる温度における二種類の別々の交互および逐次堆積プロセスにおいてPMDAとDAHとを反応させた。第一の実験において、PMDAを150℃で気化し、基板を127℃に維持した。第二の実験において、PMDAを180℃で気化し、基板を160℃に維持した。第一の実験において堆積した膜は主としてポリアミック酸であり、第二の実験において堆積した膜は主としてポリイミドであった。堆積温度は、反応体がPMDAおよびDAHであるとき、堆積される膜の組成に影響を及ぼすようである。低い堆積温度ほどポリアミック酸の比率が大きくなるようであり、高い堆積温度ほどポリイミドの比率が大きくなるようであった。
実施例5
別の負の温度差実験において、堆積したポリアミック酸膜をアニールしてポリイミドを形成した。PMDAとDAHとを反応させるとき低い堆積温度ほどポリアミック酸が大きな比率で堆積する。FTIR分光法によってポリイミドへの変換を確認した。異なる温度でアニールした4種類のポリアミック酸膜についてのデータは、次の通りである。
実施例6
別の負の温度差実験において、さまざまな温度で有機膜を堆積した。分光電位測定法(SE)およびX線反射率(XRR)を用いて厚さを分析し、厚さを測定した。密度およびRMS粗さも測定した。4種類の膜についてのデータは、次の通りである。
実施例7
別の負の温度差実験において、ポリアミック酸からポリイミドなどのエッチング耐性がより高い高分子への変換を確認するために、水を用いて堆積膜をエッチングした。ポリアミック酸は水溶性であり、水によってエッチングすることができる。それに対して、ポリイミドは水溶性でなく、水によってエッチングすることができない。第一の膜は127℃で堆積し、したがって大部分がポリアミック酸であった。第二の膜は160℃で堆積し、したがって大部分がポリイミドであった。第三の膜は127℃で堆積し、続いてアルゴンプラズマで処理して堆積したポリアミック酸をポリイミドへ変換した。膜の厚さを水への暴露の前後に測定し、水によるエッチングの程度を定量するため比較した。以下のデータは、127℃で堆積したポリアミック酸膜が水によってエッチングされ、160℃で堆積したポリイミド膜と、127℃で堆積し、続いて硬化してポリイミドを形成したポリアミック酸膜とが水によってエッチングされなかったことを示す。
実施例8
トレンチをパターン形成したウエハの上で行った別の負の温度差実験において、逐次プロセスにおいて1,4-フェニレンジイソシアナート(PDIC)とDAHとを反応させてトレンチパターンを有する基板上にポリウレア膜を堆積した。トレンチは、40および50nmの可変ピッチと25~35nmの開口とを有した。第一の反応体PDICを75℃の温度で気化し、PDICガスラインを85℃に維持し、基板を40℃に維持した。第二の反応体DAHを45℃に保った。450sccmのライン流量を用い、PDICおよびDAHに3/2秒および8/7秒のパルス/パージ長さをそれぞれ用いた。得られた膜をトンネル電子顕微鏡法(TEM)によって分析した。50サイクル後、TEM画像は、膜がトレンチ底部区域で厚くなり、トレンチの側壁で薄くなることを示した(図6A)。同じパラメータを用いて成長した平らなウエハ上の膜厚は7nmであり、いくつかのトレンチの底部の上の膜厚は約10nmであり、いくつかのトレンチの側部の上の膜厚は約3nmであった。したがって、成長は、トレンチの底部区域でより速く進み、ボトムアップ充填を示した。215回の堆積サイクル後、TEM分析(図6B)は、ポリウレアによるトレンチの継ぎ目のないボトムアップギャップ充填を示した。三次元フィーチャのアスペクト比は小さくなり、ある程度の自己平坦化挙動を示した。
図7~9は、オーバーヘッドガス分配システムにとらわれず反応空間の側方から反応体蒸気フィードスルーを供給するように構成された気相堆積装置の高レベル概略図である。側方フィードスルーの使用により、従来のオーバーヘッドの対称型の、たとえばシャワーヘッドプレナムへの供給と比較して有機前駆体気化器からガス分配ブロックへの通路が短くなる。より短い反応体通路は、上記のように有機膜の気相堆積のための装置の操作および維持のために有利なことがある。気相堆積装置の他のフィーチャ、たとえば第二の反応体供給源、反応体入口、入口マニホルド、排気出口および制御システムは、簡単にするために図示していないが、たとえば図2Aについて記載したようにすることができる。
図7は、本明細書に記載される特定の実施形態による気相堆積装置700の概略側面である。第一の反応体容器705は、加熱された反応体供給源、たとえば上記の有機膜のALDに適した有機反応体のための気化器であってよい。内側の反応チャンバが、一つまたは二つ以上の基板を支持することができる反応空間115を画定する。第一の反応体容器705から反応空間715へ通じるガスライン730も加熱される。別個の外側の真空チャンバ732が内側の反応チャンバを囲む。温度プロフィールは、ガスライン730が反応体容器705または反応空間715の中の基板の温度のどちらかより高い温度となり、反応体容器705は、反応空間715の中の基板温度より高い温度となるように、図3Bのものに従うことができる。
図8は、気相堆積装置700を示し、図7のものと同様な部品は同様な参照番号によって参照される。図8において、内側の反応チャンバは、2つの部品すなわち加熱されたブロック735および反応空間715を備えるとして示される。加熱されたブロック735は、温度が反応体容器705からガスライン730を経て加熱されたブロック735へ上昇することができるとともに、反応空間715の中の基板が反応体容器705より低い温度となるように、加熱されたガスライン730より高い温度を有することができる。加熱されたブロック735は、反応空間715の中に収容された基板にわたって反応体蒸気を均一に分配する機能を果すことができる。たとえば、加熱されたブロック735は、反応空間715の中の基板支持体(たとえばサセプタ)の上のシャワーヘッドを表すことができる。
図7および8において、加熱された反応体容器705と反応チャンバの中へ原料を供給する加熱されたガスライン730との両方がチャンバの側方に位置する。この配置は反応器の手入れを容易にし、チャンバは上から容易に開くことができる。これに対して、典型的なシャワーヘッド反応器は、チャンバの上部を通し、分配穿孔に対して対称的に反応体を供給する。そのようなオーバーヘッド供給は、特に有機膜堆積の場合に反応体のための通路を長くし、手入れのためにチャンバを開くことも難しくなる。ガスライン730、特に反応チャンバを通して供給する部分の加熱も、側方にあるときの方が容易であり、加熱されたガスライン730のフィードスルー部分の長さを非常に小さくすることができる。そのような配置は、ラインから低温スポットをなくすことをより効率的に、より容易にする。より短いフィードスルーラインを用いるとより良いコンダクタンスも実現することができ、したがってより大きな前駆体用量を可能にする。
図7および8は、例示を目的として一つの加熱された反応体容器と加熱されたガスラインとを示すだけであるが、加熱された供給源および加熱されたラインの数を有機膜堆積レシピにおける前駆体の数および型に応じて二つ以上にすることができることは、当業者にとって当然である。
たとえば、図9は、特定の実施形態による、二つの加熱された反応体容器705Aおよび705Bと、外側の真空チャンバ732を通って加熱されたブロック735へ供給する二つの加熱されたガスライン730Aおよび730Bとを有する気相堆積装置700の概略平面図である。加熱されたブロック735は、基板支持体を含むことができる反応空間715の上のガス分配ブロック(たとえばシャワーヘッド)であってよい。基板支持体は、外側の真空チャンバ732内の容易なウエハ移動のためのエレベーターに取り付けられた丸いサセプタプレートを備えることができる。
加熱されたブロック735は、反応体原料容器705Aおよび705Bからの前駆体ガスを反応空間715の中に収容された基板(単数または複数)にわたって均一に分配することができる。加熱されたブロック735は、複数の設計を有することができる。一実施形態において、すべての入口ガスフィードスルーは同じ空間(たとえば共通のシャワーヘッドプレナム)へ導かれ、前駆体は同じチャネル(たとえば反応空間715の中の基板へのシャワーヘッド穿孔)から流れる。別の実施形態において、反応空間715が、異なる反応体が出会う最初の場所となるように、異なる前駆体ガスが異なるチャネルを通って基板へ導かれる。互いに反応性の要素の間の反応が加熱されたブロック735の中で起こることを避け、したがって粒子形成を避ける特定のALDレシピにとって、そのような配置が好ましい。一例において、別々の反応体のために別々のプレナムと別々の穿孔とを提供する二重反応体シャワーヘッドを使用することができる。別の例において、別々の反応体のために別々の穿孔されたパイプを設けることができる。反応体を別々にしておくべきかまたは共通の分配プレナムを通すべきかどうかは、実際の反応体と堆積レシピのための反応温度とに依存する。
図10は、図8または9の実施形態による使用のための別々の反応体を別々に分配するガス分配ブロック735の例の概略平面図である。概略図において寸法が一定の比率でないことは当然である。図10において、加熱されたガスライン730Aおよび730Bは、管の下の反応空間の中に支持されている基板706の上の穿孔を有する加熱された反応体分配管730A’および730B’の中へ延在する。分配管730A’および730B’は、別々に制御可能なバルブ717Aおよび717Bを用いて排気口716へ通じる。バルブ717Aおよび717Bは、前駆体流れと、反応体段階の間の加熱された分配管730A’および730B’からのパージ動作を制御することができる。
図11は、図10のガス分配ブロック735を組み込んだ気相堆積装置の概略側面である。加熱された反応体容器705Aおよび705Bは、加熱されたガスライン730Aおよび730Bに供給し、ガスライン730Aおよび730Bが今度は加熱されたガス分配管730A’および730B’の中へ延在する。バルブ717A、717Bが、操作時に反応体流れおよびパージ動作を制御するためにガス分配管730A’および730B’から排気口716への流れを制御する。分配管730A’および730B’は、内側の反応チャンバのためのカバーブロック750の中へ延在する。外側の真空チャンバ732と内側の反応チャンバとは、それらの間に真空空間752を画定する。基板支持体708の上に支えられた基板706が示され、基板706が支持されている位置の周りに排気口714が設けられる。ガス分配管730A’および730B’のための排気口716および反応空間715のための排気口714を同じまたは異なる真空源に接続することができる。カバーブロック750と基板支持体708との間のスペーサー754が反応空間715を封止する助けとなる。
図10および11は、加熱されたガス分配ブロック735の内側の部品のための一つの可能な設計を示す。基板706の上でジグザグに往来する二本の入り組んだ管730A’および730B’が示される。第一の分配管730A’の中の孔から第一の反応体が基板706にわたって散布され、第二の分配管730B’の孔から第二の反応体が分配される。管730A’と730B’との両方がポンプ排気部716へ通じる。基板への第一の反応体の供給時、第一の分配管730A’への反応体の流れを容易にするために不活性キャリアガスを用いることができる。反応空間715の中の圧力は、分配管730A’の中より低く保つことができ、したがって前駆体は管730A’から基板706の表面へ流れる。ALD手順において、反応体パルスの間のパージ時に、反応体の流れが止められ、キャリアガスだけが第一の管730A’の中を流れる。管730A’は、排気口716へも通じるので、効率的にパージすることができる。バルブ717Aおよび717Bは、反応体供給時に反応空間715への反応体の流れを促すために閉じ、パージ時に再び開くことができる。この型のシャワーヘッドのようなガス分配システムはシャワーヘッドの利点を有するが、より効果的にパージして粒子形成を減らすことができる。管の中の孔の大きさは、定められた方法の実験によって最適化することができる。管730A’および730B’は、外側の真空チャンバ732への反応体の漏れを最小にするようカバーブロック750内へ延在しており、前駆体消費の効率を高めることにつながる。
上記のように、温度勾配は、反応体容器705Aおよび705Bからそれらのそれぞれのガスライン730Aおよび730Bへ増加し、分配ブロック735の管730A’および730B’へ増加し続けることができる。基板支持体708およびその上に支持される基板706は、反応体容器705Aおよび705Bより低い温度にすることができ、したがって加熱されたガスライン730Aおよび730Bならびに分配ブロック735より低い温度にすることもできる。言い換えると、システム制御は、気化温度A、基板温度B、ガスライン温度Cおよび、ガス分配ブロック温度Dを、B<A<C<Dとなるように制御することができる。
図11の堆積装置700において、反応空間715は、それ自体の排気口714を有する。例示された実施形態において、排気口714は、基板(たとえばウエハ)を均一に囲み、基板の周り全体からガスが排気される。
図10および11は、ガス分配ブロックの一例を示す。他の実施形態において管730A’および730B’は、異なる形、たとえばらせん形にすることができる。好ましくは、流路はガスが滑らかに流れ、乱流が最小になるように鋭角の曲がりもコーナーも有しない。
図12は、別々の反応体のための共通の分配路を有する気相堆積装置の概略側面であり、図11の部品と類似の部品は、同じ参照番号によって参照される。図12の実施形態は、図11の管の代わりに従来のシャワーヘッド760が加熱された分配ブロック735として機能する点が図11と異なる。温度は、第一の反応体容器705Aから対応する加熱されたガスライン730Aへ、対応するフィードスルーライン730A’へ、シャワーヘッド760へ上昇することができる。同様に、温度は、第二の反応体容器705Bから対応する加熱されたガスライン730Bへ、対応するフィードスルーライン730B’へ、シャワーヘッド760へ上昇することができる。シャワーヘッド760の下の反応空間715の中の基板706は、反応体容器705Aおよび705Bならびに流路に沿って介在するフィーチャより低い温度にすることができる。言い換えると、システム制御は、各反応体について蒸発温度A、基板温度B、ガスライン温度C、ガスフィードスルー温度Dおよびガス分配ブロック温度Eを、B<A<C<D<Eとなるように制御することができる。図11のバルブ717A、717Bと同様に、バルブ717Cが反応体流れおよび反応体パルスの間のシャワーヘッド760のパージを制御することができる。
他の実施形態において、分配ブロックは、参照によって開示全体があらゆる目的で本明細書に組み込まれる、米国特許公開第2004216665号、20030075273号および2004216668号のガス分配システムと類似のものにすることができる。そのような実施形態ならびに図7~12の実施形態において、ガスは、水平または交差流反応チャンバと比較して基板にわたる反応体のより均一な分配のために、オーバーヘッドから分配することができる。
しかし、従来のシャワーヘッドまたは二重シャワーヘッドガス分配システムと異なり、側方フィードスルーは、より短くかつより複雑でない分配ブロックへの流路を提供する。従来のシャワーヘッドシステムは、低い蒸気圧の前駆体、たとえば本明細書に記載される有機膜堆積のための有機前駆体にとって一般的に良好でない。従来のシャワーヘッドシステムは、多数のジョイントおよびバルブでシャワーヘッドの上部に接続された長い前駆体パイプを有する傾向があり、効率的な温度調節を減少させる傾向があり、低温スポットに起因する粒子発生を引き起こすことがある。例示された側方フィードスルーは、堆積運転の間のメンテナンスおよびクリーニングのためのアクセスを容易にすることに加えて、適切に配置されたヒーターおよび温度センサーを用いてより容易に均一に加熱される。
さらに、堆積装置にインサイチュクリーニングシステムを設けることができる。無機膜と異なり、本明細書に記載される堆積反応器のガス分配路に沿って形成されることがある有機膜および前駆体残渣は、酸化反応によって比較的容易にクリーニングすることができる。よって、ガスラインへの酸素含有蒸気の供給により、またはガス分配ブロック735への別々の供給により直接インサイチュクリーニングを実現することができる。たとえば、ガス分配ブロック735へあるいは上流の加熱されたガスラインまたは加熱されたガスフィードスルーへOを供給することができる。より好ましくは、インサイチュクリーニングサイクルのために、堆積または堆積運転の間に活性化された酸化剤、たとえばOガスまたはOプラズマ生成物が周期的に供給される。
特定の実施形態および実施例が考察されているが、特許請求の範囲は、具体的に開示された実施形態を超えて他の代替実施形態および/または使用法ならびにそれらの自明の改変物および均等物へ拡張されることは、当業者にとって当然である。

Claims (53)

  1. 有機膜堆積のための装置であって、
    有機反応体を気化して反応体蒸気を形成するように構成された容器と、
    基板を収容し、前記容器と選択的に流体連通するように構成された反応空間と、
    前記容器の中の前記反応体を温度A以上に維持し、
    前記基板を前記温度Aより低い温度Bに維持し、
    前記反応体蒸気を前記容器から前記基板へ輸送し、
    前記基板の上に有機膜を堆積する
    ように構成された制御システムと、
    を備える、装置。
  2. 前記有機膜は、高分子を含む、請求項1に記載の装置。
  3. 前記容器は、室温および大気圧で固体を収容する、請求項1に記載の装置。
  4. 前記容器は、酸二無水物を収容する、請求項1に記載の装置。
  5. 前記容器は、ピロメリット酸二無水物(PMDA)を収容する、請求項1に記載の装置。
  6. 前記制御システムは、ケルビンで表わした温度Bに対する温度Aの比を約1から約1.25の間に維持するようにさらに構成された、請求項1に記載の装置。
  7. 前記制御システムは、前記温度Bを前記温度Aより約5℃から約50℃低く維持するようにさらに構成された、請求項1に記載の装置。
  8. 前記容器を前記反応空間へ流体接続するガスラインをさらに含み、前記制御システムは、前記ガスラインを温度Cに維持するようにさらに構成され、前記温度Cは、前記温度Aより高い、請求項1に記載の装置。
  9. 前記制御システムは、逐次堆積プロセスにおいて前記反応体蒸気と交互に第二の反応体の蒸気を前記基板へ輸送するようにさらに構成された、請求項1に記載の装置。
  10. 前記反応空間に接続された出口ラインおよび不活性ガス供給源をさらに備え、前記制御システムは、前記反応体蒸気および第二の反応体の蒸気の供給の間に余分な反応体蒸気および副生成物を除去するようにさらに構成された、請求項1に記載の装置。
  11. 有機膜を気相堆積するための方法であって、
    気化器の中で第一の有機反応体を温度Aで気化して第一の反応体の蒸気を形成するステップと、
    反応空間の中で基板を前記温度Aより低い温度Bで前記第一の反応体の蒸気に曝露するステップと、
    前記基板の上に前記有機膜を堆積するステップと、
    を含む方法。
  12. 前記有機膜は、高分子を含む、請求項11に記載の方法。
  13. 前記基板の上に前記有機膜を堆積するステップは、逐次気相堆積を含む、請求項12に記載の方法。
  14. 前記基板の上に前記有機膜を堆積するステップは、原子層堆積プロセスを含む、請求項13に記載の方法。
  15. 前記高分子は、ポリイミドを含む、請求項12に記載の方法。
  16. 前記高分子は、ポリウレアを含む、請求項12に記載の方法。
  17. 前記有機膜は、ポリアミック酸を含む、請求項11に記載の方法。
  18. 前記ポリアミック酸をポリイミドへ変換するステップをさらに含む、請求項17に記載の方法。
  19. 前記第一の反応体は、室温および大気圧で固体である、請求項11に記載の方法。
  20. 前記第一の反応体は、酸二無水物である、請求項11に記載の方法。
  21. 前記第一の反応体は、ピロメリット酸二無水物(PMDA)を含む、請求項11に記載の方法。
  22. ケルビンで表わした温度Bに対する温度Aの比は、約1から約1.15の間である、請求項11に記載の方法。
  23. 前記温度Bは、前記温度Aより約5℃から約50℃の間だけ低い、請求項11に記載の方法。
  24. 前記基板は、平面でないトポグラフィーを含み、前記有機膜を堆積するステップは、前記基板の下部フィーチャの上に第一の厚さを形成するステップと、前記基板の上部領域区域の上に第二の厚さを堆積するステップとを含み、前記第一の厚さは、前記第二の厚さより大きい、請求項11に記載の方法。
  25. 前記基板を曝露するステップは、前記基板の上に前記第一の反応体の化学種を自己制限的に吸着するステップを含み、前記方法は、
    余分な前記第一の反応体を前記基板との接触から除くステップと、
    前記第一の反応体の蒸気と第二の反応体とが実質的に混合しないように、前記基板を前記第二の反応体に曝露するステップと、
    余分な前記第二の反応体を前記基板との接触から除くステップと、
    をさらに含む、請求項11に記載の方法。
  26. 前記第二の反応体は、ジアミンである、請求項25に記載の方法。
  27. 余分な前記第一の反応体の蒸気を除くステップと余分な前記第二の反応体の蒸気を除くステップとのそれぞれは、約1秒から約10秒の期間行われる、請求項25に記載の方法。
  28. 前記基板を前記第一の反応体の蒸気に曝露するステップと前記基板を前記第二の反応体に曝露するステップとを、前記第一の反応体の蒸気と前記第二の反応体とが実質的に混合しないように、複数のサイクルで繰り返すステップをさらに含む、請求項25に記載の方法。
  29. 前記基板は、平面でないトポグラフィーを含み、前記有機膜を堆積するステップは、前記基板の下部フィーチャの上に第一の厚さを形成するステップと、前記基板の上部領域区域の上に第二の厚さを堆積するステップとを含み、前記第一の厚さは、前記第二の厚さより大きい、請求項25に記載の方法。
  30. 前記基板を前記第一の反応体の蒸気に曝露するステップは、前記気化器から前記反応空間へのガスラインを通して前記第一の反応体の蒸気を輸送するステップを含み、前記ガスラインは、温度Cであり、前記温度Cは、前記温度Aより高い、請求項11に記載の方法。
  31. 余分な前記第一の反応体の蒸気を、ある期間にわたって前記基板との接触から除くステップをさらに含み、前記期間を減少させると前記堆積された有機膜の平坦度が増加する、請求項11に記載の方法。
  32. 前記第一の反応体の蒸気と第二の反応体とが実質的に混合しないように、前記基板を前記第二の反応体に曝露するステップと、
    余分な前記第二の反応体を前記基板との接触から除くステップと、
    前記基板を前記第一の反応体の蒸気に曝露するステップと前記基板を前記第二の反応体に曝露するステップとを、前記第一の反応体の蒸気と前記第二の反応体とが実質的に混合しないように、複数のサイクルで繰り返すステップと、
    をさらに含む、請求項31に記載の方法。
  33. 基板の上の三次元構造体のアスペクト比を小さくするための方法であって、
    第一の反応体を気化して第一の反応体の蒸気を形成するステップと、
    反応空間の中で基板を前記第一の反応体の蒸気に曝露するステップであって、前記基板は、三次元構造を有するトポグラフィーを含む、ステップと、
    有機膜を、堆積するにつれて前記有機膜が前記基板の上の前記三次元構造体のアスペクト比を小さくするように、前記トポグラフィーの上部フィーチャと比較して前記トポグラフィーの下部フィーチャの上で前記基板の上に優先的に堆積するステップであって、前記堆積は、前記基板を前記第一の反応体の蒸気に曝露することを含む、ステップと、
    を含む方法。
  34. 前記気化は、温度Aで行われ、前記基板は堆積時、温度Bであり、ケルビンで表わした温度Bに対する温度Aの比は、約1から約1.15の間である、請求項33に記載の方法。
  35. 前記温度Bは、前記温度Aより約5℃から約50℃の間だけ低い、請求項34に記載の方法。
  36. 前記堆積するステップは、
    前記基板を第二の反応体の蒸気に曝露して前記基板の上の前記第一の反応体の蒸気の化学種と反応させるステップと、
    前記基板を前記第一の反応体の蒸気に曝露するステップと前記基板を前記第二の反応体の蒸気に曝露するステップとを交互に逐次的に繰り返すステップと、
    をさらに含む、請求項33に記載の方法。
  37. 気化温度Aと基板温度Bとを、B<Aとなるように制御するステップをさらに含む、請求項33に記載の方法。
  38. ガスラインおよび/または反応空間を酸素含有反応体でインサイチュクリーニングするステップをさらに含む、請求項37に記載の方法。
  39. 前記有機膜を堆積するステップは、ポリアミック酸膜を堆積するステップを含み、前記ポリアミック酸膜をポリイミド膜へ変換するステップをさらに含む、請求項37に記載の方法。
  40. 前記有機膜を堆積するステップは、高分子膜を堆積するステップを含む、請求項37に記載の方法。
  41. 前記第一の反応体は、有機反応体であり、前記曝露するステップは、前記反応空間を画定する反応器の側方を通って延在する加熱されたガスラインを通して前記第一の反応体の蒸気を、前記反応空間内の前記基板の上のガス分配ブロックへ供給するステップを含む、請求項33に記載の方法。
  42. 気化温度A、基板温度B、ガスライン温度Cおよびガス分配ブロック温度Dを、B<A<C<Dとなるように制御するステップをさらに含む、請求項41に記載の方法。
  43. 前記ガス分配ブロックは、前記反応空間に到達するまでの前記第一の反応体の蒸気と第二の反応体の蒸気とのために別々の流路を維持する、請求項42に記載の方法。
  44. 前記ガス分配ブロックは、それを通じて前記第一の反応体の蒸気と第二の反応体の蒸気とが供給される共通プレナムを備える、請求項42に記載の方法。
  45. 前記ガス分配ブロックは、排気口への出口と、パージするための前記ガス分配ブロックからの排気を制御するためのバルブと、を備える、請求項41に記載の方法。
  46. 気化器の中で第一の反応体を気化して第一の反応体の蒸気を形成するステップと、
    反応空間の中で基板を前記第一の反応体の蒸気と第二の反応体の蒸気とに曝露するステップと、
    前記第一の反応体の蒸気と前記第二の反応体の蒸気からのポリアミック酸膜を前記基板の上に堆積するステップと、
    を含む、有機膜を形成する方法。
  47. 前記ポリアミック酸膜をポリイミドへ変換するステップをさらに含む、請求項46に記載の方法。
  48. 前記基板を前記第一の反応体の蒸気と前記第二の反応体の蒸気とに曝露するステップは、前記基板を約100℃から約150℃の間の温度に維持するステップを含む、請求項46に記載の方法。
  49. 前記第一の反応体は、酸二無水物を含む、請求項46に記載の方法。
  50. 前記酸二無水物は、ピロメリット酸二無水物(PMDA)を含む、請求項49に記載の方法。
  51. 前記基板を前記第一の反応体の蒸気と前記第二の反応体の蒸気とに曝露するステップは、前記基板を前記第一の反応体の蒸気と前記第二の反応体の蒸気とに交互に逐次的に曝露するステップを含む、請求項46に記載の方法。
  52. 前記第二の反応体は、ジアミンを含む、請求項51に記載の方法。
  53. 前記ジアミンは、1,6-ジアミノヘキサン(DAH)を含む、請求項52に記載の方法。
JP2023098005A 2015-10-09 2023-06-14 有機膜の気相堆積 Active JP7383192B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2023188391A JP2024001340A (ja) 2015-10-09 2023-11-02 有機膜の気相堆積

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US14/879,962 US10695794B2 (en) 2015-10-09 2015-10-09 Vapor phase deposition of organic films
US14/879,962 2015-10-09
US15/070,594 US10343186B2 (en) 2015-10-09 2016-03-15 Vapor phase deposition of organic films
US15/070,594 2016-03-15
JP2016197037A JP7007795B2 (ja) 2015-10-09 2016-10-05 有機膜の気相堆積
JP2021176474A JP7299289B2 (ja) 2015-10-09 2021-10-28 有機膜の気相堆積

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2021176474A Division JP7299289B2 (ja) 2015-10-09 2021-10-28 有機膜の気相堆積

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2023188391A Division JP2024001340A (ja) 2015-10-09 2023-11-02 有機膜の気相堆積

Publications (2)

Publication Number Publication Date
JP2023120310A true JP2023120310A (ja) 2023-08-29
JP7383192B2 JP7383192B2 (ja) 2023-11-17

Family

ID=58499280

Family Applications (4)

Application Number Title Priority Date Filing Date
JP2016197037A Active JP7007795B2 (ja) 2015-10-09 2016-10-05 有機膜の気相堆積
JP2021176474A Active JP7299289B2 (ja) 2015-10-09 2021-10-28 有機膜の気相堆積
JP2023098005A Active JP7383192B2 (ja) 2015-10-09 2023-06-14 有機膜の気相堆積
JP2023188391A Pending JP2024001340A (ja) 2015-10-09 2023-11-02 有機膜の気相堆積

Family Applications Before (2)

Application Number Title Priority Date Filing Date
JP2016197037A Active JP7007795B2 (ja) 2015-10-09 2016-10-05 有機膜の気相堆積
JP2021176474A Active JP7299289B2 (ja) 2015-10-09 2021-10-28 有機膜の気相堆積

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2023188391A Pending JP2024001340A (ja) 2015-10-09 2023-11-02 有機膜の気相堆積

Country Status (4)

Country Link
US (1) US10343186B2 (ja)
JP (4) JP7007795B2 (ja)
KR (2) KR102546221B1 (ja)
TW (3) TW202146695A (ja)

Families Citing this family (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI529808B (zh) 2010-06-10 2016-04-11 Asm國際股份有限公司 使膜選擇性沈積於基板上的方法
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US9895715B2 (en) 2014-02-04 2018-02-20 Asm Ip Holding B.V. Selective deposition of metals, metal oxides, and dielectrics
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10814349B2 (en) 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
US9981286B2 (en) 2016-03-08 2018-05-29 Asm Ip Holding B.V. Selective formation of metal silicides
CN109314045B (zh) 2016-04-18 2023-08-04 Asm Ip 控股有限公司 于基底上形成定向自组装层的方法
US10204782B2 (en) 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US10014212B2 (en) 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
US11094535B2 (en) 2017-02-14 2021-08-17 Asm Ip Holding B.V. Selective passivation and selective deposition
US11404313B2 (en) 2017-04-26 2022-08-02 Applied Materials, Inc. Selective tungsten deposition at low temperatures
US10256144B2 (en) 2017-04-26 2019-04-09 Applied Materials, Inc. Process integration approach of selective tungsten via fill
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
US11170993B2 (en) 2017-05-16 2021-11-09 Asm Ip Holding B.V. Selective PEALD of oxide on dielectric
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
JP7077108B2 (ja) * 2018-04-05 2022-05-30 東京エレクトロン株式会社 被加工物の処理方法
JP7093667B2 (ja) * 2018-04-11 2022-06-30 東京エレクトロン株式会社 成膜装置及び成膜方法
JP7043950B2 (ja) * 2018-04-11 2022-03-30 東京エレクトロン株式会社 成膜装置、及び成膜方法
JP6981356B2 (ja) 2018-04-24 2021-12-15 東京エレクトロン株式会社 成膜装置及び成膜方法
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
JP2019207965A (ja) * 2018-05-30 2019-12-05 東京エレクトロン株式会社 成膜装置及び成膜方法
JP7061935B2 (ja) * 2018-06-21 2022-05-02 東京エレクトロン株式会社 制御装置、成膜装置、制御方法、成膜方法、および制御プログラム
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
JP7236953B2 (ja) * 2019-08-05 2023-03-10 東京エレクトロン株式会社 成膜装置および成膜方法
JP7323409B2 (ja) * 2019-10-01 2023-08-08 東京エレクトロン株式会社 基板処理方法、及び、プラズマ処理装置
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
TW202204658A (zh) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
KR20210158811A (ko) 2020-06-24 2021-12-31 에이에스엠 아이피 홀딩 비.브이. 유기 재료의 영역 선택적 제거

Family Cites Families (172)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4948755A (en) 1987-10-08 1990-08-14 Standard Microsystems Corporation Method of manufacturing self-aligned conformal metallization of semiconductor wafer by selective metal deposition
US4863879A (en) 1987-12-16 1989-09-05 Ford Microelectronics, Inc. Method of manufacturing self-aligned GaAs MESFET
JPH0485024A (ja) 1990-07-30 1992-03-18 Mitsubishi Gas Chem Co Inc 銅張積層板の製造法
DE4115872A1 (de) * 1991-05-15 1992-11-19 Basf Ag Verfahren zur herstellung duenner polyimidschutzschichten auf keramischen supraleitern oder hochtemperatursupraleitern
US5447887A (en) 1994-04-01 1995-09-05 Motorola, Inc. Method for capping copper in semiconductor devices
US6251758B1 (en) 1994-11-14 2001-06-26 Applied Materials, Inc. Construction of a film on a semiconductor wafer
JP3373320B2 (ja) * 1995-02-10 2003-02-04 株式会社アルバック 銅配線製造方法
US5633036A (en) 1995-04-21 1997-05-27 The Board Of Trustees Of The University Of Illinois Selective low temperature chemical vapor deposition of titanium disilicide onto silicon regions
US5925494A (en) 1996-02-16 1999-07-20 Massachusetts Institute Of Technology Vapor deposition of polymer films for photolithography
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5939334A (en) 1997-05-22 1999-08-17 Sharp Laboratories Of America, Inc. System and method of selectively cleaning copper substrate surfaces, in-situ, to remove copper oxides
US5869135A (en) 1997-10-03 1999-02-09 Massachusetts Institute Of Technology Selective chemical vapor deposition of polymers
US20060219157A1 (en) 2001-06-28 2006-10-05 Antti Rahtu Oxide films containing titanium
US6958174B1 (en) 1999-03-15 2005-10-25 Regents Of The University Of Colorado Solid material comprising a thin metal film on its surface and methods for producing the same
KR20010001072A (ko) 1999-06-01 2001-01-05 부원영 네트웍을 이용한 온라인 축구 게임 및 그 방법
US6046108A (en) 1999-06-25 2000-04-04 Taiwan Semiconductor Manufacturing Company Method for selective growth of Cu3 Ge or Cu5 Si for passivation of damascene copper structures and device manufactured thereby
US6319635B1 (en) 1999-12-06 2001-11-20 The Regents Of The University Of California Mitigation of substrate defects in reticles using multilayer buffer layers
US6503330B1 (en) 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
US7494927B2 (en) 2000-05-15 2009-02-24 Asm International N.V. Method of growing electrical conductors
US6878628B2 (en) 2000-05-15 2005-04-12 Asm International Nv In situ reduction of copper oxide prior to silicon carbide deposition
US6679951B2 (en) 2000-05-15 2004-01-20 Asm Intenational N.V. Metal anneal with oxidation prevention
US6921712B2 (en) 2000-05-15 2005-07-26 Asm International Nv Process for producing integrated circuits including reduction using gaseous organic compounds
US6759325B2 (en) 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US7030551B2 (en) 2000-08-10 2006-04-18 Semiconductor Energy Laboratory Co., Ltd. Area sensor and display apparatus provided with an area sensor
US7294563B2 (en) 2000-08-10 2007-11-13 Applied Materials, Inc. Semiconductor on insulator vertical transistor fabrication and doping process
JP4095763B2 (ja) 2000-09-06 2008-06-04 株式会社ルネサステクノロジ 半導体装置及びその製造方法
WO2002045167A2 (en) 2000-11-30 2002-06-06 Asm International N.V. Thin films for magnetic devices
US6949450B2 (en) 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US7192827B2 (en) 2001-01-05 2007-03-20 Micron Technology, Inc. Methods of forming capacitor structures
US6613656B2 (en) 2001-02-13 2003-09-02 Micron Technology, Inc. Sequential pulse deposition
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
JP4921652B2 (ja) 2001-08-03 2012-04-25 エイエスエム インターナショナル エヌ.ヴェー. イットリウム酸化物およびランタン酸化物薄膜を堆積する方法
JP2003109941A (ja) 2001-09-28 2003-04-11 Canon Inc プラズマ処理装置および表面処理方法
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
US20030192090P1 (en) 2002-04-03 2003-10-09 Meilland Alain A. Hybrid tea rose plant named 'Meibderos'
US6812153B2 (en) * 2002-04-30 2004-11-02 Applied Materials Inc. Method for high aspect ratio HDP CVD gapfill
US6586330B1 (en) 2002-05-07 2003-07-01 Tokyo Electron Limited Method for depositing conformal nitrified tantalum silicide films by thermal CVD
KR102220703B1 (ko) 2002-11-15 2021-02-26 프레지던트 앤드 펠로우즈 오브 하바드 칼리지 금속 아미디네이트를 이용한 원자층 증착법
KR20040056026A (ko) 2002-12-23 2004-06-30 주식회사 하이닉스반도체 구리 배선의 캐핑층 형성 방법
US7115528B2 (en) 2003-04-29 2006-10-03 Micron Technology, Inc. Systems and method for forming silicon oxide layers
US6844258B1 (en) 2003-05-09 2005-01-18 Novellus Systems, Inc. Selective refractory metal and nitride capping
US7914847B2 (en) 2003-05-09 2011-03-29 Asm America, Inc. Reactor surface passivation through chemical deactivation
KR101090895B1 (ko) 2003-05-09 2011-12-08 에이에스엠 아메리카, 인코포레이티드 화학적 비활성화를 통한 반응기 표면의 패시베이션
US6811448B1 (en) 2003-07-15 2004-11-02 Advanced Micro Devices, Inc. Pre-cleaning for silicidation in an SMOS process
US7067407B2 (en) 2003-08-04 2006-06-27 Asm International, N.V. Method of growing electrical conductors
US7323411B1 (en) 2003-09-26 2008-01-29 Cypress Semiconductor Corporation Method of selective tungsten deposition on a silicon surface
US7375033B2 (en) 2003-11-14 2008-05-20 Micron Technology, Inc. Multi-layer interconnect with isolation layer
US7405143B2 (en) 2004-03-25 2008-07-29 Asm International N.V. Method for fabricating a seed layer
US7309395B2 (en) 2004-03-31 2007-12-18 Dielectric Systems, Inc. System for forming composite polymer dielectric film
TW200539321A (en) * 2004-05-28 2005-12-01 Applied Materials Inc Method for improving high density plasmachemical vapor deposition process
US20060019493A1 (en) 2004-07-15 2006-01-26 Li Wei M Methods of metallization for microelectronic devices utilizing metal oxide
TW200619222A (en) 2004-09-02 2006-06-16 Rohm & Haas Elect Mat Method for making organometallic compounds
US8882914B2 (en) 2004-09-17 2014-11-11 Intermolecular, Inc. Processing substrates using site-isolated processing
US7476618B2 (en) 2004-10-26 2009-01-13 Asm Japan K.K. Selective formation of metal layers in an integrated circuit
US20060156979A1 (en) 2004-11-22 2006-07-20 Applied Materials, Inc. Substrate processing apparatus using a batch processing chamber
US20060199399A1 (en) 2005-02-22 2006-09-07 Muscat Anthony J Surface manipulation and selective deposition processes using adsorbed halogen atoms
US7488967B2 (en) 2005-04-06 2009-02-10 International Business Machines Corporation Structure for confining the switching current in phase memory (PCM) cells
US7425350B2 (en) 2005-04-29 2008-09-16 Asm Japan K.K. Apparatus, precursors and deposition methods for silicon-containing materials
US7084060B1 (en) 2005-05-04 2006-08-01 International Business Machines Corporation Forming capping layer over metal wire structure using selective atomic layer deposition
US7402519B2 (en) 2005-06-03 2008-07-22 Intel Corporation Interconnects having sealing structures to enable selective metal capping layers
KR100695876B1 (ko) 2005-06-24 2007-03-19 삼성전자주식회사 오버레이 키 및 그 형성 방법, 오버레이 키를 이용하여형성된 반도체 장치 및 그 제조 방법.
US20070099422A1 (en) 2005-10-28 2007-05-03 Kapila Wijekoon Process for electroless copper deposition
GB2432363B (en) 2005-11-16 2010-06-23 Epichem Ltd Hafnocene and zirconocene precursors, and use thereof in atomic layer deposition
US7595271B2 (en) 2005-12-01 2009-09-29 Asm America, Inc. Polymer coating for vapor deposition tool
TW200746268A (en) 2006-04-11 2007-12-16 Applied Materials Inc Process for forming cobalt-containing materials
JP5032145B2 (ja) 2006-04-14 2012-09-26 株式会社東芝 半導体装置
EP2029790A1 (en) 2006-06-02 2009-03-04 L'AIR LIQUIDE, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method of forming high-k dielectric films based on novel titanium, zirconium, and hafnium precursors and their use for semiconductor manufacturing
US9245739B2 (en) 2006-11-01 2016-01-26 Lam Research Corporation Low-K oxide deposition by hydrolysis and condensation
DE102007004867B4 (de) 2007-01-31 2009-07-30 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Erhöhen der Zuverlässigkeit von kupferbasierten Metallisierungsstrukturen in einem Mikrostrukturbauelement durch Anwenden von Aluminiumnitrid
US20080241575A1 (en) 2007-03-28 2008-10-02 Lavoie Adrein R Selective aluminum doping of copper interconnects and structures formed thereby
KR20100072021A (ko) 2007-09-14 2010-06-29 시그마-알드리치컴퍼니 하프늄과 지르코늄계 전구체를 이용한 원자층 증착에 의한 박막의 제조 방법
JP2009076590A (ja) 2007-09-19 2009-04-09 Hitachi Kokusai Electric Inc クリーニング方法
WO2009102363A2 (en) 2007-11-15 2009-08-20 Stc.Unm Ultra-thin microporous/hybrid materials
JP5198106B2 (ja) * 2008-03-25 2013-05-15 東京エレクトロン株式会社 成膜装置、及び成膜方法
US20090269507A1 (en) 2008-04-29 2009-10-29 Sang-Ho Yu Selective cobalt deposition on copper surfaces
US7993950B2 (en) 2008-04-30 2011-08-09 Cavendish Kinetics, Ltd. System and method of encapsulation
US8114301B2 (en) 2008-05-02 2012-02-14 Micron Technology, Inc. Graphoepitaxial self-assembly of arrays of downward facing half-cylinders
WO2010009295A2 (en) 2008-07-16 2010-01-21 Applied Materials, Inc. Hybrid heterojunction solar cell fabrication using a metal layer mask
US8425739B1 (en) 2008-09-30 2013-04-23 Stion Corporation In chamber sodium doping process and system for large scale cigs based thin film photovoltaic materials
EP2361445A4 (en) 2008-12-01 2012-07-04 Du Pont ANODE FOR AN ORGANIC ELECTRONIC DEVICE
US20100147396A1 (en) 2008-12-15 2010-06-17 Asm Japan K.K. Multiple-Substrate Transfer Apparatus and Multiple-Substrate Processing Apparatus
US9379011B2 (en) 2008-12-19 2016-06-28 Asm International N.V. Methods for depositing nickel films and for making nickel silicide and nickel germanide
US7927942B2 (en) 2008-12-19 2011-04-19 Asm International N.V. Selective silicide process
US8242019B2 (en) 2009-03-31 2012-08-14 Tokyo Electron Limited Selective deposition of metal-containing cap layers for semiconductor devices
US8071452B2 (en) 2009-04-27 2011-12-06 Asm America, Inc. Atomic layer deposition of hafnium lanthanum oxides
JP5359642B2 (ja) 2009-07-22 2013-12-04 東京エレクトロン株式会社 成膜方法
MX2012001115A (es) 2009-07-31 2012-03-21 Akzo Nobel Chemicals Int Bv Proceso para la preparacion de un sustrato recubierto, el sustrato recubierto, y uso del mismo.
KR101129090B1 (ko) 2009-09-01 2012-04-13 성균관대학교산학협력단 패턴화된 세포 배양용 기판의 제조방법, 패턴화된 세포 배양용 기판, 세포의 패턴화된 배양 방법, 및 패턴화된 세포칩
JP2011222779A (ja) 2010-04-09 2011-11-04 Dainippon Printing Co Ltd 薄膜素子用基板の製造方法、薄膜素子の製造方法および薄膜トランジスタの製造方法
US8481355B2 (en) 2009-12-15 2013-07-09 Primestar Solar, Inc. Modular system and process for continuous deposition of a thin film layer on a substrate
US8293658B2 (en) 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
JP5222864B2 (ja) 2010-02-17 2013-06-26 株式会社ジャパンディスプレイイースト 液晶表示装置の製造方法
JP5373669B2 (ja) 2010-03-05 2013-12-18 東京エレクトロン株式会社 半導体装置の製造方法
TWI529808B (zh) 2010-06-10 2016-04-11 Asm國際股份有限公司 使膜選擇性沈積於基板上的方法
US20110311726A1 (en) 2010-06-18 2011-12-22 Cambridge Nanotech Inc. Method and apparatus for precursor delivery
KR101386944B1 (ko) 2010-07-01 2014-04-18 도쿄엘렉트론가부시키가이샤 반도체 장치의 제조 방법
US8357608B2 (en) 2010-08-09 2013-01-22 International Business Machines Corporation Multi component dielectric layer
US9487600B2 (en) 2010-08-17 2016-11-08 Uchicago Argonne, Llc Ordered nanoscale domains by infiltration of block copolymers
TW201224190A (en) 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
JP5562434B2 (ja) 2010-11-19 2014-07-30 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US20120219824A1 (en) 2011-02-28 2012-08-30 Uchicago Argonne Llc Atomic layer deposition of super-conducting niobium silicide
US8980418B2 (en) 2011-03-24 2015-03-17 Uchicago Argonne, Llc Sequential infiltration synthesis for advanced lithography
JP2012209393A (ja) * 2011-03-29 2012-10-25 Tokyo Electron Ltd クリーニング方法及び成膜方法
US8871617B2 (en) 2011-04-22 2014-10-28 Asm Ip Holding B.V. Deposition and reduction of mixed metal oxide thin films
DE102011051260A1 (de) * 2011-06-22 2012-12-27 Aixtron Se Verfahren und Vorrichtung zum Abscheiden von OLEDs
KR20130007059A (ko) 2011-06-28 2013-01-18 삼성전자주식회사 반도체 장치의 제조 방법
EP2557132B1 (en) 2011-08-10 2018-03-14 3M Innovative Properties Company Multilayer adhesive film, in particular for bonding optical sensors
JP6202798B2 (ja) 2011-10-12 2017-09-27 エーエスエム インターナショナル エヌ.ヴェー.Asm International N.V. 酸化アンチモン膜の原子層堆積
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US9238865B2 (en) 2012-02-06 2016-01-19 Asm Ip Holding B.V. Multiple vapor sources for vapor deposition
JP6020239B2 (ja) * 2012-04-27 2016-11-02 東京エレクトロン株式会社 成膜方法及び成膜装置
JP5966618B2 (ja) * 2012-05-28 2016-08-10 東京エレクトロン株式会社 成膜方法
JP5862459B2 (ja) 2012-05-28 2016-02-16 東京エレクトロン株式会社 成膜方法
US11037923B2 (en) 2012-06-29 2021-06-15 Intel Corporation Through gate fin isolation
JP6040609B2 (ja) 2012-07-20 2016-12-07 東京エレクトロン株式会社 成膜装置及び成膜方法
US8890264B2 (en) 2012-09-26 2014-11-18 Intel Corporation Non-planar III-V field effect transistors with conformal metal gate electrode and nitrogen doping of gate dielectric interface
US9099490B2 (en) 2012-09-28 2015-08-04 Intel Corporation Self-aligned structures and methods for asymmetric GaN transistors and enhancement mode operation
JP2014093331A (ja) 2012-10-31 2014-05-19 Tokyo Electron Ltd 重合膜の成膜方法、成膜装置の環境維持方法、成膜装置、並びに電子製品の製造方法
US9330899B2 (en) 2012-11-01 2016-05-03 Asm Ip Holding B.V. Method of depositing thin film
US8963135B2 (en) 2012-11-30 2015-02-24 Intel Corporation Integrated circuits and systems and methods for producing the same
US8993404B2 (en) 2013-01-23 2015-03-31 Intel Corporation Metal-insulator-metal capacitor formation techniques
US9566609B2 (en) 2013-01-24 2017-02-14 Corning Incorporated Surface nanoreplication using polymer nanomasks
JP5949586B2 (ja) * 2013-01-31 2016-07-06 東京エレクトロン株式会社 原料ガス供給装置、成膜装置、原料の供給方法及び記憶媒体
US20140227461A1 (en) 2013-02-14 2014-08-14 Dillard University Multiple Beam Pulsed Laser Deposition Of Composite Films
US10573511B2 (en) 2013-03-13 2020-02-25 Asm Ip Holding B.V. Methods for forming silicon nitride thin films
US9147574B2 (en) 2013-03-14 2015-09-29 Tokyo Electron Limited Topography minimization of neutral layer overcoats in directed self-assembly applications
US9209014B2 (en) 2013-03-15 2015-12-08 Tokyo Electron Limited Multi-step bake apparatus and method for directed self-assembly lithography control
US20140273290A1 (en) 2013-03-15 2014-09-18 Tokyo Electron Limited Solvent anneal processing for directed-self assembly applications
US9159558B2 (en) 2013-03-15 2015-10-13 International Business Machines Corporation Methods of reducing defects in directed self-assembled structures
JP2014188656A (ja) 2013-03-28 2014-10-06 Tokyo Electron Ltd 中空構造体の製造方法
JP5605464B2 (ja) 2013-06-25 2014-10-15 東京エレクトロン株式会社 成膜装置及びそのクリーニング方法
RU2643931C2 (ru) 2013-06-28 2018-02-06 Интел Корпорейшн Устройства, основанные на избирательно эпитаксиально выращенных материалах iii-v групп
US9362163B2 (en) 2013-07-30 2016-06-07 Lam Research Corporation Methods and apparatuses for atomic layer cleaning of contacts and vias
JP6111171B2 (ja) 2013-09-02 2017-04-05 東京エレクトロン株式会社 成膜方法及び成膜装置
US9385033B2 (en) 2013-09-27 2016-07-05 Intel Corporation Method of forming a metal from a cobalt metal precursor
EP3050084A4 (en) 2013-09-27 2017-05-24 Intel Corporation Forming layers of materials over small regions by selective chemical reaction including limiting encroachment of the layers over adjacent regions
US9067958B2 (en) 2013-10-14 2015-06-30 Intel Corporation Scalable and high yield synthesis of transition metal bis-diazabutadienes
US20150118863A1 (en) 2013-10-25 2015-04-30 Lam Research Corporation Methods and apparatus for forming flowable dielectric films having low porosity
JP6135475B2 (ja) 2013-11-20 2017-05-31 東京エレクトロン株式会社 ガス供給装置、成膜装置、ガス供給方法及び記憶媒体
TW201525173A (zh) 2013-12-09 2015-07-01 Applied Materials Inc 選擇性層沉積之方法
US9236292B2 (en) 2013-12-18 2016-01-12 Intel Corporation Selective area deposition of metal films by atomic layer deposition (ALD) and chemical vapor deposition (CVD)
CN106415800B (zh) 2013-12-19 2020-04-14 英特尔公司 自对准栅极边缘和局部互连件及其制造方法
US9455150B2 (en) 2013-12-24 2016-09-27 Intel Corporation Conformal thin film deposition of electropositive metal alloy films
US9895715B2 (en) 2014-02-04 2018-02-20 Asm Ip Holding B.V. Selective deposition of metals, metal oxides, and dielectrics
TWI624515B (zh) 2014-02-10 2018-05-21 國立清華大學 無機-有機複合氧化物聚合體及其製備方法
JP6254459B2 (ja) 2014-02-27 2017-12-27 東京エレクトロン株式会社 重合膜の耐薬品性改善方法、重合膜の成膜方法、成膜装置、および電子製品の製造方法
EP2918701A1 (en) * 2014-03-14 2015-09-16 Nederlandse Organisatie voor toegepast- natuurwetenschappelijk onderzoek TNO Method of manufacturing a stacked organic light emitting diode, stacked OLED device, and apparatus for manufacturing thereof
WO2015147843A1 (en) 2014-03-27 2015-10-01 Intel Corporation Precursor and process design for photo-assisted metal atomic layer deposition (ald) and chemical vapor deposition (cvd)
KR102472396B1 (ko) 2014-03-28 2022-12-01 인텔 코포레이션 선택적 에피택셜 성장된 iii-v족 재료 기반 디바이스
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US11021630B2 (en) 2014-12-30 2021-06-01 Rohm And Haas Electronic Materials Llc Copolymer formulation for directed self assembly, methods of manufacture thereof and articles comprising the same
KR102185458B1 (ko) 2015-02-03 2020-12-03 에이에스엠 아이피 홀딩 비.브이. 선택적 퇴적
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US9646883B2 (en) 2015-06-12 2017-05-09 International Business Machines Corporation Chemoepitaxy etch trim using a self aligned hard mask for metal line to via
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10204782B2 (en) 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
CN109314045B (zh) 2016-04-18 2023-08-04 Asm Ip 控股有限公司 于基底上形成定向自组装层的方法
US20170298503A1 (en) 2016-04-18 2017-10-19 Asm Ip Holding B.V. Combined anneal and selective deposition systems
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US11094535B2 (en) 2017-02-14 2021-08-17 Asm Ip Holding B.V. Selective passivation and selective deposition
US9911595B1 (en) 2017-03-17 2018-03-06 Lam Research Corporation Selective growth of silicon nitride

Also Published As

Publication number Publication date
TWI707978B (zh) 2020-10-21
JP7007795B2 (ja) 2022-01-25
KR102664066B1 (ko) 2024-05-08
KR102546221B1 (ko) 2023-06-21
KR20230095896A (ko) 2023-06-29
TW202041704A (zh) 2020-11-16
TWI827860B (zh) 2024-01-01
US20170100743A1 (en) 2017-04-13
JP7299289B2 (ja) 2023-06-27
JP7383192B2 (ja) 2023-11-17
TW202146695A (zh) 2021-12-16
TW201732069A (zh) 2017-09-16
JP2024001340A (ja) 2024-01-09
JP2017076784A (ja) 2017-04-20
JP2022009666A (ja) 2022-01-14
US10343186B2 (en) 2019-07-09
KR20170044026A (ko) 2017-04-24

Similar Documents

Publication Publication Date Title
JP7299289B2 (ja) 有機膜の気相堆積
US11389824B2 (en) Vapor phase deposition of organic films
US11654454B2 (en) Vapor phase deposition of organic films
TWI644359B (zh) 用於低溫原子層沉積膜之腔室底塗層準備方法
TW202123355A (zh) 基材處理設備及基材處理方法
JP5294694B2 (ja) シリコン及びチタン窒化物のインサイチュ蒸着
US7202185B1 (en) Silica thin films produced by rapid surface catalyzed vapor deposition (RVD) using a nucleation layer
US20060110533A1 (en) Methods and apparatus for forming a titanium nitride layer
US20060110534A1 (en) Methods and apparatus for forming a titanium nitride layer
JP2004165668A (ja) ハフニウム化合物を利用した薄膜蒸着方法
KR20240068605A (ko) 유기막들의 기상 퇴적
KR20090017073A (ko) 원자층 박막 증착법을 이용한 실리콘다이옥사이드 박막제조방법
CN114262878A (zh) 氧化硅沉积方法
KR20020096860A (ko) 반도체 장치의 제조 방법, 기판 처리 방법 및 기판 처리장치
JP2012193438A (ja) 成膜方法
KR101334221B1 (ko) 다층금속박막 제조 방법 및 장치
TWI773910B (zh) 具有氣體分佈及個別泵送的批次固化腔室
TW202301413A (zh) 處理基材之設備

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230712

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20230712

A871 Explanation of circumstances concerning accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A871

Effective date: 20230712

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230905

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20231006

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20231024

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20231107

R150 Certificate of patent or registration of utility model

Ref document number: 7383192

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150