TW202009975A - 藉由氮化鈦與鋁膜的整合沉積用於摻雜工程與臨界電壓調整之方法與設備 - Google Patents

藉由氮化鈦與鋁膜的整合沉積用於摻雜工程與臨界電壓調整之方法與設備 Download PDF

Info

Publication number
TW202009975A
TW202009975A TW107128970A TW107128970A TW202009975A TW 202009975 A TW202009975 A TW 202009975A TW 107128970 A TW107128970 A TW 107128970A TW 107128970 A TW107128970 A TW 107128970A TW 202009975 A TW202009975 A TW 202009975A
Authority
TW
Taiwan
Prior art keywords
layer
aluminum
angstroms
titanium nitride
dielectric layer
Prior art date
Application number
TW107128970A
Other languages
English (en)
Other versions
TWI794274B (zh
Inventor
楊逸雄
伯方 馬
唐薇
張聞宇
陳世忠
林政漢
林齊洲
徐翼
雷雨
吉田尚美
董琳
希達司 克里許南
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202009975A publication Critical patent/TW202009975A/zh
Application granted granted Critical
Publication of TWI794274B publication Critical patent/TWI794274B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28176Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76864Thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Ceramic Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Composite Materials (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Semiconductor Memories (AREA)

Abstract

用於形成半導體結構的設備與方法,包括:於高k介電層頂上沉積摻雜堆疊,該摻雜堆疊具有第一表面,其中該摻雜堆疊包括至少一個第一金屬層、至少一個第二金屬層、及至少一個第三金屬層,該第一金屬層具有第一表面,該第二金屬層包括第一鋁摻雜劑以及第一表面,該第三金屬層位在該第二金屬層的該第一表面頂上,其中該第二金屬層位在該第一金屬層的該第一表面頂上;在該摻雜堆疊的該第一表面頂上沉積退火層;將該結構退火,而使至少該第一鋁摻雜劑擴散進入該高k介電層;移除該退火層;以及在該摻雜堆疊的該第一表面頂上沉積至少一個功函數層。

Description

藉由氮化鈦與鋁膜的整合沉積用於摻雜工程與臨界電壓調整之方法與設備
本案揭露內容之實施例大致上關於半導體製造製程的領域。
金屬閘極/高k堆疊愈來愈常用在10nm科技節點及超越此節點的金屬氧化物半導體場效電晶體(MOSFET)中,然而,發明人已觀察到,此技術中仍有許多挑戰。特別是,往更尖端的節點(n<10nm)的電晶體科技的發展要求更小/更薄的材料,這些材料可能導致閘極漏電。再者,發明人已觀察到,對於有p型功函數(應接近矽的能帶隙(約5.0eV))的電晶體而言,調整閾值電壓(Vt)是充滿問題的。
因此,發明人已提供改良的用於處理高k材料以調控該材料之閾值電壓(Vt)的方法與設備。
在此提供用於製作半導體結構的設備與方法。一些實施例中,一種方法包括:形成半導體結構,包括:於高k介電層頂上沉積摻雜堆疊,該摻雜堆疊具有第一表面,其中該摻雜堆疊包括至少一個第一金屬層、至少一個第二金屬層、及至少一個第三金屬層,該第一金屬層具有第一表面,該第二金屬層包括第一鋁摻雜劑以及第一表面,該第三金屬層位在該第二金屬層的該第一表面頂上,其中該第二金屬層位在該第一金屬層的該第一表面頂上;在該摻雜堆疊的該第一表面頂上沉積退火層;將該結構退火,而使至少該第一鋁摻雜劑擴散進入該高k介電層;移除該退火層;以及在該摻雜堆疊的該第一表面頂上沉積至少一個功函數層。
一些實施例中,一種方法包括:處理高k介電層,包括:在高k介電層頂上沉積第一氮化鈦層;在該第一氮化鈦層頂上沉積第一鋁層;在該第一鋁層頂上沉積第二氮化鈦層;以及在900o C或高於900o C之溫度退火該高k介電層、第一氮化鈦層、第一鋁層、及第二氮化鈦層。
一些實施例中,一種方法包括:處理配置在基板上的高k介電層,包括:於高k介電層頂上依序沉積第一氮化鈦層、第一鋁層、及第二氮化鈦層;以及將該介電層加熱至約900o C至約1200o C的峰溫度,其中來自該第一鋁層的鋁擴散進入該高k介電層及/或覆蓋該高k介電層。
一些實施例中,一種設備包括:PMOS閘極電極,包括:鋁摻雜高k介電層,具有第一表面,該鋁摻雜高k介電層配置在半導體層頂上,該半導體層包括PMOS區域;以及至少一個功函數層,配置在該鋁摻雜高k介電層的該第一表面頂上,其中該鋁摻雜高k介電層包括第一偶極界面,而足以調整該PMOS閘極電極的閾值電壓且提供約5.0eV的有效功函數。
下文描述本案揭露內容的其他與進一步之實施例。
本案揭露內容之實施例提供用於處理半導體結構之高k介電層的多種方法,該等方法有利地提供第一偶極界面,而足以調整該PMOS閘極電極的閾值電壓且提供約5.0eV的有效功函數。
圖1是根據本案揭露內容之一些實施例的用於在高k介電層頂上沉積摻雜堆疊的方法100的流程圖。於下文中針對如圖2A至圖2E中所描繪的處理基板之階段描述方法100,且可例如在適合的反應器(諸如下文中針對圖6所描述)中執行該方法100。可用於執行本文揭露之方法的示範性處理系統可包括(但不限於)ENDURA®、CENTURA®、或PRODUCER®之品牌處理系統之任何一者,該等處理系統可購自美國加州Santa Clara的應用材料公司。其他的處理腔室(包括購自其他販售商的處理腔室)也可合適地與本文提供之教示一併使用。
一般是在高k介電層200(例如,配置在基板上)上執行方法100,該高k介電層200設置於處理腔室的處理空間,例如下文中針對圖6所述的基板處理腔室614。一些實施例中,如圖1之102及如圖2A所示,高k介電層200包括摻雜堆疊210,該摻雜堆疊210具有第一表面212,該摻雜堆疊210位在高k介電層200之頂部上且接觸該高k介電層200。多個實施例中,該摻雜堆疊210包括至少一個第一金屬層214。第一金屬層214具有第一表面216。摻雜堆疊210也包括至少一個第二金屬層218,該第二金屬層218具有第一鋁摻雜劑219以及第一表面220。多個實施例中,該第二金屬層218位在該第一金屬層214之第一表面216上且接觸該第一表面216。摻雜堆疊210也可包括至少一個第三金屬層224,該第三金屬層224在該第二金屬層218的第一表面220的頂部且接觸該第一表面220。
高k介電層200可為具有高介電常數(相較於二氧化矽(3.9))的任何適合的層。高k介電材料的非限制性範例包括介電常數大於7的材料。該高k介電層可包括金屬氧化物。根據本案揭露內容使用的適合金屬氧化物的非限制性範例包括含有諸如鉿(Hf)之金屬的氧化物。舉例而言,該金屬氧化物可包括鉿氧化物或HfO2 。適合根據本案揭露內容製作層的高k介電材料的非限制範例包括鉿(IV)氧化物(HfO2 )、二氧化鈦(TiO2 )、五氧化鉭(Ta2 O5 )、鈰(IV)氧化物(CeO2 )、鋯鈦酸鋇(BZT)(諸如BaZrTiO3 )、或鋁氧化物(Al2 O3 )及上述材料之組合。一些實施例中,該高k介電層包括鉿鋁氧化物(HfAlO)、氮化鋁酸鉿(HfAlON)、氮化氧化鋁鉿矽(HfSiAlON)、氮氧化鉿鑭(HfLaON)、及前述材料之組合。多個實施例中,該高k介電層200具有遍及半導體基板1至5nm的厚度。多個實施例中,該高k介電層200是由物理氣相沉積、化學氣相沉積、及原子層沉積之其中一者形成。多個實施例中,該高k介電層200是由原子層沉積所沉積的約2.5nm厚的HfO2 層。多個實施例中,可藉由此技術中已知的任何適合的原子層沉積方法將鉿(IV)氧化物(HfO2 )沉積於基板上。
多個實施例中,具有第一表面212的摻雜堆疊210可包括足以摻雜如本文所述之高k介電層200的多層。例如,具有第一表面216的至少一個第一金屬層214可配置在高k介電層200的頂部上且接觸該高k介電層200。多個實施例中,第一金屬層214可由任何適合金屬製作。用於第一金屬層214的適合金屬材料的非限制性範例包括含金屬材料,諸如金屬氮化物,例如耐火金屬氮化物(例如氮化鈦或TiN)。第一金屬層214可沉積在高k介電層200之頂部上達預定厚度且接觸該高k介電層200。多個實施例中,第一金屬層214具有約2至10埃(Å)的厚度,或約5埃。多個實施例中,第一金屬層214是透過物理氣相沉積、化學氣相沉積、及原子層沉積之其中一者形成。多個實施例中,該第一金屬層214是具有約5埃之厚度的TiN層,配置在該高k介電層之頂部上且接觸該高k介電層,且由原子層沉積或化學氣相沉積所沉積。
仍參考圖2A至圖2E,摻雜堆疊210也可包括至少一個第二金屬層218,該第二金屬層218具有第一鋁摻雜劑219及第一表面220。多個實施例中,該第二金屬層218配置在第一金屬層214的第一表面216的頂部上上且接觸該第一表面216。多個實施例中,第二金屬層218可由任何適合的鋁組成物製作,該鋁組成物包括純的無摻混的鋁(Al)。適合的鋁的非限制性範例包括鋁化鈦(TiAl)、碳化鈦鋁(TiAlC)、氮化鈦鋁(TiAlN)、氮化鋁(AlN)、碳化鋁(AlC)、氧化鋁(Al2 O3 )、碳化鋁鉿(HfAlC)、或上述材料之組合。
多個實施例中,第二金屬層218沉積在第一金屬層214之頂部上達預定厚度,且接觸該第一金屬層214。多個實施例中,該第二金屬層218的厚度為2至10埃,或約5埃。該第二金屬層218可由物理氣相沉積、化學氣相沉積、及原子層沉積之其中一者形成。多個實施例中,該第二金屬層218是TiAl、TiAlC、TiAlN、AlN、AlC、Al2 O3 、或HfAlC,具有約5埃的厚度,配置在第一金屬層214之頂部上,且由化學氣相沉積或原子層沉積所沉積。多個實施例中,該第二金屬層218是TiAl、TiAlC、TiAlN、AlN、AlC、Al2 O3 、或HfAlC,所施加的量足以在第一金屬層214之頂部上沉積膜。
一些實施例中,摻雜堆疊210包括至少一個第三金屬層224,該第三金屬層224配置在該第二金屬層218之第一表面220的頂部上且與該第一表面220接觸。多個實施例中,第三金屬層224可由任何適合的金屬製作。用於第三金屬層224的適合的金屬材料的非限制性範例包括諸如金屬氮化物之類的含金屬材料,例如耐火金屬氮化物(例如,氮化鈦或TiN)。第三金屬層224沉積在第二金屬層218頂上達預定厚度。多個實施例中,該第三金屬層224具有約2至15埃,或約10埃之厚度。該第三金屬層224可透過物理氣相沉積、化學氣相沉積、及原子層沉積之其中一者形成。多個實施例中,該第三金屬層224是TiN,具有約10埃之厚度,配置在第二金屬層218的頂部上,且由原子層沉積或化學氣相沉積所沉積。該第三金屬層224可形成為比第一金屬層214更厚。
參考圖1的104及圖2B,本案揭露內容的方法包括,在摻雜堆疊210的第一表面212頂上(例如,第三金屬層224頂上,見圖2A)沉積退火層226。退火層226可為用於遮蔽摻雜堆疊210隔絕直接施加至該堆疊的熱的任何適合的材料。多個實施例中,退火層226是塗佈摻雜堆疊210的惰性材料。用於退火層226的適合退火層材料的非限制性範例包括矽或多晶矽。退火層226沉積在摻雜堆疊210頂上達預定厚度。多個實施例中,該退火層226具有約5至15nm,或約10nm之厚度。多個實施例中,退火層226是厚度為約5至100nm、或約25nm的多晶矽。
現在參考圖1的106及圖2C,本案揭露內容之方法包括退火,諸如退火包括該高k介電層200、摻雜堆疊210、及退火層226之結構,以使至少第一鋁摻雜劑219擴散進入該高k介電層200中及/或至該高k介電層200上。圖2C中所示的結構內的箭號代表第一鋁摻雜劑219進入高k介電層200的擴散或移動。多個實施例中,該摻雜劑會以箭號221的方向行進,取決於退火強度。一些實施例中,該摻雜劑會諸如沿著箭號221行進一距離,且堆積在高k介電層200之頂部表面處,且在多個實施例中,以一量提供該第一鋁摻雜劑219,該量足以覆蓋該高k介電層。例如,第一鋁摻雜劑219的覆蓋物可覆蓋高k介電層200的整個頂部表面。於熱製程中施加以箭號230或圖2C結構外的箭號顯示的熱,施加的量要足以使第一鋁摻雜劑219從第二金屬層218擴散進入高k介電層200中及/或至該高k介電層200上。多個實施例中,以一量及持續時間施加熱,該量及持續時間足以使第一鋁摻雜劑219從第二金屬層218擴散進入高k介電層200中及/或至該高k介電層200上,這取決於製程條件。多個實施例中,高k介電層200既受第一鋁摻雜劑219摻雜(例如,鋁擴散進入高k介電層200)也被該第一鋁摻雜劑219所覆蓋(例如,鋁配置於高k介電層200上)。多個實施例中,退火包括熱方面的加熱製程,其中將該結構加熱(如箭號230所示)到至少700°C(諸如700°C至1500°C)的溫度。一些實施例中,以箭號230顯示的熱施加至該結構達1至60秒、或3至10秒、或5秒、或4秒、或3秒、或2秒、或1秒。
現在參考圖1的108,及圖2D,本案揭露內容的方法的實施例包括移除退火層226。透過此技術中已知的任何適合方法從摻雜堆疊210移除退火層226,該方法諸如溼式邊緣移除製程或乾式邊緣移除製程。多個實施例中,在退火已將第一鋁摻雜劑219從摻雜堆疊210擴散或移動進入高k介電層200中及/或至該高k介電層200上之後,移除退火層226。
現在參考圖1的110及圖2E,本案揭露內容的方法可視情況任選地包括將至少一個功函數層228沉積在該摻雜堆疊210之第一表面212的頂部上且與該第一表面212接觸。功函數層228可由任何適合用於PMOS電極的功函數材料製作,諸如p型功函數材料。適合的功函數材料的非限制性範例包括含金屬材料,諸如金屬氮化物,或是耐火金屬氮化物,諸如氮化鈦(TiN)或類似物。多個實施例中,功函數層228可在摻雜堆疊210頂上沉積達預定厚度。多個實施例中,該功函數層228具有約15至40埃、或約30埃的厚度。多個實施例中,該功函數層228是由物理氣相沉積、化學氣相沉積、或原子層沉積形成。多個實施例中,該功函數層228是TiN,在摻雜堆疊210頂上具有約30埃的厚度,且是透過原子層沉積或化學氣相沉積所沉積。多個實施例中,(未顯示於圖1或圖2),可將額外的多個功函數層沉積於該功函數層228頂上。例如,鎢可沉積達足以如有效功函數層執行的厚度。多個實施例中,鎢具有約10至40nm、諸如30nm的厚度,且是透過適合的沉積製程(諸如化學氣相沉積)所沉積。鎢可在此技術中已知的適合條件下沉積,諸如描述於美國專利第7,964,505號中所描述的那些條件,該美國專利名稱為「鎢材料的原子層沉積(Atomic Layer Deposition of Tungsten Materials)」,於2011年6月21日頒佈,且讓渡給應用材料公司。
圖3是根據本案揭露內容之一些實施例的方法300之流程圖,該方法300用於在高k介電層頂上沉積摻雜堆疊。在下文中針對圖4A至圖4F中所描繪的處理基板之階段而描述該方法300,且可例如以適合的反應器執行該方法300,該反應器諸如下文中針對圖6所描述。可用於執行本文揭露之方法的示範性處理系統可包括(但不限於)ENDURA®、CENTURA®、或PRODUCER®之品牌處理系統之任何一者,該等處理系統可購自美國加州Santa Clara的應用材料公司。其他的處理腔室(包括購自其他販售商的處理腔室)也可合適地與本文提供之教示一併使用。
一般是在高k介電層400上執行方法300,該高k介電層400設置於處理腔室的處理空間,該處理腔室例如為下文中針對圖6所描述的基板處理腔室614。多個實施例中,該高k介電層400可為諸如與上文所述的高k介電層200相同的材料。一些實施例中,如圖3中於方法300所示及圖4A所示,高k介電層400是透過在上面沉積層而處理。參考方法300的302及圖4A,方法300包括,在高k介電層400的頂部上沉積第一氮化鈦層414且該第一氮化鈦層414與該高k介電層400接觸。一些實施例中,該第一氮化鈦層414是透過物理氣相沉積、化學氣相沉積、或原子層沉積形成。多個實施例中,該第一氮化鈦層414是TiN,具有約5埃之厚度,由原子層沉積或化學氣相沉積所沉積。
參考圖3的304及圖4B,根據本案揭露內容的方法包括在第一氮化鈦層414頂上沉積第一鋁層416。該第一鋁層416可為任何適合的材料以包括有效沉積高k介電層400的鋁。適合的鋁組成物的非限制性範例包括TiAl、TiAlC、TiAlN、AlN、AlC、Al2 O3 、HfAlC、或前述材料之組合。
多個實施例中,第一鋁層416可沉積在第一氮化鈦層414之頂部上達預定厚度且接觸該第一氮化鈦層414。多個實施例中,該第一鋁層416可具有約2至10埃或約5埃的厚度。多個實施例中,該第一氮化鈦層414是由物理氣相沉積、化學氣相沉積、或原子層沉積形成。多個實施例中,該第一鋁層416是TiAl、TiAlC、TiAlN、AlN、AlC、Al2 O3 、HfAlC、或前述材料之組合,該第一鋁層416透過原子層沉積或化學氣相沉積在第一氮化鈦層414之頂部上沉積達約5埃之厚度。多個實施例中,該第一鋁層416是在第一氮化鈦層414頂上的膜。
參考圖3的306及圖4C,根據本案揭露內容的方法包括在第一鋁層416之頂部上沉積至少第二氮化鈦層418,且該第二氮化鈦層418與該第一鋁層416接觸。多個實施例中,第二氮化鈦層418是由氮化鈦或TiN製作,沉積達預定厚度。多個實施例中,該第二氮化鈦層418可具有約5至15埃或約10埃的厚度。多個實施例中,該第二氮化鈦層418是透過物理氣相沉積、化學氣相沉積、或原子層沉積所形成。多個實施例中,該第二氮化鈦層418是TiN,透過原子層沉積或化學氣相沉積在一層頂上沉積達約10埃的厚度。
參考圖3的308(以虛線顯示)及圖4D,根據本案揭露內容的方法視情況任選地包括將第一反應防止層428(以虛線顯示)施加於第二氮化鈦層418之頂部上且該第一反應防止層428接觸該第二氮化鈦層418。根據本案揭露內容,該第一反應防止層428可為用於遮蔽第一氮化鈦層414、第一鋁層416、及第二氮化鈦層418的任何適合的材料。遮蔽可保護結構隔離處理條件,諸如在下文所述的退火期間形成的熱、反應物、或是副產物。多個實施例中,第一反應防止層428是諸如矽或多晶矽的惰性材料。該第一反應防止層428可沉積達預定厚度。多個實施例中,該第一反應防止層428沉積達約5至15nm或約10nm的厚度。
參考圖3的310及圖4E,根據本案揭露內容的方法包括在900°C的溫度或在超過900°C的溫度退火該高k介電層400、第一氮化鈦層414、第一鋁層416、及第二氮化鈦層418。在高熱製程中以一量施加箭號430所示的熱,該量足以使鋁摻雜劑419從第一鋁層416擴散進入高k介電層400中。多個實施例中,以一量及持續時間施加熱,該量及持續時間足以使鋁摻雜劑419諸如沿著箭號431從第一鋁層416擴散進入高k介電層400中且至該高k介電層400上,該箭號431顯示第一鋁摻雜劑419的擴散路徑。多個實施例中,以鋁摻雜劑419摻雜及/或覆蓋該高k介電層400,諸如圖4F所示的覆蓋層480。多個實施例中,退火包括高熱的加熱製程,其中該結構加熱至700°C及更高的溫度,諸如700°C至1500°C。一些實施例中,在超過700°C的溫度退火使鋁從第一鋁層進行下述至少一者:擴散進入該高k介電層400中,或擴散至該高k介電層400上。多個實施例中,將熱施加至該結構達1至60秒、或3至10秒、或5秒、或4秒、或3秒、或2秒、或1秒。一些實施例中,退火包括將該摻雜堆疊加熱至700°C的溫度或超過700°C的溫度。一些實施例中,根據本案揭露內容的方法包括,在900°C的溫度或超過900°C的溫度退火該高k介電層400、第一氮化鈦層414、第一鋁層416、及第二氮化鈦層418,包括具有約2埃至約10埃的厚度的第一氮化鈦層。一些實施例中,該第二氮化鈦層具有約5埃至約15埃之厚度。一些實施例中,該第一氮化鈦層具有約2埃至約10埃的厚度,且該第二氮化鈦層具有約5埃至約15埃的厚度。
參考圖4F,結構內的箭號470代表退火(諸如圖3的310所示的退火)後第一鋁摻雜劑419進入高k介電層400的擴散或移動。多個實施例中,該摻雜劑會以箭號470的方向行進,取決於反應條件,諸如退火310之強度。一些實施例中,該第一鋁摻雜劑419會諸如沿著箭號470行進一距離,且堆積在該高k介電層400的頂部表面處,且在一些實施例中,以一量提供第一鋁摻雜劑419,該量足以覆蓋該高k介電層400,如由覆蓋層480所示,該覆蓋層480包括鋁摻雜劑419,以虛線顯示。例如,第一鋁摻雜劑419之覆蓋物可覆蓋高k介電層400的整體頂部表面。
一些實施例中,根據本案揭露內容的方法包括,處理配置在基板上的高k介電層(圖中未示),該處理包括:(a)於高k介電層400頂上依序沉積第一氮化鈦層414、第一鋁層416、及第二氮化鈦層418;以及(b)加熱(例如透過使用箭號430所示的熱)該高k介電層400達到約900°C至約1200°C的峰溫度,其中來自該第一鋁層416的鋁擴散進入該高k介電層中及/或覆蓋該高k介電層。多個實施例中,鋁摻雜的高k介電層440包括第一偶極界面(圖中未示),該第一偶極界面足以調整PMOS閘極電極的閾值電壓,且提供約5.0eV的有效功函數。多個實施例中,該依序沉積是不破真空的情況下執行。
參考圖5,根據本案揭露內容之設備包括:PMOS閘極電極500,該PMOS閘極電極500包括:鋁摻雜高k介電層540,具有第一表面542,該鋁摻雜高k介電層配置在包括PMOS區域546的半導體層544頂上;以及至少一個功函數層548,該功函數層548配置在該鋁摻雜高k介電層540的第一表面542頂上。多個實施例中,該鋁摻雜高k介電層540包括第一偶極界面(圖中未示),該第一偶極界面足以調整PMOS閘極電極的閾值電壓,且提供約5.0eV的有效功函數。多個實施例中,鋁摻雜劑549配置在第一表面542的頂部上(例如,覆蓋)及/或在該鋁摻雜高k介電層540內。多個實施例中,PMOS閘極電極500的閾值電壓調整至約70mV。一些實施例中,該鋁摻雜高k介電層是以鋁覆蓋,例如,由鋁摻雜劑549覆蓋。
現在參考圖6,可使用整合工具處理根據本案揭露內容的高k介電層。整合工具600的範例包括CENTURA®及ENDURA®整合工具,該等整合工具皆可購自美國加州Santa Clara的應用材料公司。然而,可使用其他群集工具實施本文所述的方法,該等群集工具具有與該工具耦接的適合的處理腔室,或是可在其他適合的處理腔室中實施本文所述的方法。例如,一些實施例中,上文討論的本發明的方法可有利地在整合工具中執行,使得在處理步驟之間有受限的破真空或無破真空。
整合工具600可包括兩個裝載閘腔室606A、606B以將基板傳送進出整合工具600。一般而言,由於整合工具600是在真空下,所以裝載閘腔室606A、606B可「泵抽降壓」引入該整合工具600中的基板。第一機器人610可在裝載閘腔室606A、606B及第一組一或多個基板處理腔室612、614、616、618(圖中顯示四個)之間移送基板,而該等基板處理腔室612、614、616、618耦接第一移送腔室650。每一基板處理腔室612、614、616、618可裝配成執行許多基板處理操作。一些實施例中該第一組一或多個基板處理腔室612、614、616、618可包括PVD、ALD、CVD、蝕刻、或脫氣腔室的任何組合。舉例而言,一些實施例中,該等處理腔室612、614、616、618包括兩個組裝成在基板上沉積阻障層的CVD腔室,以及兩個脫氣腔室。
第一機器人610也能夠將基板移送至兩個中間的移送腔室622、624及從該等移送腔室622、624移送基板。該中間的移送腔室622、624能夠用於維持超高真空條件,同時使基板得以在整合工具600內移送。第二機器人630可在中間的移送腔室622、624與第二組一或多個基板處理腔室632、634、635、636、638之間移送基板,而該等基板處理腔室632、634、635、636、638耦接第二移送腔室655。該等基板處理腔室632、634、635、636、638可裝配成執行各種基板處理操作,除了物理氣相沉積(PVD)、化學氣相沉積(CVD)、蝕刻、定向及其他基板處理之外,還包括上文所述的方法100。一些實施例中,該第二組一或多個基板處理腔室632、634、635、636、638可包括PVD、ALD、CVD、蝕刻、或脫氣腔室的任何組合。舉例而言,一些實施例中,該等基板處理腔室632、634、635、636、638包括兩個CVD腔室、一PVD腔室,以及兩個脫氣腔室。若該等基板處理腔室612、614、616、618、632、634、635、636、638之任一者並非整合工具600執行的特定處理所必須,則可從整合工具600移除該非必須的基板處理腔室。
本案揭露內容的實施例包括,用於在基板上膜沉積的設備,包括:中央真空移送腔室;化學氣相沉積(CVD)及/或原子層沉積(ALD)處理腔室,組裝成沉積氮化鈦且耦接該中央真空移送腔室;化學氣相沉積(CVD)處理腔室,組裝成沉積諸如TiN及鋁的金屬材料且耦接該中央真空移送腔室;以及物理氣相沉積(PVD)腔室,組裝成沉積鋁材料且耦接該中央真空移送腔室。多個實施例中,適合用於根據本案揭露內容沉積本案揭露內容的層(諸如第一、第二、及第三金屬層)的CVD及ALD處理腔室包括描述於美國專利第6,878,206號中的半導體處理系統,該專利之名稱為「用於處理系統以助於依序沉積技術的蓋組件(Lid Assembly for a Processing System to Facilitate Sequential Deposition Techniques)」,該專利是在2005年4月12日頒發且讓渡給應用材料公司。一些實施例中,本案揭露內容的層能夠由多種沉積方法沉積,該等沉積方法描述於美國專利第6,139,905號中,該專利之名稱為「使用超薄成核層的整合CVD/PVD鋁平坦化(Integrated CVD/PVD Al Planarization Using Ultra-thin Nucleation Layers)」,該專利於2000年10月31日頒發給應用材料公司。
可使用其他半導體基板處理系統實行本案揭露內容,其中,熟悉此技術之人士可透過利用本文揭露之教示、在不背離本案揭露內容之精神的前提下調整該等處理參數以達成可接受的特性。雖然前述內容涉及本案揭露內容的實施例,但可不背離本案揭露內容之基本範疇而設計本案揭露內容之其他與進一步的實施例。
雖然前述內容涉及本案揭露內容的實施例,但可不背離本案揭露內容之基本範疇而設計本案揭露內容之其他與進一步的實施例。
100‧‧‧方法102-110‧‧‧步驟200‧‧‧高k介電層210‧‧‧摻雜堆疊212‧‧‧第一表面214‧‧‧第一金屬層216‧‧‧第一表面218‧‧‧第二金屬層219‧‧‧第一鋁摻雜劑220‧‧‧第一表面224‧‧‧第三金屬層228‧‧‧功函數層300‧‧‧方法302-310‧‧‧步驟400‧‧‧高k介電層414‧‧‧第一氮化鈦層416‧‧‧第一鋁層418‧‧‧第二氮化鈦層419‧‧‧鋁摻雜劑428‧‧‧第一反應防止層430‧‧‧熱(箭號)431‧‧‧箭號440‧‧‧高k介電層470‧‧‧箭號480‧‧‧覆蓋層500‧‧‧PMOS閘極電極540‧‧‧高k介電層542‧‧‧第一表面544‧‧‧半導體層546‧‧‧PMOS區域548‧‧‧功函數層549‧‧‧鋁摻雜劑600‧‧‧整合工具606A-B‧‧‧裝載閘腔室610‧‧‧機器人612-618‧‧‧基板處理腔室622、624‧‧‧移送腔室630‧‧‧第二機器人632-638‧‧‧基板處理腔室650、655‧‧‧移送腔室
透過參考附圖描繪的本案揭露內容之說明性實施例,可瞭解上文簡要總結且於下文更詳細論述的本案揭露內容之實施例。然而,應注意,附圖僅說明本案揭露內容之典型實施例,因此不應將該等附圖視為限制本案揭露內容之範疇,因為本案揭露內容可容許其他等效實施例。
圖1描繪根據本案揭露內容之一些實施例的在高k介電層頂上沉積摻雜堆疊的方法的流程圖。
圖2A至圖2E分別描繪根據本案揭露內容之圖1的一些實施例的沉積摻雜堆疊之製造的階段。
圖3描繪根據本案揭露內容之一些實施例的處理高k介電層的方法的流程圖。
圖4A至圖4F分別描繪根據本案揭露內容之圖3的一些實施例的經處理的高k介電層之製造的階段。
圖5描繪根據本案揭露內容的PMOS閘極電極的剖面視圖。
圖6描繪根據本案揭露內容的一些實施例的適合執行用於處理高k介電層之方法的群集工具。
為了助於理解,在可能的情況下,已使用相同元件符號來表示圖中共有的相同元件。該等圖式並未按照比例尺繪製,且可能為了明確起見而經過簡化。一個實施例的元件及特徵可有利地合併於其他實施例中,而無須贅述。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無
100‧‧‧方法
102-110‧‧‧步驟

Claims (20)

  1. 一種用於形成半導體結構的方法,包括: 於一高k介電層頂上沉積一摻雜堆疊,該摻雜堆疊具有第一表面,其中該摻雜堆疊包括至少一個第一金屬層、至少一個第二金屬層、及至少一個第三金屬層,該第一金屬層具有一第一表面,該第二金屬層包括一第一鋁摻雜劑以及一第一表面,其中該第二金屬層位在該第一金屬層的該第一表面頂上,而該第三金屬層位在該第二金屬層的該第一表面頂上;在該摻雜堆疊的該第一表面頂上沉積一退火層;進行退火而使至少該第一鋁摻雜劑擴散進入該高k介電層;移除該退火層;以及在該摻雜堆疊的該第一表面頂上沉積至少一個功函數層。
  2. 如請求項1所述之方法,其中該第一金屬層與第三金屬層是TiN。
  3. 如請求項1或2所述之方法,其中該第二金屬層是一鋁組成物,包括:鋁、鋁氮化鈦、碳化鈦鋁、氮化鈦鋁、氮化鋁、碳化鋁、氧化鋁、碳化鋁化鉿、或上述材料之組合。
  4. 如請求項1或2所述之方法,其中退火包括:將該摻雜堆疊加熱至700o C之溫度或超過700o C之溫度。
  5. 如請求項1或2所述之方法,其中該退火層包括多晶矽。
  6. 如請求項1或2所述之方法,其中該第一金屬層具有約2埃至約10埃的厚度。
  7. 如請求項1或2所述之方法,其中該第二金屬層具有約2埃至約10埃的厚度。
  8. 如請求項1或2所述之方法,其中該第三金屬層具有約5埃至約15埃的厚度。
  9. 如請求項1或2所述之方法,其中該高k介電層包括HfAlO、HfAlON、HfSiAlON、HfLaON、及上述材料之組合。
  10. 如請求項1或2所述之方法,其中,在該高k介電層中,該第一金屬層、第二金屬層、第三金屬層可透過物理氣相沉積、化學氣相沉積、或原子層沉積之一者形成。
  11. 一種處理高k介電層之方法,包括: 在一高k介電層頂上沉積一第一氮化鈦層; 在該第一氮化鈦層頂上沉積一第一鋁層; 在該第一鋁層頂上沉積一第二氮化鈦層;以及 在高於900o C之溫度退火該高k介電層、第一氮化鈦層、第一鋁層、及第二氮化鈦層。
  12. 如請求項11所述之方法,其中,在退火前,於該第二氮化鈦層頂上施加一第一反應防止層。
  13. 如請求項11或12所述之方法,其中該第一鋁層是厚度為約2埃至約10埃的膜。
  14. 如請求項11或12所述之方法,其中在高於900o C之溫度退火使鋁從該第一鋁層進行下述至少一者:擴散進入該高k介電層中,或擴散至該高k介電層上。
  15. 一種處理配置在基板上的高k介電層的方法,包括: (a)於一高k介電層頂上依序沉積一第一氮化鈦層、一第一鋁層、及一第二氮化鈦層;以及 (b)將該高k介電層加熱至約900o C至約1200o C的峰溫度,其中來自該第一鋁層的鋁進行下述至少一者:擴散進入該高k介電層中,或擴散至該高k介電層上。
  16. 如請求項15所述之方法,其中該第二氮化鈦層形成為比該第一氮化鈦層厚。
  17. 如請求項15或16所述之方法,其中該第一氮化鈦層具有約2埃至約10埃之厚度。
  18. 如請求項15或16所述之方法,其中該第一鋁層具有約2埃至約10埃的厚度。
  19. 如請求項15或16所述之方法,其中該第二氮化鈦層具有約5埃至約15埃的厚度。
  20. 如請求項15或16所述之方法,其中該第一氮化鈦層具有約2埃至約10埃之厚度,且該第二氮化鈦層具有約5埃至約15埃的厚度。
TW107128970A 2017-08-18 2018-08-20 藉由氮化鈦與鋁膜的整合沉積用於摻雜工程與臨界電壓調整之方法與設備 TWI794274B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762547754P 2017-08-18 2017-08-18
US62/547,754 2017-08-18
US16/104,352 2018-08-17
US16/104,352 US10665450B2 (en) 2017-08-18 2018-08-17 Methods and apparatus for doping engineering and threshold voltage tuning by integrated deposition of titanium nitride and aluminum films

Publications (2)

Publication Number Publication Date
TW202009975A true TW202009975A (zh) 2020-03-01
TWI794274B TWI794274B (zh) 2023-03-01

Family

ID=65360723

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107128970A TWI794274B (zh) 2017-08-18 2018-08-20 藉由氮化鈦與鋁膜的整合沉積用於摻雜工程與臨界電壓調整之方法與設備

Country Status (5)

Country Link
US (1) US10665450B2 (zh)
KR (1) KR20200033220A (zh)
CN (1) CN110870048B (zh)
TW (1) TWI794274B (zh)
WO (1) WO2019036709A1 (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020076710A1 (en) 2018-10-08 2020-04-16 Applied Materials, Inc. Methods and apparatus for n-type metal oxide semiconductor (nmos) metal gate materials using atomic layer deposition (ald) processes with metal based precursors
US11444198B2 (en) 2020-05-29 2022-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Work function control in gate structures

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6653200B2 (en) 2001-01-26 2003-11-25 Applied Materials, Inc. Trench fill process for reducing stress in shallow trench isolation
US7645710B2 (en) 2006-03-09 2010-01-12 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
TWI459471B (zh) * 2007-03-08 2014-11-01 Applied Materials Inc 使用低能量電漿系統製造高介質常數電晶體閘極的方法與設備
JP5139023B2 (ja) * 2007-10-16 2013-02-06 株式会社東芝 半導体装置の製造方法
US7838908B2 (en) * 2009-01-26 2010-11-23 International Business Machines Corporation Semiconductor device having dual metal gates and method of manufacture
DE102009031155B4 (de) * 2009-06-30 2012-02-23 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG Einstellen einer Schwellwertspannung für komplexe Transistoren durch Diffundieren einer Metallsorte in das Gatedielektrikum vor der Gatestrukturierung
US8138072B2 (en) * 2009-07-09 2012-03-20 International Business Machines Corporation Semiconductor structures and methods of manufacture
JP2011243750A (ja) * 2010-05-18 2011-12-01 Panasonic Corp 半導体装置およびその製造方法
CN101894771B (zh) * 2010-06-22 2012-02-22 中国科学院上海微***与信息技术研究所 多层堆叠电阻转换存储器的制造方法
JP2012044013A (ja) * 2010-08-20 2012-03-01 Renesas Electronics Corp 半導体装置の製造方法
US8466473B2 (en) 2010-12-06 2013-06-18 International Business Machines Corporation Structure and method for Vt tuning and short channel control with high k/metal gate MOSFETs
JP2012231123A (ja) * 2011-04-15 2012-11-22 Hitachi Kokusai Electric Inc 半導体装置、半導体装置の製造方法、基板処理システムおよびプログラム
TW201301511A (zh) * 2011-06-16 2013-01-01 United Microelectronics Corp 金屬閘極及其製造方法
US8592305B2 (en) 2011-11-15 2013-11-26 Applied Materials, Inc. Doping aluminum in tantalum silicide
CN103107091B (zh) * 2011-11-15 2016-06-22 中国科学院微电子研究所 一种半导体结构及其制造方法
US9082702B2 (en) * 2012-02-27 2015-07-14 Applied Materials, Inc. Atomic layer deposition methods for metal gate electrodes
US20130256802A1 (en) * 2012-03-27 2013-10-03 International Business Machines Corporation Replacement Gate With Reduced Gate Leakage Current
CN103390549B (zh) * 2012-05-11 2017-09-05 中国科学院微电子研究所 半导体器件制造方法
KR101913434B1 (ko) * 2012-06-29 2018-10-30 에스케이하이닉스 주식회사 반도체 소자 및 그 제조 방법
KR101986144B1 (ko) 2012-12-28 2019-06-05 에스케이하이닉스 주식회사 고유전층과 금속게이트를 갖는 반도체장치 및 그 제조 방법
FR3005201A1 (fr) * 2013-04-24 2014-10-31 St Microelectronics Crolles 2 Procede de realisation d'un transistor mos a grille metallique, en particulier un transistor pmos, et circuit integre correspondant
KR102128450B1 (ko) 2013-11-12 2020-06-30 에스케이하이닉스 주식회사 트랜지스터의 문턱전압조절을 위한 방법 및 게이트구조물
US9515164B2 (en) * 2014-03-06 2016-12-06 International Business Machines Corporation Methods and structure to form high K metal gate stack with single work-function metal
US9330938B2 (en) * 2014-07-24 2016-05-03 International Business Machines Corporation Method of patterning dopant films in high-k dielectrics in a soft mask integration scheme
US9418995B2 (en) * 2014-10-14 2016-08-16 Globalfoundries Inc. Method and structure for transistors using gate stack dopants with minimal nitrogen penetration
CN105990403B (zh) * 2015-01-29 2019-05-07 中国科学院微电子研究所 一种栅极及其形成方法
US9627484B1 (en) 2015-10-12 2017-04-18 International Business Machines Corporation Devices with multiple threshold voltages formed on a single wafer using strain in the high-K layer
US9748354B2 (en) 2015-12-17 2017-08-29 Applied Materials, Inc. Multi-threshold voltage structures with a lanthanum nitride film and methods of formation thereof

Also Published As

Publication number Publication date
US10665450B2 (en) 2020-05-26
WO2019036709A1 (en) 2019-02-21
CN110870048B (zh) 2023-12-12
TWI794274B (zh) 2023-03-01
KR20200033220A (ko) 2020-03-27
CN110870048A (zh) 2020-03-06
US20190057863A1 (en) 2019-02-21

Similar Documents

Publication Publication Date Title
JP6218384B2 (ja) タングステンゲート電極を備えた半導体装置の製造方法
JP5743377B2 (ja) ゲート電極構造及び製造方法
JP4914573B2 (ja) 高誘電体ゲート絶縁膜及び金属ゲート電極を有する電界効果トランジスタの製造方法
US9224594B2 (en) Surface preparation with remote plasma
JP2009506537A (ja) ゲート誘電体層の高k窒化物形成における窒素プロファイルエンジニアリング
JP5247619B2 (ja) 誘電体膜、誘電体膜を用いた半導体装置の製造方法及び半導体製造装置
JP2002026319A (ja) 半導体素子のゲート形成方法
JP5937297B2 (ja) 金属窒化膜、該金属窒化膜を用いた半導体装置、および半導体装置の製造方法
US8518783B2 (en) Gate structure for field effect transistor
JP4584356B2 (ja) 基板処理方法、基板処理装置、mos−fetの製造方法、不揮発メモリの製造方法、およびコンピュータ読み取り可能な記録媒体
TWI787702B (zh) 使用pvd釕的方法與裝置
JP5209791B2 (ja) 半導体装置およびその製造方法
TWI794274B (zh) 藉由氮化鈦與鋁膜的整合沉積用於摻雜工程與臨界電壓調整之方法與設備
JP5960491B2 (ja) 半導体装置およびその製造方法
EP2294609B1 (en) Interfacial layer regrowth control in high-k gate structure for field effect transistor
US9412861B2 (en) Semiconductor device having structure capable of suppressing oxygen diffusion and method of manufacturing the same
JP4523994B2 (ja) 電界効果トランジスタの製造方法
JP4523995B2 (ja) 電界効果トランジスタの製造方法
Matsuki et al. Area-selective post-deposition annealing process using flash lamp and Si photoenergy absorber for metal/high-k gate metal–insulator–semiconductor field-effect transistors with NiSi source/drain
TW202226596A (zh) Pmos的高k金屬閘極
TW202301484A (zh) 基於非晶矽的清除及密封等效氧化物厚度
JP2009124177A (ja) high−K誘電膜上に金属ゲートを蒸着する方法及び、high−K誘電膜と金属ゲートとの界面を向上させる方法、並びに、基板処理システム