TW202301484A - 基於非晶矽的清除及密封等效氧化物厚度 - Google Patents

基於非晶矽的清除及密封等效氧化物厚度 Download PDF

Info

Publication number
TW202301484A
TW202301484A TW111116635A TW111116635A TW202301484A TW 202301484 A TW202301484 A TW 202301484A TW 111116635 A TW111116635 A TW 111116635A TW 111116635 A TW111116635 A TW 111116635A TW 202301484 A TW202301484 A TW 202301484A
Authority
TW
Taiwan
Prior art keywords
layer
substrate
dipole
metal
metal oxide
Prior art date
Application number
TW111116635A
Other languages
English (en)
Inventor
楊勇
賈桂琳S 倫區
楊逸雄
郭澗秋
沙謝德利 甘古利
史蒂芬Ch 洪
史林尼維斯 干德可塔
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US17/347,786 external-priority patent/US20220254640A1/en
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202301484A publication Critical patent/TW202301484A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28185Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the gate insulator and before the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Formation Of Insulating Films (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

在高K金屬閘極(HKMG)堆疊上形成犧牲密封層,以抑制氧化劑(例如氧氣及水)影響金屬閘極堆疊,從而保護元件EOT。該方法整合了包括以下各者的製程:在基板上形成介面層;在介面層上形成高K金屬氧化物層,該高K金屬氧化物層包括與介面層相鄰的偶極區域,該偶極區域;在高K金屬氧化物層上沉積封蓋層;及在封蓋層上形成犧牲密封層。偶極區域透過以下方式形成:將偶極膜的摻雜劑物種,例如,鋅(Zn)、釩(V)、鎢(W)、鉬(Mo)、釕(Ru)、鈦(Ti)、鉭(Ta)、鋯(Zr)、鋁(Al)、鈮(Nb)或其混合物驅入高K金屬氧化物層中以形成偶極區域。

Description

基於非晶矽的清除及密封等效氧化物厚度
本揭示案的實施例大體係關於抑制氧化劑(例如,氧原子)影響金屬閘極堆疊。在特定實施例中,犧牲密封層形成在高K金屬閘極(high-K metal gate; HKMG)堆疊上,該高K金屬閘極堆疊包括含有偶極區域的高K金屬氧化物層。
積體電路已進化成為複雜元件,其可在單個晶片上包括數百萬個電晶體、電容器及電阻器。在積體電路發展的過程中,功能密度(即,每個晶片面積的互連元件的數量)大體增大,而幾何尺寸(即,可使用製造製程產生的最小部件(或線路))減小。
隨著元件尺寸的縮小,元件的幾何形狀及材料難以在不發生故障的情況下保持切換速度。一些新技術的出現使得晶片設計者可繼續縮小元件尺寸。對元件結構尺寸的控制是當前及未來數代技術的關鍵挑戰。
微電子元件作為積體電路製造在半導體基板上,其中各種導電層彼此相互連接,以允許電子信號在元件內傳播。此種元件的一個實例是互補金屬氧化物半導體(complementary metal-oxide-semiconductor; CMOS)場效應電晶體(field effect transistor; FET)或MOSFET。閘電極是積體電路的一部分。例如,MOSFET包括安置在半導體基板中形成的源極區與汲極區之間的閘極結構。閘極結構或堆疊大體包括閘電極及閘極電介質。閘電極安置在閘極電介質上,以控制在閘極電介質下方的汲極與源極區域之間形成的通道區域中的電荷載流子的流動。
電負性大於鉿(Hf,1.3)的製造友好金屬,如鋁(Al,1.61)、鈮(Nb,1.6)、鈦(Ti,1.54)及鉭(Ta,1.5),可誘發有利於PMOS的偶極。為了實現期望的偶極效應,利用尖峰退火從沉積膜中驅動期望的元件,並在驅動後移除。尖峰退火可能潛在地導致等效氧化物厚度(equivalent oxide thickness; EOT)損失,因為閘極介電層及上方的偶極堆疊中的自由氧原子向下擴散以氧化下方的矽層。
因此,需要防止等效氧化物厚度損失的方法。
本揭示案的一或更多個實施例針對一種形成金屬閘極堆疊的方法。在一或更多個實施例中,一種形成金屬閘極堆疊的方法包括:在基板表面上形成介面層;在介面層上沉積高K金屬氧化物層;在高K金屬氧化物層上形成偶極膜;在基板上沉積第一封蓋層;在第一高K金屬氧化物封蓋層上形成犧牲密封層;在至少700℃的溫度下對基板進行熱處理,以將偶極膜驅至高K金屬氧化物層中,並形成偶極區域;及去除犧牲密封層。
本揭示案的額外實施例針對一種從金屬閘極堆疊清除氧化劑的方法。在一或更多個實施例中,該方法包括:在金屬閘極堆疊上形成犧牲密封層,該金屬閘極堆疊包括在基板表面的介面層上的高K金屬氧化物層上的偶極膜上的第一封蓋層;在至少700℃的溫度下對金屬閘極堆疊進行熱處理,以將偶極膜驅至高K金屬氧化物層中,並形成偶極區域;及移除犧牲密封層。
在描述本揭示案的數個示例性實施例之前,應理解本揭示案不限於以下描述中闡述的結構或製程步驟的細節。本揭示案能夠有其他實施例,且能夠以各種方式實踐或執行。
如本說明書及所附申請專利範圍中所用,術語「基板」指製程作用於其上的表面或部分表面。本領域熟習此項技術者亦將理解,提及基板亦可僅指基板的一部分,除非上下文另有明確指示。此外,提及在基板上沉積可指裸基板及其上沉積或形成有一或更多個膜或特徵的基板。
本文所用的「基板」係指任何基板或基板上形成的材料表面,在製造期間對其進行膜處理。例如,取決於應用而定,可在其上執行處理的基板表面包括諸如矽、氧化矽、應變矽、絕緣體上矽(silicon on insulator; SOI)、碳摻雜氧化矽、非晶矽、摻雜矽、鍺、砷化鎵、玻璃、藍寶石的材料,及諸如金屬、金屬氮化物、金屬合金及其他導電材料的任何其他材料。基板包括但不限於半導體晶圓。基板可暴露於預處理製程,以拋光、蝕刻、還原、氧化、羥基化、退火、UV固化、電子束固化及/或烘焙基板表面。除了直接在基板本身的表面上進行膜處理之外,在本揭示案中,所揭示的任何膜處理步驟亦可在基板上形成的底層上進行,如下文更詳細揭示的,且術語「基板表面」意欲包括上下文所指的此種底層。因此,例如,在膜/層或部分膜/層已經沉積到基板表面上的情況下,新沉積的膜/層的暴露表面成為基板表面。
本揭示案的實施例有利地提供了防止剛沉積的偶極膜被氧化的方法。在一或更多個實施例中,密封層沉積在閘極堆疊的頂表面上,並隨後退火閘極堆疊以驅動偶極元件進入封蓋層及高k層。不受理論的束縛,咸信密封層能夠從高K層清除額外的氧化劑(例如,氧原子、水等),從而使等效氧化物厚度(EOT)的增加減至最少。退火後,移除密封層,並對所得的閘極堆疊進行後處理。
閘極堆疊可包括具有偶極區域的閘極介電層或高K金屬氧化物層、封蓋層及金屬閘極功函數層中一或更多者。偶極區域可在與介面層相鄰的高K金屬氧化物層中。
等效氧化物厚度(EOT)提供了介面層及高K層的特徵。針對含Nb偶極區域的等效氧化物厚度(EOT)的實驗顯示,在金屬閘極狀態上沉積密封封蓋層不會導致EOT損失(<~0.2埃)。在一實驗中,透過原子層沉積在金屬閘極堆疊上沉積厚度在5埃至40埃範圍內的包含非晶矽的密封層。偶極堆疊包括在包含氧化鉿(HfO 2)的高K金屬氧化物層上的偶極膜(例如氮化鈮(NbN)或氮化鈦鋁(TiAlN)),其位於基板上的SiO 2介面層上。使用ALD在偶極膜上原位沉積TiN封蓋層。在沉積非晶矽密封層之後,透過在至少700℃的基板溫度下的熱處理將偶極膜驅入高K金屬氧化物層中。
本揭示案的一或更多個實施例提供特別適用於形成正金屬氧化物半導體(positive metal oxide semiconductor; PMOS)積體電路元件的裝置及形成方法,並將在該上下文中進行描述。其他裝置及應用亦在本揭示案的範圍內。
第1A圖至第1F圖示出了根據一或更多個實施例的示例性PMOS金屬閘極堆疊元件100的橫剖面視圖。參看第1A圖,元件100包括基板110,其表面被氧化以形成介面層115。基板110可包括熟習此項技術者已知的任何合適的材料。在一些實施例中,基板110包括矽。介面層115可包括熟習此項技術者已知的任何合適的材料。在一或更多個實施例中,介面層115包括二氧化矽、矽鍺氧化物(SiGeO x)、鍺氧化物(GeO x)或氮氧化矽(SiON)中的一或更多種。在一或更多個具體實施例中,介面層115包括二氧化矽。在一些實施例中,基板包括額外的電元件及材料,包括但不限於源極區、汲極區、導電通道及其他電連接器。
參看第1B圖,在金屬閘極堆疊元件100上形成高K金屬氧化物層120。高K金屬氧化物層120可包括本領域熟習此項技術者已知的任何合適的材料。在一或更多個實施例中,高K金屬氧化物層120包括氧化鉿(HfO 2)、氮氧化鉿(HfON)、氧化鋯鉿(HfZrO)、氮氧化鋯鉿(HfZrON)、氧化矽鉿(HfSiO)及氮氧化矽鉿(HfSiON)中的一或更多種。在一或更多個具體實施例中,高K金屬氧化物層120包括氧化鉿(HfO 2)。
在一或更多個實施例中,偶極膜125形成在高K金屬氧化物層120上。偶極膜125可包括熟習此項技術者已知的任何合適的材料。在一或更多個實施例中,偶極膜125包括偶極金屬的氮化物、碳化物、氧化物、碳氮化物或其組合。在一或更多個實施例中,偶極金屬包括鋅(Zn)、釩(V)、鎢(W)、鉬(Mo)、釕(Ru)、鈦(Ti)、鉭(Ta)、鋯(Zr)、鋁(Al)、鈮(Nb)或上述各者的混合物。在一或更多個實施例中,偶極膜125包括氮化鈮(NbN)。在其他實施例中,偶極膜125包括氮化鈦鋁(TiAlN)。
參考第1C圖,在偶極膜125上形成封蓋層130。偶極膜125在與封蓋層130相鄰的高K金屬氧化物層120上。
高K金屬氧化物層120及金屬閘極功函數層140在本文可一起被稱為金屬閘極堆疊。
封蓋層130可包含熟習此項技術者已知的任何合適的材料。在一些實施例中,封蓋層130包括或基本上由氮化鈦(TiN)組成。在一些實施例中,封蓋層130包括或基本上由氮化鈦矽(TiSiN)組成。如在此方面所使用的,「基本上由……組成」意味著以原子計,所述元素構成所述材料的大於95%、大於98%、大於99%或大於99.5%。為了避免疑問,本文揭示的材料的標識不暗示化學計量比。例如,TiN材料包含鈦及氮。該等元素可或可不以1:1的比例存在。
封蓋層130可具有任何合適的厚度。在一些實施例中,封蓋層130的厚度在從大於或等於5埃至小於或等於25埃的範圍內。在一些實施例中,封蓋層130的厚度為約10埃,包括10埃±10%、10埃±5%及/或10埃±1%。
參照第1D圖,在一或更多個實施例中,犧牲密封層150形成在金屬閘極堆疊元件100上。在一或更多個實施例中,犧牲密封層150形成在PMOS功函數材料140上。犧牲密封層150可包括熟習此項技術者已知的任何合適的材料。在一或更多個實施例中,犧牲密封層150包括非晶矽(a-Si)。
犧牲密封層150可具有任何合適的厚度。在一或更多個實施例中,犧牲密封層150的厚度在5埃至50埃的範圍內,包括10埃至40埃的範圍。
參照第1E圖,熱處理金屬閘極堆疊元件100以將偶極膜125驅入高K金屬氧化物層120中,並在高K金屬氧化物層120中形成偶極區128。執行熱處理以使摻雜劑物種,例如鋅(Zn)、釩(V)、鎢(W)、鉬(Mo)、釕(Ru)、鈦(Ti)、鉭(Ta)、鋯(Zr)、鋁(Al)、鈮(Nb)或其混合物,擴散到下方的高K閘極金屬氧化物層120中。
等效氧化物厚度(EOT)提供了介面層及高K層的特徵。在一或更多個實施例中,包括犧牲密封層150的金屬閘極堆疊相對於沒有犧牲密封層的對比金屬閘極堆疊,具有小於或等於~0.2埃的EOT增大。當不存在犧牲密封層時,具有偶極區域128的閘極堆疊具有約10.0埃至10.5埃的EOT。在沉積犧牲密封層的實施例中,具有偶極區域128的閘極堆疊具有約8.6埃至小於9埃的範圍內的EOT。
參照第1F圖,在將偶極膜125的摻雜劑驅入高K金屬氧化物層120以形成偶極區域128後,移除犧牲密封層150,接著移除封蓋層130。因此,元件100具有高K金屬氧化物層120,基板110上的介面層115上具有偶極區域128。
參照第1G圖,在一些實施例中,可在基板上形成第二封蓋層160,例如高K金屬氧化物封蓋層,並在第二封蓋層160上形成金屬閘極功函數層140。在其他實施例中,不存在第二封蓋層160。如本文所使用的,金屬閘極功函數層140亦可被稱為「PMOS功函數材料」。
高K金屬氧化物層120將金屬閘極功函數層140與基板110電絕緣。高K金屬氧化物層120及金屬閘極功函數層140在本文可一起被稱為高K金屬閘極堆疊。
PMOS功函數材料140可包含任何合適的材料。PMOS功函數材料140可具有任何合適的厚度。在一些實施例中,PMOS功函數材料140的厚度在大於或等於5埃至小於或等於50埃的範圍內。在一些實施例中,金屬閘極功函數層的厚度為約15埃,包括15埃±10%、15埃±5%及/或15埃±1%。在一些實施例中,金屬閘極功函數層的厚度為約25埃,包括25埃±10%、25埃±5%及/或25埃±1%。在其他實施例中,金屬閘極功函數層的厚度為約40埃,包括40埃±10%、40埃±5%及/或40埃±1%。
在一些實施例中,金屬閘極堆疊元件100進一步包括閘電極180。閘電極180可包括多層。在一些實施例中,閘電極180包括包含TiAl的第一層及包含TiN的第二層。在一些實施例中,第一層具有大於或等於20埃至小於或等於50埃的厚度。在一些實施例中,第一層具有約25埃的厚度,包括25埃±10%。在一些實施例中,第一層具有約40埃的厚度,包括40埃±10%。在一些實施例中,第二層具有約500埃的厚度,包括500埃±10%、500埃±5%及/或500埃±1%。第一層及第二層可透過任何合適的方法沉積。
參考第2圖,本揭示案的另一實施例係關於一種形成閘極堆疊的方法200。方法200開始於操作210,視情況向處理腔室提供基板。
在操作215中,在基板上形成介面層。介面層的形成可包括合適的熱氧化製程,如利用一氧化二氮(N 2O)氣體的增強原位蒸汽產生(enhanced in situ steam generation; EISSG)製程。在一或更多個實施例中,介面層(例如,第1圖的115)是薄的非晶氧化矽(SiO 2)層,其厚度在約3埃與約10埃之間,例如約5埃,對應於一或更多個氧化矽單層。在一些實施例中,介面層可透過利用H 2及O 2氣體的原位蒸汽產生(in situ steam generation; ISSG)製程,或者透過利用NH 3及O 2氣體的快速熱氧化(rapid thermal oxidation; RTO)製程,或者透過濕化學氧化物製程(例如,包括NH 4OH(氫氧化銨)、H 2O 2(過氧化氫)及H 2O(水)的標準清潔1 (SC1)溶液),或者臭氧(O 3)濕化學製程來形成。介面層可充當要沉積在其上的高K閘極閘極介電層(例如,第1圖的120)的成核層。
在操作220中,在介面層上沉積高K金屬氧化物層。高K閘極金屬氧化物層可由高K介電材料及三元高K介電膜形成,該高K介電材料如二氧化鉿(HfO 2)、二氧化鋯(ZrO 2)、氧化鐿(Y 2O 3)、氧化鋁(Al 2O 3),該三元高K介電膜在現有金屬氧化物高K介電基質材料中摻雜第三元素,如HfZrO、HfLaO x、HfTiO。沉積製程可包括原子層沉積(atomic layer deposition; ALD)製程,其中含金屬前驅體及含氧前驅體被交替輸送到介面層。在一些實施例中,在輸送含氧前驅物之前,吹掃含金屬前驅物。金屬可為過渡金屬,如鉿(Hf)、鋯(Zr)或鈦(Ti),稀土金屬,如鑭(La)、鐿(Yb)或釔(Y),鹼土金屬,如鍶(Sr),或其他金屬,如鋁(Al)。對於氧化劑,可使用任何可與金屬反應的含氧前驅物。例如,含氧前驅物可為或包括水、雙原子氧、臭氧、含羥基的前驅物或醇、含氮及氧的前驅物、包括局部或遠端增強的氧的電漿增強氧,或可與金屬結合以在介面層上產生金屬氧化物層的任何其他含氧材料。在一個實例中,含金屬的前驅物是四氯化鉿(HfCl 4),且氧化劑是水(H 2O),以形成二氧化鉿(HfO 2)層。ALD製程可在200℃至約400℃的溫度下進行,例如,約270℃。透過ALD製程沉積的金屬氧化物層可為非晶的,並具有約10埃至約30埃的厚度。
從操作230開始,不從處理腔室移除基板。該系統是整合的,使得基板不暴露於包含濕氣、水(H 2O)或氧氣(O 2)的氣氛中。在操作230,在高K金屬氧化物層上製備偶極膜。在一或更多個實施例中,偶極膜包括偶極金屬的氮化物、碳化物、氧化物、碳氮化物或其組合。在一或更多個實施例中,偶極金屬包括:鋅(Zn)、釩(V)、鎢(W)、鉬(Mo)、釕(Ru)、鈦(Ti)、鉭(Ta)、鋯(Zr)、鋁(al)、鈮(Nb)或其混合物。
在一或更多個實施例中,偶極膜為含鋅膜、含釩膜、含鎢膜、含鉬膜、含釕膜、含鉭膜、含鈦膜、含鋯膜、含鋁膜或含鈮膜中的一或更多種。可透過原子層沉積(ALD)在350℃到500℃範圍內的基板溫度下沉積偶極膜。可重複ALD循環以獲得期望厚度的含偶極金屬的膜,例如5埃到10埃。在一些實施例中,透過在高K閘極閘極介電層的整個暴露表面上方毯覆沉積偶極膜來進行偶極膜的沉積,且隨後進行微影術及蝕刻製程,以圖案化偶極膜(即,在半導體結構的一些區域中形成偶極膜,而不在半導體結構的一些其他區域中形成偶極膜)。在隨後的熱處理(在操作250)中,例如退火製程中,來自偶極膜的摻雜劑物種,例如Zn、V、W、Mo、Ru、Ta、Ti、Al、Zr或Nb擴散並併入下方的高K閘極閘極介電層中,以形成偶極區域。
在一或更多個實施方案中,在350℃至500℃範圍內的第一基板溫度下,使用原子層沉積,透過將基板表面暴露於包含偶極金屬的第一前驅物及視情況暴露於包含氮、氧或碳的第二前驅物,在高K金屬氧化物層上製備偶極膜。一般而言,可使用任何適合的偶極金屬前驅物。例如,對於NbN膜,鈮前驅物可包括但不限於NbCl 5、NbB 5、NbBr 5、Nbl 5、NbF 5、有機鈮化合物及其組合。
在一或更多個實施例中,第二前驅物可包括但不限於:NH 3、N 2、N 2H 2、N 2H 4、含氮電漿及其組合。
在一或更多個實施例中,第二前驅物包括選自H 2O、H 2O 2、O 3、乙醇及其組合組成的群組的第二化合物。在一或更多個實施例中,第二前驅物包括選自CH 4、乙醇及H 2組成的群組的第二化合物。在一或更多個實施例中,第二前驅物包括選自NH 3、CH 4、乙醇、H 2的兩種或多種第二化合物的組合。
在操作240中,在偶極膜上沉積封蓋層。在一或更多個實施例中,透過原子層沉積(ALD)來沉積封蓋層。在一或更多個實施例中,封蓋層包括氮化鈦(TiN)。沉積TiN的示例性製程包括將基板暴露於包含Ti的第一前驅物,且隨後暴露於包含氮源的第二前驅物,以提供TiN膜。在一些實施例中,基板重複暴露於前驅物以獲得預定的膜厚度。在一些實施例中,在ALD製程期間,基板保持在約200℃到約700℃的溫度。
在操作245中,犧牲密封層沉積在基板上。在一或更多個實施例中,犧牲密封層包括非晶矽(a-Si)材料。在操作250的後續熱處理製程期間,犧牲密封層可物理地及化學地保護下方的高K金屬氧化物層及第一封蓋層。犧牲密封層可由非晶矽形成,如氫化非晶矽(a-Si:H)。與包括引導擴散路徑的晶界的多晶矽相比,非晶矽可提供較少的原子擴散。
可透過原子層沉積(ALD)製程或化學氣相沉積(chemical vapor deposition; CVD)製程形成犧牲密封層,其中其上形成有第一封蓋層的半導體結構暴露於矽前驅物。矽前驅物的實例是聚矽烷(Si xH y)。例如,聚矽烷包括二矽烷(Si 2H 6)、三矽烷(Si 3H 8)、四矽烷(Si 4H 10)、異四矽烷、新戊矽烷(Si 5H 12)、環戊矽烷(Si 5H 10)、六矽烷(C 6H 14)、環己矽烷(Si 6H 12)或大體x=2或更大的Si xH y,及上述各者的組合。犧牲密封層的厚度可在約5埃與約50埃之間。
在操作250中,熱處理基板以將偶極膜驅入高K金屬氧化物層中,並在高K金屬氧化物層中形成偶極區域。進行熱處理以使偶極金屬摻雜劑物種,例如Zn、V、W、Mo、Ru、Ta、Ti、Zr、Al或Nb或上述各者的混合物,擴散到下方的高K閘極金屬氧化物層中。在一或更多個實施例中,操作250的熱處理包括後封蓋退火(post cap anneal; PCA)製程,執行該製程以使第一蓋帽層硬化及緻密化。剛沉積的第一封蓋層及任何剛沉積的犧牲矽封蓋層可能發生結晶。PCA製程可包括退火製程。退火製程可包括在惰性環境中的熱退火製程,如在氮氣(N 2)及氬氣(Ar)環境中,在快速熱處理(rapid thermal processing; RTP)室中進行,如可從位於加州聖克拉拉的應用材料公司獲得的RADOX™腔室。
操作250的熱處理可在約600℃與約1000℃之間的溫度下,例如約900℃下,及在約0.1托與100托之間的壓力下,進行約1秒至約30秒之間。
在操作260中,可將基板從處理腔室中移除,並可將基板暴露於濕氣、水或氧氣中。根據一或更多個實施例,在操作260,移除犧牲密封層及偶極膜的任何剩餘部分連同封蓋層。移除製程可包括乾式電漿蝕刻製程。隨後,可進一步處理包括具有摻雜區域的高K金屬氧化物層的所得結構,以適合期望的應用。
本文的各態樣包括:一種形成金屬閘極堆疊的方法,該方法包括:在基板表面上製備介面層;在介面層上沉積高K金屬氧化物層;透過在350℃至500℃範圍內的第一基板溫度下,使用原子層沉積將基板表面暴露於包含偶極金屬的第一前驅物,並視情況暴露於包含氮、氧或碳的第二前驅物,在高K金屬氧化物層上製備偶極膜,該偶極金屬例如鋅(Zn)、釩(V)、鎢(W)、鉬(Mo)、釕(Ru)、鈦(Ti)、鉭(Ta)、鋯(Zr)、鋁(Al)、鈮(Nb)或其混合物;在基板上沉積第一封蓋層;在基板上沉積非晶矽層;及在至少700℃的第二基板溫度下將基板暴露於熱處理,以將偶極膜驅至高K金屬氧化物層中,並形成包含鄰近介面層的偶極金屬的偶極區域。
在一或更多個實施例中,該方法進一步包括移除犧牲密封層,移除偶極膜的任何剩餘部分,及移除第一封蓋層。
參照第3圖,本揭示案的另一實施例係關於形成金屬閘極堆疊元件的方法300。方法300開始於310,視情況在第一處理腔室內提供基板。在操作315,介面層形成在基板上,且根據關於第2圖論述的操作215。在操作320,高K金屬氧化物層沉積在介面層上,且根據關於第2圖論述的操作220。在操作330中,在高K金屬氧化物層上製備偶極膜,且該偶極膜與參照第2圖論述的操作230。在操作340,封蓋層被沉積在偶極膜上,且根據關於第2圖論述的操作240。
在一或更多個實施例中,封蓋層原位沉積在偶極膜上,因為同一處理(第一)腔室用於操作330及340。
在操作345中,犧牲密封層沉積在基板上,且根據關於第2圖論述的操作245。在一或更多個實施例中,犧牲密封層包括非晶矽(a-Si)材料。
在操作350中,熱處理基板以將偶極膜驅入高K金屬氧化物層中,並在高K金屬氧化物層中形成偶極區域。
根據一或更多個實施例,熱處理基板沉積後,可將基板移送至不同的(第二)處理腔室進行操作360。在一些實施例中,整合第一處理腔室及第二處理腔室。在一些實施例中,在不破壞真空或不暴露於環境空氣的情況下執行方法300。
在操作360中,移除犧牲密封層及偶極膜的任何剩餘部分及封蓋層。此後,在操作370,可在高K金屬氧化物層上沉積另一(第二)封蓋層。在一些實施例中,沉積第二封蓋層是可選的。在操作380,若封蓋層不存在,則PMOS功函數材料可沉積在第二封蓋層上或高K金屬氧化物層上。在操作390,閘極材料沉積在PMOS功函數材料上。
許多前驅物在本發明的範疇內。前驅物在環境溫度及壓力下可為電漿、氣體、液體或固體。然而,在ALD腔室內,前驅物會揮發。有機金屬化合物或錯合物包括任何含有金屬及至少一個有機基團的化學品,如烷基、烷氧基、烷基醯胺及苯胺。前驅物可由有機金屬及無機/鹵化物組成。
大體上,任何合適的鈦前驅物可用於封蓋層。因此,鈦前驅物可包括但不限於TiCl 4、TiBr 4、TiI 4、TiF 4、四二甲基胺基鈦(tetrakisdimethylamino titanium)。此外,可使用任何合適的氮源前驅物。實例包括但不限於氮氣、氨氣、N 2H 2或N 2H 4
本文的各態樣針對一種製造金屬閘極堆疊的方法,該方法包括:在第一處理腔室中的基板表面上製備介面層;在第一處理腔室內的介面層上沉積高K金屬氧化物層;透過在350℃至500℃範圍內的第一基板溫度下使用原子層沉積,在第一處理腔室內將基板表面暴露於包含偶極金屬的第一前驅物,並視情況暴露於包含氮、氧或碳的第二前驅物,在高K金屬氧化物層上製備偶極膜,該偶極金屬例如鋅(Zn)、釩(V)、鎢(W)、鉬(Mo)、釕(Ru)、鈦(Ti)、鉭(Ta)、鋯(Zr)、鋁(Al)、鈮(Nb)或其混合物;在第一處理腔室內的基板上沉積第一封蓋層;在基板上形成犧牲密封層;在700℃至1050℃範圍內的第二基板溫度下將基板暴露於熱處理,以將偶極膜驅入高K金屬氧化物層中,並形成鄰近介面層的包含偶極金屬(例如,Zn、V、W、Mo、Ru、Ta、Ti、Zr,或Nb)的偶極區域;將基板移送到第二處理腔室;及移除犧牲密封層,移除第一封蓋層,並移除偶極膜的任何剩餘部分。
在一或更多個實施例中,該方法進一步包括在基板上沉積第二封蓋層。
在一或更多個實施例中,該方法進一步包括在基板上沉積PMOS功函數材料。
在一或更多個實施例中,該方法進一步包括在基板上沉積閘極材料。
在一或更多個實施例中,第一封蓋層包括氮化鈦(TiN),高K金屬氧化物層包括氧化鉿(HfO 2),且介面層包括二氧化矽(SiO 2)。
在一或更多個實施例中,該方法進一步包括第二封蓋層,第二封蓋層包含TiN。
在一或更多個實施例中,製備介面層包括在沉積高K金屬氧化物層之前氧化基板表面。
基板暴露於前驅物的順序可變化。可在沉積循環中重複暴露。此外,可在單個沉積循環中重複暴露於前驅物。
本揭示案的方法可在同一腔室內或一或更多個單獨的處理腔室內進行。在一些實施例中,基板從第一腔室移動到單獨的第二腔室,用於進一步處理。基板可直接從第一腔室移動到單獨的處理腔室,或者可從第一腔室移動到一或更多個移送室,且隨後移動到單獨的處理腔室。因此,合適的處理設備可包括與移送站連通的多個腔室。此種裝置可被稱為「群集工具」或「群集系統」等。
一般而言,群集工具是模組化系統,包括多個腔室,可執行各種功能,包括基板中心定位及取向、退火、沉積及/或蝕刻。根據一或更多個實施例,群集工具包括至少第一腔室及中央移送室。中央移送室可容納機器人,該機器人可使基板在處理腔室與裝載閘腔室之間來回穿梭。移送室通常保持在真空條件下,並提供中間階段,用於將基板從一個腔室穿梭到另一個腔室及/或位於群集工具前端的裝載閘腔室。可適用於本揭示案的兩種熟知的群集工具是Centura®及Endura®,該兩種工具都可從加州聖克拉拉的應用材料公司獲得。然而,為了執行本文描述的製程的特定步驟,可改變腔室的確切佈置及組合。可使用的其他處理腔室包括但不限於循環層沉積(cyclical layer deposition; CLD)、原子層沉積(ALD)、化學氣相沉積(CVD)、物理氣相沉積(physical vapor deposition; PVD)、蝕刻、預清潔、化學清潔、熱處理(如RTP)、電漿氮化、退火、取向、羥基化及其他基板製程。透過在群集工具上的腔室中進行處理,可避免大氣雜質對基板的表面污染,使其不會在沉積後續膜之前氧化。
在一些實施例中,第一處理腔室及第二處理腔室是同一群集式處理工具的一部分。因此,在一些實施例中,該方法是原位整合方法。
在一些實施例中,第一處理腔室及第二處理腔室是不同的處理工具。因此,在一些實施例中,該方法是異位整合方法。
根據一或更多個實施例,基板持續處於真空或「裝載閘」條件下,且從一個腔室移至下一個腔室時,不會暴露於環境空氣中。因此,移送室處於真空狀態,並在真空壓力下被「抽空」。惰性氣體可存在於處理腔室或移送室中。在一些實施例中,使用惰性氣體作為吹掃氣體來移除一些或所有反應物。根據一或更多個實施例,在沉積腔室的出口注入淨化氣體,以防止反應物從沉積腔室移動到移送室及/或額外的處理腔室。因此,惰性氣流在腔室的出口處形成一個氣簾。
可在單基板沉積腔室中處理基板,其中先裝載、處理及卸載單個基板,再處理另一基板。亦可類似於傳送系統的連續方式處理基板,其中多個基板被單獨裝載到腔室的第一部分,移動穿過腔室,並從腔室的第二部分卸載。腔室及相關輸送系統的形狀可形成直線路徑或曲線路徑。此外,處理腔室可為轉盤,其中多個基板圍繞中心軸移動,並在整個轉盤路徑上暴露於沉積、蝕刻、退火及/或清洗製程。
基板在處理期間亦可為靜止的或旋轉的。旋轉基板可連續旋轉或離散地分步驟旋轉。例如,基板可在整個製程中旋轉,或者基板可在暴露於不同的反應氣體或淨化氣體之間少量旋轉。透過使例如氣流幾何形狀的局部可變性的影響降至最低,在處理期間旋轉基板(連續地或分步驟地)可有助於產生更均勻的沉積或蝕刻。
在原子層沉積型腔室中,可在空間或時間上分離的製程中,將基板暴露於第一及第二前驅物。時間ALD是一種傳統製程,其中第一前驅物流入腔室與表面反應。在第二前驅物流動之前,先從腔室中清除第一前驅物。在空間ALD中,第一及第二前驅物同時流向腔室,但是在空間上是分開的,從而在兩個流之間存在防止前驅物混合的區域。在空間ALD中,基板相對於氣體分配板移動,或反之亦然。
在實施例中,在該等方法的部分中一或更多者發生在一個腔室內的情況下,該製程可為空間ALD製程。儘管上述一或更多種化學品可能不相容(即,導致除了在基板表面及/或沉積在腔室上之外的反應),但是空間分離確保了試劑不會暴露於氣相中的每一種。例如,時間ALD涉及淨化沉積腔室。然而,實際上,在流入額外的試劑之前,有時不可能將多餘的試劑從腔室中清除。因此,腔室中任何剩餘的試劑都可能發生反應。透過空間分離,無需清除多餘的試劑,且交叉污染因而得以限制。此外,可使用大量時間來淨化腔室,因此可透過消除淨化步驟來增加產出量。
參考第4圖,本揭示案的額外實施例針對可與一或更多個實施例的處理腔室一起使用的處理工具900,以執行所述方法。
群集工具900包括至少一個具有複數個側面的中央移送站921、931。機器人925、935位於中央移送站921、931內,並被配置為將機器人葉片及晶圓移動到複數個側面中的每一個。
群集工具900包括複數個處理腔室902、904、906、908、910、912、914、916及918,亦稱為處理站,連接至中央移送站。各種處理腔室提供了與相鄰處理站隔離的獨立處理區域。處理腔室可為任何合適的腔室,包括但不限於預清潔室、緩衝室、移送空間、晶圓取向器/脫氣室、冷卻室、沉積腔室、退火室、蝕刻室、熱處理(thermal processing; RTP)室、電漿氧化室、電漿氮化室、原子層沉積(ALD)室。處理腔室及部件的具體佈置可取決於群集工具而變化,且不應該被視為限制本揭示案的範疇。
在第4圖所示的實施例中,工廠介面950連接至群集工具900的前端。工廠介面950包括在工廠介面950的正面951上的裝載腔室954及卸載腔室956。儘管裝載腔室954圖示在左側,且卸載腔室956圖示在右側,但是本領域熟習此項技術者將理解,此僅表示一種可能的配置的表示。
裝載腔室954及卸載腔室956的尺寸及形狀可取決於(例如)群集工具900中正在處理的基板而變化。在所示的實施例中,裝載腔室954及卸載腔室956的尺寸適於容納晶圓盒,複數個晶圓位於該晶圓盒內。
機器人952位於工廠介面950內,且可在裝載腔室954與卸載腔室956之間移動。機器人952能夠透過工廠介面950將晶圓從裝載腔室954中的盒移送到裝載閘腔室960。機器人952亦能夠透過工廠介面950將晶圓從裝載閘腔室962移送到卸載腔室956中的盒。如本領域熟習此項技術者將理解,工廠介面950可具有一個以上的機器人952。例如,工廠介面950可具有在裝載腔室954與裝載閘腔室960之間移送晶圓的第一機器人,及在裝載閘腔室962與卸載腔室956之間移送晶圓的第二機器人。
所示群集工具900具有第一區段920及第二區段930。第一區段920透過裝載閘腔室960、962連接到工廠介面950。第一區段920包括第一移送室921,至少一個機器人925位於其中。機器人925亦被稱為機器人晶圓傳送機構。第一移送室921相對於裝載閘腔室960、962、處理腔室902、904、916、918及緩衝室922、924而位於中心。一些實施例的機器人925是能夠一次獨立移動多於一個晶圓的多臂機器人。在一或更多個實施例中,第一移送室921包括一個以上的機器人晶圓傳送機構。第一移送室921中的機器人925被配置為在第一移送室921周圍的腔室之間移動晶圓。單個晶圓承載在位於第一機器人機構遠端的晶圓傳送葉片上。
在第一區段920中處理晶圓後,可透過通過室將晶圓傳送至第二區段930。例如,腔室922、924可為單向或雙向通過室。例如,通過室922、924可用於在第二區段930中處理之前冷卻晶圓,或者在移回第一區段920之前允許晶圓冷卻或進行後處理。
系統控制器990與第一機器人925、第二機器人935、第一複數個處理腔室902、904、916、918及第二複數個處理腔室906、908、910、912、914通信。系統控制器990可為能夠控制處理腔室及機器人的任何合適的部件。例如,系統控制器990可為包括中央處理單元、記憶體、合適的電路及儲存裝置的電腦。
製程大體可作為軟體常式儲存在系統控制器990的記憶體中,當處理器執行該軟體常式時,可使處理腔室執行本揭示案的製程。軟體常式亦可由第二處理器(未示出)儲存及/或執行,該第二處理器位於由處理器控制的硬體的遠端。本揭示案的一些或所有方法亦可在硬體中執行。如此,該製程可在軟體中實施,並使用電腦系統在硬體中執行,例如作為特殊應用積體電路或其他類型的硬體實施,或者作為軟體與硬體的組合。當由處理器執行時,軟體常式將通用電腦轉換成控制腔室操作的專用電腦(控制器),從而執行處理。
一些實施例的控制器990具有一或更多種配置,該等配置選自:在複數個處理腔室與計量站之間移動機器人上的基板的配置;從系統裝載及/或卸載基板的配置;在基板表面上形成介面層的配置;沉積高K金屬氧化物層的配置;沉積偶極金屬(例如,鋅(Zn)、釩(V)、鎢(W)、鉬(Mo)、釕(Ru)、鈦(Ti)、鉭(Ta)、鋯(Zr)、鋁(Al)、鈮(Nb)或上述各者混合物)膜的配置;沉積第一封蓋層的配置;沉積犧牲密封層的配置;熱處理基板並將含偶極膜驅入高K金屬氧化物層的配置;移除犧牲密封層的配置;移除任何剩餘的含偶極膜的配置;移除第一封蓋層的配置;沉積第二封蓋層的配置;沉積PMOS功函數材料的配置;及/或沉積閘電極的配置。
在一或更多個實施例中,第一處理腔室910可配置用於熱氧化,以在基板上形成介面層。通過通過室922的機器人931可將基板移入及移出處理腔室910。
處理腔室908亦可連接至移送站931。在一些實施例中,處理腔室908包括用於沉積高K閘極金屬氧化物層的原子層沉積腔室,且與一或更多個反應氣體源流體連通,以向處理腔室908提供反應性氣流。在一些實施例中,處理腔室906包括用於沉積犧牲密封層的原子層沉積腔室,且與一或更多個反應性氣體源流體連通,以向處理腔室906提供反應性氣流。在一些實施例中,處理腔室906包括用於沉積偶極膜的原子層沉積腔室,且與一或更多個反應性氣體源流體連通,以向處理腔室906提供反應性氣流。在一些實施例中,處理腔室908包括用於沉積高K閘極金屬氧化物封蓋層的原子層沉積腔室,且與一或更多個反應性氣體源流體連通,以向處理腔室908提供反應性氣流。機器人931可將基板移入及移出處理腔室908。
在一些實施例中,處理腔室904連接至移送站921,並經配置以熱處理基板。
在一些實施例中,可配置其他處理腔室以執行處理方法的其他部分,包括移除犧牲密封層、移除任何剩餘的偶極膜及移除第一封蓋層;沉積第二高K封蓋層;沉積PMOS功函數材料;沉積閘電極材料。本領域熟習此項技術者將會認識到,工具上的各個處理腔室的數量及佈置可變化,且第4圖所示的實施例僅表示一種可能的配置的表示。
在一些實施例中,處理系統900包括一或更多個計量站。例如,計量站可位於移送站931、921內或任何單獨的處理腔室內。計量站可為系統900內的任何位置,其允許在不將基板暴露於氧化環境的情況下量測凹槽的距離。
在描述本文論述的材料及方法的上下文中(尤其是在以下申請專利範圍的上下文中),術語「一」、「一個」及「該」及類似指示詞的使用應理解為涵蓋單數及複數,除非本文另有說明或與上下文明顯矛盾。除非本文中另有說明,否則本文中數值範圍的敘述僅意欲用作單獨提及落入該範圍內的每個單獨數值的速記方法,且每個單獨數值都併入說明書中,如同其在本文中被單獨敘述一樣。除非本文另有說明或與上下文明顯矛盾,否則本文描述的所有方法都可以任何合適的循序執行。本文提供的任何及所有實例或示例性語言(例如,「諸如」)的使用僅意欲更好地闡明材料及方法,除非另有聲明,否則不對範圍構成限制。說明書中的任何語言都不應被解釋為表示任何未主張專利的元素對於實施所揭示的材料及方法是必不可少的。
本說明書中提及的「一個實施例」、「某些實施例」、「一或更多個實施例」或「一實施例」是指結合實施例描述的特定特徵、結構、材料或特性包含在本揭示案的至少一個實施例中。因此,諸如「在一或更多個實施例中」、「在某些實施例中」、「在一個實施例中」或「在一實施例中」之類的片語在本說明書各處的出現不一定指本揭示案的同一實施例。此外,在一或更多個實施例中,可以任何合適的方式組合特定的特徵、結構、材料或特性。
儘管本文已參照特定實施例對本揭示案進行了描述,但本領域熟習此項技術者應理解,所述實施例僅用於說明本揭示案的原理及應用。對於本領域熟習此項技術者而言顯而易見,在不脫離本揭示案的精神及範疇的情況下,可對本揭示案的方法及設備進行各種修改及變化。因此,本揭示案可包括在所附申請專利範圍及其等同物的範圍內的修改及變化。
100:PMOS金屬閘極堆疊元件 110:基板 115:介面層 120:高K金屬氧化物層 125:偶極膜 128:偶極區域 130:封蓋層 140:金屬閘極功函數層 150:犧牲密封層 160:第二封蓋層 180:閘電極 200:方法 210:操作 215:操作 220:操作 230:操作 240:操作 245:操作 250:操作 260:操作 300:方法 310:操作 315:操作 320:操作 330:操作 340:操作 345:操作 350:操作 360:操作 370:操作 380:操作 390:操作 900:群集工具 902:處理腔室 904:處理腔室 906:處理腔室 908:處理腔室 910:處理腔室 912:處理腔室 914:處理腔室 916:處理腔室 918:處理腔室 920:第一區段 921:中央移送站 922:緩衝室 924:緩衝室 925:機器人 930:第二區段 931:中央移送站 935:第二機器人 950:工廠介面 951:正面 952:機器人 954:裝載腔室 956:卸載腔室 960:裝載閘腔室 962:裝載閘腔室 990:系統控制器
為便於詳細理解本揭示案的上述特徵,可參考實施例對本揭示案進行更詳細的描述(上文已簡要概述),其中一些實施例在附圖中進行了說明。然而,要注意,附圖僅示出了本揭示案的典型實施例,因此不應被認為是對其範疇的限制,因為本揭示案可允許其他等效的實施例。
第1A至1G圖為根據本揭示案的一或更多個實施例的金屬閘極堆疊的橫剖面視圖;
第2圖為根據本揭示案的一或更多個實施例形成偶極區域的方法的流程圖;
第3圖為根據本揭示案的一或更多個實施例的用於形成根據第1圖的金屬閘極堆疊的方法的流程圖;及
第4圖是根據本揭示案的一或更多個實施例的群集工具。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
100:PMOS金屬閘極堆疊元件
110:基板
115:介面層
120:高K金屬氧化物層
128:偶極區域
130:封蓋層
150:犧牲密封層

Claims (20)

  1. 一種形成一金屬閘極堆疊的方法,該方法包括以下步驟: 在一基板的一表面上形成一介面層; 在該介面層上沉積一高K金屬氧化物層; 在該高K金屬氧化物層上形成一偶極膜; 在該基板上沉積一第一封蓋層; 在該第一封蓋層上形成一犧牲密封層; 在至少700℃的一溫度下對該基板進行一熱處理,以將該偶極膜驅至該高K金屬氧化物層中,並形成一偶極區域;及 移除該犧牲密封層。
  2. 如請求項1所述的方法,其中該犧牲密封層包括非晶矽(a-Si)。
  3. 如請求項1所述的方法,其中該犧牲密封層的一厚度在5埃至50埃的一範圍內。
  4. 如請求項1所述的方法,其中該偶極膜包括一偶極金屬的一氮化物、一碳化物、一氧化物、一碳氮化物或其組合。
  5. 如請求項4所述的方法,其中該偶極金屬包括鋅(Zn)、釩(V)、鎢(W)、鉬(Mo)、釕(Ru)、鈦(Ti)、鉭(Ta)、鋯(Zr)、鋁(al)、鈮(Nb)或上述各者的混合物。
  6. 如請求項1所述的方法,進一步包括以下步驟:移除該偶極膜的任何剩餘部分及該第一封蓋層。
  7. 如請求項6所述的方法,進一步包括以下步驟:在該基板上沉積一第二封蓋層。
  8. 如請求項1所述的方法,其中該第一封蓋層包括氮化鈦(TiN)或氮化鈦矽(TiSiN)。
  9. 如請求項1所述的方法,其中該高K金屬氧化物層包括氧化鉿(HfO 2)、氮氧化鉿(HfON)、氧化鋯鉿(HfZrO)、氮氧化鋯鉿(HfZrON)、氧化矽鉿(HfSiO)及氮氧化矽鉿(HfSiON)中的一或更多種。
  10. 如請求項1所述的方法,其中該介面層包括二氧化矽(SiO 2)、矽鍺氧化物(SiGeO x)、鍺氧化物(GeO x)或氮氧化矽(SiON)中的一或更多種。
  11. 如請求項7所述的方法,進一步包括以下步驟:在該基板上沉積一PMOS功函數材料。
  12. 如請求項11所述的方法,進一步包括以下步驟:在該基板上沉積一閘極材料。
  13. 一種從一金屬閘極堆疊清除氧化劑的方法,該方法包括以下步驟: 在該金屬閘極堆疊上形成一犧牲密封層,該金屬閘極堆疊包括在一基板的一表面上的一介面層上的高K金屬氧化物層上的一偶極膜上的一第一封蓋層; 在至少700℃的一溫度下對該金屬閘極堆疊進行一熱處理,以將該偶極膜驅至該高K金屬氧化物層中,並形成一偶極區域;及 移除該犧牲密封層。
  14. 如請求項13所述的方法,其中該犧牲密封層包括非晶矽(a-Si)。
  15. 如請求項13所述的方法,其中該犧牲密封層的一厚度在5埃至50埃的一範圍內。
  16. 如請求項13所述的方法,進一步包括以下步驟:移除該偶極膜的任何剩餘部分及該第一封蓋層。
  17. 如請求項13所述的方法,進一步包括以下步驟:在該基板上沉積一第二封蓋層。
  18. 如請求項17所述的方法,進一步包括以下步驟:在該基板上沉積一PMOS功函數材料。
  19. 如請求項18所述的方法,進一步包括以下步驟:在該基板上沉積一閘極材料。
  20. 如請求項13所述的方法,其中該金屬閘極堆疊的一等效氧化物厚度比其上沒有犧牲密封層的一金屬閘極堆疊的該等效氧化物厚度大不到2埃。
TW111116635A 2021-05-28 2022-05-03 基於非晶矽的清除及密封等效氧化物厚度 TW202301484A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202163194628P 2021-05-28 2021-05-28
US63/194,628 2021-05-28
US17/347,786 US20220254640A1 (en) 2021-02-08 2021-06-15 Amorphous Silicon-Based Scavenging And Sealing EOT
US17/347,786 2021-06-15

Publications (1)

Publication Number Publication Date
TW202301484A true TW202301484A (zh) 2023-01-01

Family

ID=84230273

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111116635A TW202301484A (zh) 2021-05-28 2022-05-03 基於非晶矽的清除及密封等效氧化物厚度

Country Status (5)

Country Link
JP (1) JP2024520404A (zh)
KR (1) KR20240013219A (zh)
CN (1) CN117397039A (zh)
TW (1) TW202301484A (zh)
WO (1) WO2022251562A1 (zh)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7821081B2 (en) * 2008-06-05 2010-10-26 International Business Machines Corporation Method and apparatus for flatband voltage tuning of high-k field effect transistors
CN101964345B (zh) * 2009-07-22 2013-11-13 中国科学院微电子研究所 控制阈值电压特性的CMOSFETs器件结构及其制造方法
US8674457B2 (en) * 2010-08-11 2014-03-18 Globalfoundries Singapore Pte., Ltd. Methods to reduce gate contact resistance for AC reff reduction
US10825736B1 (en) * 2019-07-22 2020-11-03 International Business Machines Corporation Nanosheet with selective dipole diffusion into high-k
US11289579B2 (en) * 2019-09-29 2022-03-29 Applied Materials, Inc. P-type dipole for p-FET

Also Published As

Publication number Publication date
JP2024520404A (ja) 2024-05-24
KR20240013219A (ko) 2024-01-30
WO2022251562A1 (en) 2022-12-01
CN117397039A (zh) 2024-01-12

Similar Documents

Publication Publication Date Title
US8993058B2 (en) Methods and apparatus for forming tantalum silicate layers on germanium or III-V semiconductor devices
US20060153995A1 (en) Method for fabricating a dielectric stack
US20070105398A1 (en) Method of producing insulator thin film, insulator thin film, method of manufacturing semiconductor device, and semiconductor device
WO2008042528A2 (en) Uv-assisted dielectric formation for devices with strained germanium-containing layers
US20220254900A1 (en) Mosfet gate engineerinng with dipole films
US8580034B2 (en) Low-temperature dielectric formation for devices with strained germanium-containing channels
US20220254640A1 (en) Amorphous Silicon-Based Scavenging And Sealing EOT
US8633119B2 (en) Methods for manufacturing high dielectric constant films
JP7455968B2 (ja) Pmos高誘電率金属ゲート
US8633114B2 (en) Methods for manufacturing high dielectric constant films
TW202301484A (zh) 基於非晶矽的清除及密封等效氧化物厚度
US11552177B2 (en) PMOS high-K metal gates
US11997849B2 (en) V-NAND stacks with dipole regions
CN116918070A (zh) 具有偶极膜的mosfet栅极工程
JP7313414B2 (ja) 材料構造を改良するための処理
US20230377879A1 (en) Barrier layer for preventing aluminum diffusion
US20210057215A1 (en) Treatments to enhance material structures
TW202226339A (zh) 增強材料結構的處理