TW201503764A - 用於功率控制模式之腔室匹配 - Google Patents

用於功率控制模式之腔室匹配 Download PDF

Info

Publication number
TW201503764A
TW201503764A TW103108252A TW103108252A TW201503764A TW 201503764 A TW201503764 A TW 201503764A TW 103108252 A TW103108252 A TW 103108252A TW 103108252 A TW103108252 A TW 103108252A TW 201503764 A TW201503764 A TW 201503764A
Authority
TW
Taiwan
Prior art keywords
chamber
plasma chamber
plasma
test
variable
Prior art date
Application number
TW103108252A
Other languages
English (en)
Other versions
TWI609606B (zh
Inventor
Luc Albarede
Original Assignee
Lam Res Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Res Corp filed Critical Lam Res Corp
Publication of TW201503764A publication Critical patent/TW201503764A/zh
Application granted granted Critical
Publication of TWI609606B publication Critical patent/TWI609606B/zh

Links

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H2242/00Auxiliary systems
    • H05H2242/20Power circuits
    • H05H2242/26Matching networks

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Physical Vapour Deposition (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

描述用以執行腔室匹配的系統及方法。用以執行腔室匹配之方法其中一者包括在第一電漿腔室內執行第一測試以測量一變數及在第二電漿腔室內執行第二測試以測量該變數。基於一配方而執行該第一及第二測試。該方法更包括:判定使用該第一測試所測量到的該變數與該第一測試期間所提供的功率之間的第一關係;判定使用該第二測試所測量到的該變數與該第二測試期間所提供的功率之間的第二關係;及基於第一及第二關係而辨識一功率調整以在後續處理操作期間施用至第二電漿腔室。該功率調整導致第二電漿腔室在使用第一電漿腔室所判定之處理條件中執行該處理操作。

Description

用於功率控制模式之腔室匹配
本發明係關於使用功率控制模式之腔室匹配。
電漿腔室被用於多樣的目的。例如,在電漿腔室內產生電漿以蝕刻基板、清潔基板、在基板上沉積層、或在基板上執行其他處理。
各樣的腔室被用以處理基板。同樣地,相同的腔室亦被用以處理基板。當使用不同的腔室時,基板可能不被以實質上一致的方式處理。例如,使用一電漿腔室蝕刻之基板與使用另一電漿腔室蝕刻之另一基板可能被以不同的速率蝕刻。如另一範例,在一電漿腔室中處理之基板上沉積的材料量可能較在另一電漿腔室中沉積在基板上的材料量高相當多。
在此背景下,本揭露內容中描述的實施例產生。
本揭露內容之實施例提供用於使用功率控制模式之腔室匹配的設備、方法、及電腦程式。吾人應了解本發明可以許多方式加以實行,例如處理、設備、系統、裝置、或電腦可讀媒體上的方法。以下描述幾個實施例。
在一些實施例中,描述用以執行腔室至腔室匹配的方法。該方法包括在第一電漿腔室內執行第一測試以測量一變數及在第二電漿腔室內執行第二測試以測量該變數。基於一配方而執行該第一及第二測試。該方法更包括:判定使用該第一測試所測量到的該變數與該第一測試期間所提供的功率之間的第一關係;判定使用該第二測試所測量到的該變數與該第二測試期間所提供的功率之間的第二關係;及基於第一及第二關係而辨識一功率調整以在後續處理操作期間施用至第二電漿腔室。該功率調整導致第二電漿腔室在使用第一電漿腔室所判定之處理條件中執行處理操作。
在各樣的實施例中,描述一種執行腔室內匹配的方法。該方法包括執行第一測試以測量與電漿腔室關聯的一變數。在該第一測試的執行期間內該電漿腔室在第一狀態中。該方法更包括執行第二測試以測量與該電漿腔室關聯的該變數。在第二測試執行的期間內該電漿腔室在第二狀態中。該方法包括:判定使用第一測試所測量到的該變數與第一測試期間所提供的功率之間的第一關係;判定使用第二測試所測量到的變數與第二測試期間所提供的功率之間的第二關係;及基於第一及第二關係而辨識一功率調整以在後續處理操作期間施加至電漿腔室。該功率調整導致該電漿腔室在一處理條件中執行該處理操作。當該電漿腔室在第一狀態中時判定該處理條件。
在幾個實施例中,描述用以執行腔室至腔室匹配之電漿系統。該電漿系統包括:一第一電漿腔室,用以執行第一測試以測量一變數;一射頻(RF)傳輸線,連接至第一電漿腔室用以將RF信號傳遞至第一電漿腔室;及一阻抗匹配電路,連接至該RF傳輸線。該電漿腔室更包括:一RF產生器,連接至該阻抗匹配電路用以供應RF信號;及一第二電漿腔室,用以執行第二測試以測量該變數。基於一配方而執行第一及第二測試。在將第一電漿腔室從RF傳輸線解開並將第二電漿腔室連接至RF傳輸線之後執行第二測試。該電漿系統包括一主機系統,其中該主機系統更包括一處理器。該主機系統連接至RF產生器。該處理器係配置用以:判定使用第一測試所測量到的該變數與第一測試期間所提供的功率之間的第一關係;判定使用第二測試所測量到的該變數與第二測試期間所提供的功率之間的第二關係;及基於第一及第二關係而辨識一功率調整以在後續處理操作期間施用至第二電漿腔室。該功率調整導致該第二電漿腔室在一使用第一電漿腔室所判定之處理條件中執行處理操作。
在一些實施例中,描述用以執行腔室內匹配之電漿系統。該電漿系統包括一電漿腔室,用以執行第一測試以測量一變數。在第一測試的執行期間內該電漿腔室在一第一狀態中。該電漿腔室係用以執行第二測試以測量該變數。同樣地,在第二測試的執行期間內該電漿腔室在第二狀態中。該電漿系統更包括一射頻(RF)傳輸線,連接至該電漿腔室用以將RF信號傳遞至該電漿腔室。該電漿系統更包括:一阻抗匹配電路,連接至該RF傳輸線;一RF產生器,連接至該阻抗匹配電路用以供應RF信號;及一包括一處理器之主機系統,該主機系統連接至該RF產生器。該處理器係配置用以:判定使用第一測試所測量到的該變數與第一測試期間所提供的功率之間的第一關係;判定使用第二測試所測量到的該變數與第二測試期間所提供的功率之間的第二關係;及基於第一及第二關係辨識一功率調整以在後續處理操作期間施加至電漿腔室。該功率調整導致該電漿腔室在一處理條件中執行該處理操作。當該電漿腔室在第一狀態中時判定該處理條件。
在一些實施例中,產生一變數(例如,電壓、電流、等)的函數與功率之間的第一關係。從電漿腔室內的一間隙測量該變數且該功率係供應至電漿腔室之電極的功率或傳輸至該電極的功率。此外,產生變數的函數與功率之間的第二關係。可使用與用以產生第一關係的電漿腔室相同之電漿腔室或使用與用以產生第一關係的電漿腔室不同之另一電漿腔室以產生第二關係。將第二關係與第一關係比較以判定第一與第二關係之間的差異。減少該差異以從第二關係達成第一關係或從第一關係達成第二關係。例如,將與提供至一電漿腔室(用以產生第一關係之電漿腔室)相同的功率量提供至另一電漿腔室(用以產生第二關係之電漿腔室)。如另一範例,更改提供至用以產生第一關係之電漿腔室的功率以達成第二關係。在此範例中,第一及第二關係皆藉由使用相同的電漿腔室而產生。
上述之實施例的一些優點包括藉由使用功率控制模式執行腔室至腔室匹配或腔室內匹配。例如,當更改第一關係以達成第二關係時,操作用以產生第一關係之電漿腔室以達成第二關係。當操作用以產生第一關係之電漿腔室以達成第二關係時,在用以產生第一及第二關係之電漿腔室中的晶圓處理中達成相當大的一致性。
此外,用以產生第一關係之電漿腔室的狀態可隨著時間改變。由於狀態上的改變,用以產生第一關係之電漿腔室可產出在晶圓上可見的不同結果。例如,當在用以產生第一關係之電漿腔室中清潔晶圓時,該清潔處理改變了電漿腔室的狀態。在清潔之後,當用以產生第一關係之電漿腔室被用以清潔另一晶圓,該另一晶圓可能不被清潔至與先前的晶圓相同的程度(例如,水平、深寬比、等)。在處理晶圓時隨著時間的變化造成連接至該電漿腔室的射頻(RF)傳輸線隨著時間經歷不同的RF功率損耗,其中該電漿腔室係用以產生第一關係之電漿腔室且該RF功率係透過該RF傳輸線傳遞之RF功率。在該電漿腔室中用以達成第二關係之第一關係的更改具有減少在晶圓處理上之不一致性的優點,其中該電漿腔室係用以產生第一關係之電漿腔室。當執行該更改時,在損耗上的差距被補償。
從以下配合隨附圖式所做出之詳細描述,將更清楚本發明的其他態樣。
以下實施例描述使用功率控制模式之腔室匹配的系統及方法。顯而易見的,本發明可被實行而無須一些或全部的特定細節。在其他情況下,為了不對本發明造成不必要地混淆,眾所周知的處理操作則沒有被詳述。
圖1為曲線圖102的一實施例之圖式,用以繪示使用功率控制模式之腔室至腔室匹配。在使用功率控制模式之腔室至腔室匹配中,判定使用電漿腔室1測量的變數之函數與和電漿腔室1關聯的功率之間的關係。同樣地,判定使用電漿腔室2測量的變數之函數與和電漿腔室2關聯的功率之間的關係。
變數的範例包括電流、電壓、反射係數、等。變數之函數的範例包括變數的平方、變數的平方根、變數的數學冪次函數、等。
在一些實施例中,電漿腔室2具有與電漿腔室1相同的結構。例如,電漿腔室2包括與電漿腔室1中的對應元件具有相同尺寸之元件。如另一範例,電漿腔室2包括與電漿腔室1中的對應元件為相同類型之元件。進一步說明,變壓耦合電漿(TCP)腔室具有與感應耦合電漿(ICP)腔室的一或更多元件係不同類型之一或更多元件,且TCP及ICP腔室皆具有與電子迴旋共振(ECR)電漿腔室的一或更多元件係不同類型之一或更多元件。
電漿腔室之元件的範例包括上電極、下電極、上電漿排除區域(PEZ)環、下PEZ環、限制環組件、邊緣環、絕緣體層、氣體分配孔、電漿腔室的壁、圍繞上電極的上介電環、圍繞上介電環的上電極延伸部、圍繞下電極的下介電環、圍繞下介電環的下電極延伸部、等。在各樣的實施例中,下電極及下電極延伸部係由金屬製成,例如陽極處理的鋁、鋁的合金、等。同樣地,在一些實施例中,上電極及上電極延伸部係由金屬製成,例如鋁、鋁的合金、等。在幾個實施例中,上電極位於下電極的對面且面對下電極,而上電極延伸部位於上電極的對面且面對上電極。
元件之尺寸的範例包括元件的大小、元件的長度、元件的深度、元件的寬度、元件的表面積、元件佔據的容積、等。
不同類型之元件的範例包括板狀電極、電線圈電極、等。
在各樣的實施例中,電漿腔室2在結構上與電漿腔室1相同但具有與電漿腔室1不同的辨識碼。例如,一組織使用身分碼aaaa辨識電漿腔室1,且該組織使用身分碼bbbb辨識電漿腔室2。
在一些實施例中,電漿腔室2在結構上與電漿腔室1相同且被用以執行與電漿腔室1相同的功能。電漿腔室執行的功能之範例包括物理氣相沉積(PVD)、化學氣相沉積(CVD)、電漿增強CVD (PECVD)、金屬CVD、高密度電漿CVD (HDP-CVD)功能、光阻剝除功能、光阻表面準備、紫外線熱處理(UVTP)、等。
在各樣的實施例中,電漿腔室2在結構上及功能上與電漿腔室1相同但具有與電漿腔室1不同的辨識碼。
調整傳輸至電漿腔室1的功率以達成使用電漿腔室2所產生的關係。在一些實施例中,調整傳輸至電漿腔室2的功率以達成使用電漿腔室1所產生的關係,而不是調整傳輸至電漿腔室1的功率以達成與電漿腔室2關聯的關係。
在幾個實施例中,當電漿腔室1為標準腔室(golden chamber)時,電漿腔室1具有較電漿腔室2更高的良率,調整傳輸至電漿腔室2的功率以達成使用電漿腔室1所產生的關係。同樣地,當電漿腔室2為標準腔室時,電漿腔室2具有較電漿腔室1更高的良率,調整傳輸至電漿腔室1的功率以達成使用電漿腔室2所產生的關係。
在各樣的實施例中,一電漿腔室較另一電漿腔室具有更高的良率時:當使用較高良率電漿腔室蝕刻晶圓時,比使用較低良率電漿腔室達成更高的深寬比;當使用較高良率電漿腔室時,比使用較低良率電漿腔室進行清潔產生更乾淨的晶圓;當較高良率電漿腔室進行蝕刻時,比使用較低良率電漿腔室達成更快的速率;當較高良率電漿腔室清潔晶圓時,比使用較低良率電漿腔室達成更快的速率;當較高良率電漿腔室處理晶圓時,比較低良率電漿腔室具有更高的晶圓處理速率;或其組合。
在曲線圖102中,沿著y-軸繪製變數的函數,並沿著x-軸繪製功率。在一些實施例中,沿著x-軸繪製的功率係傳輸功率,即傳輸至電漿腔室的功率。如一範例,傳輸功率係供應功率與反射功率之間的差。供應功率為射頻(RF)產生器供應至電漿腔室的功率,而反射功率為從電漿腔室往RF產生器反射之功率。在各樣的實施例中,沿著x-軸繪製的功率為供應功率,而不是傳輸功率。
使用電漿腔室1而繪製曲線圖102之實線101。例如,沿著x-軸繪製傳輸至電漿腔室1的功率。此外,在位於RF產生器與電漿腔室1內的晶圓之間的一元件上的一點測量電流,並沿著y-軸繪製電流的平方。如另一範例,不測量電流,而是在該點測量電壓並沿著y-軸繪製電壓的平方。相對於傳輸至電漿腔室1的功率繪製電壓的平方以產生實線101。
在幾個實施例中,使用電漿腔室1執行無電漿測試以得到繪示於實線101中的關係。例如,在電漿腔室1內維持低於一臨界值或高於一臨界值之壓力的量以避免在電漿腔室1內觸發電漿。如另一範例,在無電漿測試期間內,RF產生器產生RF訊號,該RF訊號經由阻抗匹配電路及RF傳輸線提供至電漿腔室的下電極。在此範例中,於電漿腔室內觸發最小量的電漿。
位於RF產生器與晶圓之間的電子元件包括將RF產生器連接至電漿腔室的元件。RF產生器與晶圓之間的元件之範例包括RF纜線、阻抗匹配電路、RF傳輸線、及靜電卡盤(ESC)。RF纜線將RF產生器連接至阻抗匹配電路,該阻抗匹配電路經由RF傳輸線連接至電漿腔室的ESC。
以類似的方式使用電漿腔室2繪製曲線圖102之虛線103,其中曲線圖102之實線101係為電漿腔室1所繪製。例如,沿著x-軸繪製傳輸至電漿腔室2的功率。此外,在位於RF產生器與電漿腔室2內的晶圓之間的一元件上的一點測量電流,並沿著y-軸繪製電流的平方。如另一範例,不測量電流,而是在該點測量電壓並沿著y-軸繪製電壓的平方。相對於傳輸至電漿腔室2的功率繪製電壓的平方以產生虛線103。
在幾個實施例中,使用電漿腔室2執行無電漿測試以得到繪示於虛線103中的關係。例如,在電漿腔室2內維持低於一臨界值或高於一臨界值之壓力的量以避免在電漿腔室2內觸發電漿。
從變數函數1 (VF1) (例如電流值的平方、電壓值的平方、等)水平地延伸一線通過實線101上的點109以與虛線103上的點111相交。從點111垂直地延伸一線至x-軸上的點P2。點P2具有P2的功率值。
不將功率P1提供(例如傳輸、供應、等)至電漿腔室1以達成變數函數1,而是將功率P2提供至電漿腔室1以達成變數函數1。例如,為了達成電漿腔室2的更高良率,將功率P2提供至電漿腔室1以達成變數函數1,而不是將功率P1提供至電漿腔室1以達成該變數函數1。如另一範例,在要將功率P1提供至電漿腔室1以達成該變數函數1的時候,將功率P2提供至電漿腔室1以達成變數函數1及達成電漿腔室2的較高良率。相似地,在一些實施例中,將功率P1提供至電漿腔室2以達成變數函數1,而不是將功率P2提供至電漿腔室2以達成變數函數1。
在一些實施例中,提供的功率係傳輸功率或供應功率。
在各樣的實施例中,不在曲線圖102中水平地及/或垂直地延伸線以判定與變數函數1對應的功率P2,而是計算實線101的斜率1及虛線103的斜率2。將待提供至電漿腔室1以達成變數函數1之功率P1乘以斜率1與斜率2之比率以將功率P2提供至電漿腔室1。例如,當要達成電漿腔室2的藉由虛線103顯示之較高的良率關係時,將一功率值(例如,藉由將功率值P1與斜率1與斜率2之比率相乘所產生的功率值P2)提供至電漿腔室1以達成該變數函數1,而不是將功率P1提供至電漿腔室1以達成該變數函數1。
在一些實施例中,當要達成與電漿腔室1關聯之關係時,例如要達成電漿腔室1的較高之良率並達成變數函數1時,將功率值P2與斜率2與斜率1之比率相乘以產生要提供至電漿腔室2的功率值P1。
在一些實施例中,術語”功率值P1”及”功率P1”係可替換使用的。在各樣的實施例中,術語”功率值P2”及”功率P2”係可交換使用的。
吾人應注意,當變數為電壓時,斜率1及斜率2其中每一者提供電漿系統中測量變數的點之阻抗。另外應該注意,當變數為電流時,斜率1及斜率2其中每一者提供電漿系統中測量變數的點之阻抗的倒數。
圖2為曲線圖104的一實施例之圖式,用以繪示使用功率控制模式之腔室內匹配。在腔室內匹配中,為電漿腔室1的二不同狀態(相同的電漿腔室1)沿著y-軸繪製變數的函數並沿著x-軸繪製提供至電漿腔室1的功率。二不同狀態包括狀態1及狀態2。
當電漿腔室1在狀態1中時繪製實線105。實線105繪示在狀態1期間內傳輸至電漿腔室1的功率與該變數的函數之間的關係。此外,當電漿腔室1在狀態2中時繪製虛線107。虛線107為在狀態2期間內傳輸至電漿腔室1的功率與該變數的函數之間的關係。
在一些實施例中,狀態2係在與狀態1發生的時間不同之時間發生的狀態。例如,狀態2發生在電漿腔室1的一或更多元件的侵蝕之後,而狀態1發生在侵蝕之前。
在幾個實施例中,狀態2係在電漿腔室1的使用之後出現的狀態,而狀態1係在使用前出現的狀態。在使用前電漿腔室1在狀態1中。例如,在使用電漿腔室1執行晶圓的濕式清潔之後,電漿腔室1具有與執行濕式清潔之前不同的狀態,該狀態為狀態2。如另一範例,在使用電漿腔室1蝕刻晶圓之後,電漿腔室1具有與執行蝕刻之前不同的狀態,該狀態為狀態2。如再另一範例,於電漿腔室1內供應一或更多氣體(例如,處理氣體、惰性氣體、其組合、等)之後,電漿腔室1具有與供應氣體前不同的狀態。
當電漿腔室1在狀態1時,將功率提供至電漿腔室1,沿著x-軸繪製功率,在介於連接至電漿腔室1的RF產生器與電漿腔室1中的晶圓之間的一點測量該變數,並沿著y-軸繪製該變數的函數。當電漿腔室1在狀態1中時產生使用實線105繪製之關係。
相似地,當電漿腔室1在狀態2時,藉由以下步驟產生使用虛線107繪製之關係:將功率提供至電漿腔室1;沿著x-軸繪製功率;在介於連接至電漿腔室1的RF產生器與電漿腔室中的晶圓之間的一點測量該變數;計算該變數的函數;及相對於功率繪製該函數。
此外, 以類似於上面在電漿腔室1及2的例子中描述之方式,基於變數函數1而產生功率值P2。例如,對應於變數函數1,從實線105上的點113水平地延伸一線以與虛線107上的點115相交。在點113及115皆達成變數函數1。從點115垂直地延伸一線至x-軸上的點P2,例如功率值P2。
當電漿腔室1在狀態1時將功率值P2提供至電漿腔室1,而不是提供功率值P1以達成變數函數1。
吾人應注意在一些實施例中,電漿腔室1的狀態1導致較電漿腔室1的狀態2期間更高的良率。在各樣的實施例中,電漿腔室1的狀態2導致較電漿腔室1的狀態1期間更高的良率。
在各樣的實施例中,藉由從點115水平地延伸一線至實線105以與實線105在點113相交並從實線105上的點113垂直地延伸至功率值P1而產生功率值P1,而不是藉由從點113水平地延伸一線並進一步藉由從點115垂直地向x-軸延伸一線而產生功率值P2。在狀態2期間內將功率值P1提供至電漿腔室1,而不是提供功率值P2以達成變數函數1。
在數個實施例中,不論電漿腔室1係在狀態1或狀態2中,使用電漿腔室1執行無電漿測試以得到繪示於線105及107中的關係。例如,將電漿腔室1內的壓力維持在低於一臨界值或高於一臨界值的量以避免在電漿腔室1內觸發電漿。
此外,在一些實施例中,計算實線105的斜率1及虛線107的斜率2,而不是使用水平的及/或垂直的延伸。藉由將功率值P1乘以斜率1對斜率2之比率而判定功率值P2。在狀態1期間將功率值P2提供至電漿腔室1,而不是在狀態1期間提供功率值P1。
在各樣的實施例中,不從斜率1與2的比率判定功率值P2,而是藉由將斜率2對斜率1的比率乘以功率值P2而判定功率值P1,並在狀態2期間將功率值P1提供至電漿腔室1。將功率值P1提供至電漿腔室1,而不是在狀態2期間提供功率值P2。
圖3為系統120的一實施例之方塊圖,該系統係用以藉由使用ESC 126中的探針124以產生變數的函數與傳輸至電漿腔室122的功率之間的一或更多關係。電漿腔室122為腔室1的範例。
在一些實施例中,於電漿腔室122的狀態1期間產生關係其中一者,例如使用實線101(圖1)繪示之關係、使用實線105(圖2)繪示之關係、等,而於電漿腔室122的狀態2期間產生關係其中另一者,例如使用虛線107(圖2)繪示之關係、等。
將主機系統128連接至一或更多RF產生器,例如一 x 百萬赫茲(MHz) RF產生器、一 y MHz RF產生器、一 z MHz RF產生器、等。該x MHz產生器可為2 MHz RF產生器,該y MHz RF產生器可為27 MHz RF 產生器,而該z MHz RF產生器可為60 MHz RF 產生器。
主機系統128的範例包括電腦、基於處理器的系統、工作站、膝上型電腦、桌上型電腦、平板、手機、等。
如本文中所使用,處理器可為特定應用積體電路(ASIC)、可程式化邏輯裝置(PLD)、微處理器、中央處理單元(CPU)、等。
在一些實施例中,可使用不同頻率之RF產生器,例如3 MHz RF產生器、4 MHz RF產生器、5 MHz RF產生器、等,而不是2 MHz RF產生器。相似地,可使用不同頻率之RF產生器而不是y及z MHz RF 產生器。
在幾個實施例中,x MHz RF產生器可為27 MHz RF產生器。在這些實施例中,y 及 z MHz RF產生器為2及60 MHz RF 產生器。
在一些實施例中,x MHz RF產生器可為60 MHz RF產生器。在這些實施例中,y 及 z MHz RF產生器為2及27 MHz RF 產生器。
當電漿腔室122在狀態1時,主機系統128將功率值及操作頻率發送至x MHz RF產生器的數位信號處理器(DSP) 130。DSP 130將功率值及該頻率發送至x MHz產生器的驅動器及放大器系統(DAS) 132。
DAS 132接收功率值及頻率並產生具有該功率值及該頻率的RF信號。該RF訊號經由RF纜線134供應至匹配盒136,其中該匹配盒為一阻抗匹配電路。
在一些實施例中,DAS 132將DAS的驅動器所產生之RF信號放大以產生放大的RF訊號,其中該放大的RF訊號經由RF纜線134供應至匹配盒136。
在各樣的實施例中,當藉由x MHz RF產生器產生RF信號以產生實線101(圖1)、實線105(圖2)、虛線103(圖1)、及/或虛線107(圖2)時,y及z MHz RF產生器不產生RF信號。例如,當使用x MHz RF產生器以產生RF信號時,關閉y MHz RF產生器及z MHz RF產生器。
阻抗匹配電路包括電路元件(例如,電感、電容、等)以將連接至該阻抗匹配電路之功率來源的阻抗與連接至該阻抗匹配電路之負載的阻抗匹配。例如,匹配盒136 將來源的阻抗及RF纜線134、137、138其中一或更多者的阻抗與RF傳輸線140及電漿腔室122的阻抗匹配,其中來源包括可操作之x、y、及z MHz RF產生器其中一或更多者。RF纜線134、137及138將匹配盒136連接至x、y、及z MHz RF產生器。功率來源與負載之間的阻抗匹配減少了功率從負載往功率來源反射的機會。
將RF傳輸線140連接至匹配盒136及至電漿腔室122。RF傳輸線140包括一RF纜線142,該RF纜線連接至一RF通道(RF tunnel)144,且該RF通道144連接至一RF帶(RF strap)148。在RF通道144內佈設有一絕緣體150及一RF棒(RF rod)152。該絕緣體150使RF棒152與RF通道144的鞘絕緣。RF棒152經由連接器146連接至RF帶148,該RF帶有時亦被稱為RF匙。該RF帶148連接至ESC 126的下電極。
將一晶圓154,例如半導體晶圓,支撐於ESC 126的上表面156上。在晶圓154上發展積體電路,例如特定應用積體電路(ASIC)、可程式化邏輯裝置(PLD)、等, 並將該積體電路用於各樣的裝置,例如手機、平板、智慧型手機、電腦、膝上型電腦、網路設備、等。上電極158面向ESC 126的下電極。
電漿腔室122具有包圍電漿腔室122內產生之電漿的壁W1、W2、W3、及W4。吾人應注意在一些實施例中,電漿腔室122包括多於四的壁。在幾個實施例中,電漿腔室122的壁可與相鄰的壁垂直。在各樣的實施例中,電漿腔室122的壁可與電漿腔室122之相鄰的壁形成非垂直的角度。
將探針124嵌入在ESC 126內。在幾個實施例中,將探針124連接至ESC 126,而不是將探針124嵌入在ESC 126內。探針124可位於電漿腔室122內。
探針124的範例包括測量電流的電流探針、測量電壓的電壓探針、及測量電壓及電流的電壓及電流探針。探針124連接至主機系統128。例如,探針124連接至主機系統128的類比至數位轉換器,且該類比至數位轉換器連接至主機系統128的處理器。
在一些實施例中,使用二探針,而不是探針124。例如,使用一測量電流的電流探針及一測量電壓的電壓探針,而不是探針124。
x MHz RF產生器產生的RF訊號經由RF纜線134、匹配盒136、RF纜線142、RF棒152、連接器146、及RF帶148而傳遞至ESC 126的下電極。
在一些實施例中,上電極158包括連接至中央氣體供給器(未顯示)的氣體分配孔。該中央氣體供給器從一氣體供應器(未顯示)接收一或更多氣體,例如處理氣體、惰性氣體、其組合、等。處理氣體的範例包括含氧氣體,例如O2 。處理氣體的其他範例包括含氟氣體,例如四氟化碳,六氟化硫(SF6 ),六氟乙烷(C2 F6 )、等。將上電極158接地。
當將x MHz RF產生器產生之RF訊號提供至電漿腔室122時,執行無電漿測試。例如,在狀態1的電漿腔室122中維持一壓力的量以避免在電漿腔室122中觸發電漿。如另一範例,不供應氣體或供應有限的氣體量以將電漿腔室122中的壓力維持在一臨界值以下。在無電漿測試期間,在電漿腔室122中產生最小量的電漿。
在無電漿測試期間,探針124測量一變數並將測量結果發送至主機系統128。主機系統128接收該變數的測量結果且主機系統128的類比至數位轉換器將測量結果從類比形式轉換成數位形式。在一些實施例中,探針124在將測量結果發送至主機系統128之前將測量結果從類比轉換成數位形式。
主機系統128的處理器基於變數的測量結果而產生變數的函數。例如,主機系統128的處理器計算該變數的平方。吾人應注意,一連接至RF纜線134的感測器160(例如,電壓及電流感測器、功率感測器、等)測量供應功率或測量傳輸功率,其中供應功率係x MHz RF產生器供應之功率,而傳輸功率係供應功率與從電漿腔室122經由RF纜線往x MHz RF產生器反射之功率之間的差。感測器160將提供的功率之測量結果經由DSP 130發送至主機系統128的處理器。繼續此範例,處理器判定在一段時間內提供的功率與變數的函數之間的關係,例如繪示在實線101(圖1)中的關係、繪示在實線105(圖2)中的關係、等。
在一些實施例中,供應及反射功率不是皆由感測器160測量,而是感測器160測量反射功率而供應功率係主機系統128發送至DSP 130之功率值。
同樣地,當電漿腔室122的狀態於判定關係之後改變時,執行無電漿測試。例如,在狀態2的電漿腔室122中維持一壓力的量以避免在電漿腔室122中觸發電漿。探針124測量電漿的該變數而感測器160測量供應及反射功率。
在狀態改變後,主機系統128的處理器從探針124接收該變數的測量結果並經由DSP 130接收供應及反射功率的測量結果、從該變數的測量結果計算該變數的函數、從供應及反射功率判定傳輸功率、並判定在一段時間內傳輸功率與變數的函數之間的關係,例如繪示在虛線107(圖2)中的關係、等。
在一些實施例中,在狀態2期間不使用傳輸功率判定關係,而是使用供應功率。例如,判定供應功率與該變數的函數之間的關係。
在晶圓154的後續處理之前或期間,主機系統128的處理器以上面參照圖2所述的方式為電漿腔室122執行腔室內匹配。例如,處理器計算斜率1及斜率2(圖2)、計算斜率2與斜率1之比率、將功率值P1與該比率相乘以計算功率值P2。如另一範例,處理器計算斜率1對斜率2之比率(圖2)並將功率值P2與該比率相乘以計算功率值P1,而不是判定斜率2對斜率1之比率(圖2)。如在另一範例,為了在狀態1期間達成變數函數1,處理器從點113水平地延伸一線以與虛線107在點115(圖2)相交。繼續此範例,處理器從相交的點115延伸一垂直線以與x-軸(圖2)在功率值P2相交以判定狀態1的功率值P2。如另一範例,為了在狀態2期間達成變數函數1,處理器從點115水平地延伸一線以與實線105在點113(圖2)相交。處理器從實線105上相交的點113垂直地延伸一線以與x-軸上的一點(即功率值P1)相交,以判定狀態2的功率值P1。晶圓154的後續處理在得到曲線圖102及/或曲線圖104(圖1及2)之後發生。
處理晶圓154的範例包括清潔晶圓、在晶圓154上沉積材料、蝕刻晶圓154、濺鍍晶圓154、對晶圓154施用電漿、等。
在一些實施例中,在晶圓154的後續處理期間,從主機系統128的儲存裝置辨識(例如,讀取、等)待提供至在一狀態(例如,狀態1、狀態2、等)中的電漿腔室122的一功率值(例如功率值P1、功率值P2、等)。以下進一步描述該儲存裝置。當供應具有該功率值的RF訊號且將處理氣體在後續處理期間內供應至電漿腔室122時,電漿在電漿腔室122內觸發。該電漿係用以處理晶圓154。
在各樣的實施例中,在晶圓154的後續處理期間達成一處理條件。例如,在使用在狀態2中的電漿腔室122之後續處理期間達成功率值P1及變數函數1。如另一範例,在使用在狀態1中的電漿腔室122之後續處理期間達成功率值P2及變數函數1。
在一些沿著x-軸繪製的功率值係傳輸功率值的實施例中,在晶圓154的後續處理期間,當電漿腔室122在狀態1中且與要將與狀態2關連的功率值P2傳輸至電漿腔室122時, 主機系統128的處理器判定一供應功率值以達成傳輸功率值P2且發送該供應功率值以在電漿腔室122的狀態1期間達成傳輸功率值P2,而不是發送供應功率值以達成傳輸功率值P1。例如,處理器將一功率值供應至DSP 130,該DSP將該功率值發送至DAS 132。DAS 132基於該功率值產生RF訊號並將RF訊號供應至電漿腔室122。當RF訊號供應至電漿腔室122時,感測器160測量反射功率並將測量結果發送至主機系統128的處理器。主機系統128的處理器從反射功率的測量結果及RF信號的供應功率計算傳輸功率,並判定傳輸功率是否與功率值P2相等。一旦判定該傳輸功率值與功率值P2相等,主機系統128的處理器繼續將供應功率值發送至DSP 130以達成傳輸功率值P2以繼續供應對應於傳輸功率P2的RF訊號。在另一情況,重複進行改變供應功率值的操作、 測量供應及反射功率、及計算傳輸功率,直到傳輸功率與功率值P2匹配。
相似地,在各樣沿著x-軸繪製的功率值係傳輸功率值的實施例中,在晶圓154的後續處理期間, 當電漿腔室122在狀態2中且與要將與狀態1關連的功率值P1傳輸至電漿腔室122時, 主機系統128的處理器判定一供應功率值以達成傳輸功率值P1且發送該供應功率值以在電漿腔室122的狀態2期間達成傳輸功率值P1,而不是發送供應功率值以達成傳輸功率值P2。
在沿著x-軸繪製的功率值係供應功率值的實施例中,在晶圓154的後續處理期間, 當電漿腔室122在狀態1中且與要將與狀態2關連的功率值P2供應至電漿腔室122時,主機系統128的處理器在電漿腔室122的狀態1期間發送功率值P2,而不是在狀態1期間發送功率值P1以達成變數函數1,且x MHz RF產生器供應具有功率值P2的RF訊號,而不是供應具有功率值P1的RF訊號。
相似地,在一些沿著x-軸繪製的功率值係供應功率值的實施例中,在晶圓154的後續處理期間, 當電漿腔室122在狀態2中且與要將與狀態1關連的功率值P1供應至電漿腔室122時,主機系統128的處理器在電漿腔室122的狀態2期間發送功率值P1,而不是在狀態2期間發送功率值P2以達成變數函數1,且x MHz RF產生器供應具有功率值P1的RF訊號,而不是供應具有功率值P2的RF訊號。
在幾個實施例中,以網路分析器或阻抗掃描器取代探針124,而不是使用與主機系統128連接的探針124。例如,網路分析器包括一連接至網路分析器的RF探針,該網路分析器進一步連接至主機系統128。該RF探針可為小微波天線。網路分析器將電信號供應至RF探針。一旦接收電訊號,RF探針發射微波信號至電漿腔室122內。該微波信號與電漿腔室122內的電漿互相作用並被RF探針偵測。RF探針將偵測的微波信號轉換成電訊號。網路分析器從該電訊號判定反射係數並將反射係數發送至主機系統128。主機系統128的處理器隨著時間紀錄反射係數的改變並產生一曲線圖(例如,曲線圖102(圖1)、曲線圖104(圖2)、等),以判定反射係數與提供至ESC 126之下電極的功率之間的關係。主機系統128的處理器接著使用該關係以判定待提供至下電極的功率以達成一狀態(例如,狀態1、狀態2、等)或執行腔室至腔室匹配。
在幾個實施例中,當使用網路分析器或阻抗掃描器時,於電漿腔室122內執行無電漿測試。
圖4A為電漿系統172的一實施例之方塊圖,該電漿系統係用以藉由使用RF通道176內的探針124產生變數的函數與傳輸至電漿腔室123的功率之間的一或更多關係。電漿系統172以與電漿系統120(圖3)相似的方式運作,除了電漿腔室123不包括在ESC 126內的探針124。作為替代,電漿系統172包括在RF通道176之絕緣體內的探針124。該探針124連接至RF棒152的輸出端。
RF棒152的輸出端經由連接器146連接至RF帶148的輸入端。RF棒152的輸入端連接至RF纜線142的輸出端。RF纜線142的輸入端連接至匹配盒136。RF帶148的輸出端連接至電漿腔室123的ESC 127的下電極。ESC 127在結構及功能上與ESC 126(圖3)相似,除了ESC 127不包括探針124(圖3)。
在一些實施例中,探針124連接至RF棒152且位於RF通道176的外面。
RF纜線142、RF棒152、RF通道176、連接器146、及RF帶148為RF傳輸線178的零件,該RF傳輸線178將匹配盒136連接至ESC 127的下電極。
當x MHz RF產生器將功率供應至電漿腔室123時,探針124測量該變數。功率經由RF纜線134、匹配盒136、及RF傳輸線178供應至電漿腔室123。
在一些實施例中,當處理氣體被供應至電漿腔室123且RF信號被提供至電漿腔室123以在電漿腔室123內觸發電漿時,從電漿腔室123測量該變數。
在幾個實施例中,於無電漿測試期間測量該變數。例如,當RF信號被提供至電漿腔室123、氣體被供應至電漿腔室、及壓力的量被維持在低於一臨界值或高於一臨界值以避免在電漿腔室123內觸發電漿或在電漿腔室123內觸發最小量的電漿時,從電漿腔室123測量該變數。
藉由探針124將變數的測量結果發送至主機系統128。一旦接收變數的量測結果,主機系統128的類比至數位轉換器將測量結果從類比形式轉換至數位形式並將數位的測量結果發送至主機系統128的處理器。主機系統128的處理器將RF傳輸線178其中一或更多部分及/或ESC 127之電腦產生的模型應用於數位形式的測量結果以判定在ESC 127的變數。以下描述該電腦產生的模型。
在一些實施例中,探針124將變數的類比測量結果轉換至數位格式並將該數位測量結果發送至主機系統128的處理器,而不是在主機系統128內執行從類比至數位格式的轉換。
圖4B為主機系統180之實施例的方塊圖,該主機系統係用以使用電腦產生的模型以判定在ESC模型182之變數的值。主機系統180包括一處理器184及一儲存裝置186,例如隨機存取記憶體(RAM)、唯讀記憶體(ROM)、或其組合。在一些實施例中,儲存裝置186係硬碟、快閃記憶體、磁碟陣列、等。主機系統180為主機系統128(圖3)的一範例。儲存裝置186連接至處理器184並儲存纜線模型188、通道模型190、帶模型192、及ESC模型182。
吾人應注意纜線模型188為RF纜線142(圖4A)之電腦產生模型、通道模型190為RF通道176(圖4A)之電腦產生模型、帶模型192為RF帶148(圖4A)之電腦產生模型、及ESC模型182為ESC 127(圖4A)之電腦產生模型。例如,通道模型190具有與RF棒152類似的特性,例如電容、電感、複功率、複電壓及電流、等。如另一範例,通道模型190具有與RF棒152相同的電容、電感、電阻、或其組合。如另再一範例,帶模型192具有與RF帶148(圖4A)相同的電容、電感、電阻、或其組合。如另一範例,ESC模型182具有與ESC 127(圖4A)相同的電容、電感、電阻、或其組合。
處理器184從探針124(圖4A)接收變數的測量結果。處理器184基於從探針124接收的變數測量結果及帶模型192與ESC模型182之特性而判定在ESC模型182之輸出端的變數的值。例如,處理器184接收在RF棒152(圖4A)的輸出端之電流的強度,接收在RF棒152之輸出端的電壓的強度,並接收電壓與電流之間的相位,然後判定該電流、該電壓、及該相位與帶模型192及ESC模型182的電容、電感、及/或電阻所產生的電流及電壓的直和,以判定在ESC模型182之輸出端的電壓及電流。帶模型192及ESC模型182的電容、電感、及/或電阻所產生的電流及電壓包括電壓的強度、電流的強度、及電流的相位。在一些實施例中,電阻不包括相位並且以電流強度及電壓強度加以界定。
處理器184不使用從探針124的變數之測量結果,而是使用在ESC模型182的輸出端之變數的值以計算該變數的函數,以進一步判定該變數的函數與提供至電漿腔室122(圖4A)的功率之關係。基於該關係,處理器184計算功率值P1或功率值P2。
在各樣的實施例中,探針124連接至RF棒152的輸入端,而不是RF棒152的輸出端。在這些實施例中,在這些實施例中,處理器184基於從探針124接收的變數測量結果及通道模型190、帶模型192、與ESC模型182的特性而判定在ESC模型182之輸出端的變數的值。
在各樣的實施例中,探針124連接至 x MHz RF產生器與晶圓154(圖4A)之間的任何一點。例如,探針124連接至RF纜線134(圖4A)。在這些實施例中,產生介於該點與晶圓154之間的電漿系統172(圖4A)之元件的電腦產生模型,然後基於以探針124測量到的變數及該點與晶圓154之間元件的阻抗而判定在ESC 127的電腦產生模型之變數。例如,當探針124連接至RF纜線134上的一點時,產生電漿系統172在RF纜線134的一部分(從該點至匹配盒136之間)的元件之電腦產生模型、匹配盒136的電腦模型、RF纜線142的電腦模型、RF棒152的電腦模型、RF帶148的電腦模型、及ESC 127的電腦模型。在此範例中,基於在該點測量到的變數及RF纜線134(圖4A)的該部分之電腦產生模型、匹配盒136的電腦產生模型、纜線模型188、通道模型190、帶模型192、及ESC模型182的阻抗而判定在ESC模型182的變數。如另一範例,當探針124連接至x MHz RF產生器的輸出端時,產生RF纜線134的電腦模型、匹配盒136的電腦模型、RF傳輸線178的電腦模型、及ESC 127的電腦模型。將x MHz RF產生器的輸出端連接至RF纜線134(圖4A)的輸入端。在此範例中,測量在x MHz RF產生器之輸出端的變數,然後基於測量到的變數及元件(例如RF纜線134、匹配盒136、RF傳輸線178、及ESC 127的電阻、電容、電感、等)的阻抗而判定在晶圓154的變數。
在各樣的實施例中,不使用ESC 模型182判定在ESC 127(圖4A)的變數。例如,當探針124連接至RF纜線134上的一點時,產生電漿系統172在RF纜線134的一部分(從該點至匹配盒136之間)的元件之電腦模型、匹配盒136的電腦模型、RF纜線142的電腦模型、RF棒152的電腦模型、及RF帶148的電腦模型。在此範例中,基於在該點測量到的變數與RF纜線134的該部分的電腦產生模型、匹配盒136的電腦產生模型、纜線模型188、通道模型190、及帶模型192的阻抗而判定在ESC模型182的變數。
圖5為電漿系統200之實施例的方塊圖,用以繪示電漿腔室122(圖3)與電漿腔室202(其為腔室2的範例)之間的腔室間匹配。系統200與系統120(圖3)相似,除了系統200包括電漿腔室202而不是電漿腔室122。例如,電漿腔室202具有與電漿腔室122不同的辨識碼,但電漿腔室202及122皆具有相同的結構及/或功能。如另一範例,以電漿腔室202的一或更多元件替換電漿腔室122的一或更多元件。如再另一範例,以ESC 204替換電漿腔室122的ESC 126(圖3)。在一些實施例中,替換了電漿腔室122的該一或更多元件之電漿腔室202的該一或更多元件具有與電漿腔室122的該一或更多元件相同的結構及/或功能。例如,ESC 204具有與ESC 126相同的尺寸。如另一範例,ESC 204包括與ESC 126相同的元件,例如加熱器、熱絕緣體、陶瓷支撐部、等。如再另一範例,ESC 204具有與ESC 126相同的特性,例如電感、電容、電阻、其組合、等。如另一範例,ESC 204及126皆藉由RF產生器提供功率。如另一範例,電漿腔室202及122皆為相同的類型。進一步說明,TCP腔室係與ICP腔室不同的類型,且TCP及ICP腔室皆係與ECR電漿腔室不同的類型。
在一些實施例中,電漿腔室122為電漿腔室1的範例,而電漿腔室202為電漿腔室2的範例。
在一些實施例中,將電漿腔室122從RF傳輸線140解開以將電漿腔室202連接至RF傳輸線140。將晶圓154放置於ESC 204的上表面206上。上表面206面向上電極158。
在一些實施例中,使用電漿腔室202產生使用虛線103(圖1)繪示之關係。
以類似於將探針124嵌入ESC 126內的方式將探針124嵌入ESC 204內。在幾個實施例中,將探針124連接至ESC 204並設置於ESC204的外面,而不是將探針124嵌入ESC 204內。
在使用電漿腔室202執行無電漿測試的期間,主機系統128判定待供應至電漿腔室202的RF信號內之功率的量及RF信號之頻率。將該功率的量及該頻率經由DSP 130發送至DAS 132。DAS 132產生具有該功率的量及該頻率之RF信號。x MHz RF產生器將該RF信號經由RF纜線134、匹配盒136、及RF傳輸線140供應至ESC 204的下電極。當處理氣體供應至電漿腔室202且功率透過該RF信號供應至ESC 204的下電極時,電漿於電漿腔室202中產生。
如上面所述,在幾個執行無電漿測試的實施例中,當功率透過RF信號供應至ESC 204的下電極且於電漿腔室202中維持一小於臨界值或大於臨界值之壓力的量時,最小量的電漿在電漿腔室中觸發。
在無電漿測試期間,探針124測量與電漿腔室202之電漿關聯的變數並將測量結果發送至主機系統128。主機系統128的處理器從變數的測量結果計算該變數的函數。主機系統128的處理器從該變數的函數及x MHz RF產生器供應至電漿腔室202的功率判定一關係,舉例來說,例如使用曲線圖102(圖1)的虛線103所繪示之關係。
在一些實施例中,主機系統128的處理器判定在無電漿測試期間內傳輸至電漿腔室202的功率與變數的函數之間的關係,而不是判定在無電漿測試期間內所供應2的功率與變數的函數之間的關係。例如,感測器160測量從電漿腔室202向x MHz RF產生器反射的功率。感測器160將反射功率測量結果經由DSP 130發送至主機系統128的處理器。主機系統128的處理器計算供應功率與反射功率之間的差以判定傳輸功率,並進一步判定變數的函數與傳輸功率之間的關係(繪示於曲線圖102的虛線103中)。
當電漿腔室122(圖3)為標準腔室時,主機系統128的處理器對應於變數函數1從虛線103(圖1)上的點111水平地延伸一線以與實線101相交於點109。主機系統128的處理器從相交的點109垂直地向x-軸延伸一線以與x-軸相交於功率值P1。
在晶圓154的後續處理期間,主機系統128的處理器辨識功率值P1並將其經由DSP 130發送至DAS 132以達成變數函數1,而不是將功率值P2經由DSP 130發送至DAS 132以達成變數函數1。例如,主機系統128的處理器從儲存裝置186(圖4B)辨識(例如,讀取、等)與功率值P2關聯的功率值P1。隨著達成變數函數1,功率值P1與P2被互相關聯。一旦接收功率值P1,DAS 132產生具有功率值P1的RF信號並將該RF信號經由RF纜線134、匹配盒136、及RF傳輸線140發送至ESC 204的下電極。從儲存裝置186讀取之功率值P1係用以達成變數函數1,且變數函數1為處理條件的範例。
在一些實施例中,從儲存裝置186讀取之功率值P2係用以達成變數函數1,且變數函數1為處理條件的範例。
當RF信號被提供至ESC 204的下電極且處理氣體被提供至電漿腔室202時,電漿在電漿腔室202內觸發以在晶圓154上執行處理,例如蝕刻、清潔、沉積、等。同樣地,當將具有功率值P1而不是具有功率值P2的RF信號提供至ESC 204的下電極時,將提供至下電極的功率從值P2調整至值P1以達成變數函數1。
在一些實施例中,主機系統128的處理器從使用曲線圖102(圖1)的虛線103繪示之關係判定斜率2。主機系統128的處理器亦從使用實線101(圖1)繪示之關係判定斜率1。當變數為電壓時,主機系統128的處理器計算斜率1對斜率2的比率並將功率值P2乘以斜率1對斜率2的比率以達成功率值P1及達成變數函數1。
在晶圓154的後續處理期間,主機系統128的處理器控制x MHz RF產生器供應功率值P1以達成變數函數1,而不是控制x MHz RF產生器供應功率值P2以達成變數函數1。一旦經由DSP 130從主機系統128的處理器接收產生具有功率值P1之RF訊號的指示,DAS 132產生具有功率值P1的RF信號並將該RF信號經由RF纜線134、匹配盒136、及RF傳輸線140供應至ESC 204的下電極。
在各樣繪示在曲線圖102(圖1)中的關係為變數函數與傳輸功率之間的關係之實施例中,在晶圓154的後續處理期間,主機系統128的處理器判定一供應功率值以達成P1的傳輸功率值並達成變數函數1。處理器將該供應功率值經由DSP 130提供至DAS 132。DAS 132產生具有對應於傳輸功率值P1的該供應功率值之RF信號以達成變數函數1。x MHz RF產生器將該RF信號經由RF纜線134、匹配盒136、及RF傳輸線140供應至電漿腔室202的下電極。
在一些實施例中,將探針124嵌入RF通道144內及/或連接至RF棒152以測量在RF棒152的變數,而不是使用在ESC 204內的探針124。使用RF棒152、RF帶148、及ESC 204的電腦產生模型以判定在ESC 204的變數。基於使用嵌入在RF通道144內之探針124所測量到的變數、及RF棒152、RF帶148、與ESC 204之元件的阻抗以判定在ESC 204的變數。在幾個實施例中,基於RF棒152、及RF帶148的電腦產生模型及基於使用嵌入在RF通道144內之探針124所測量到的變數而判定在ESC 204的變數,而不是使用ESC 204的電腦產生模型。
在一些實施例中,探針124連接至 x MHz RF產生器與晶圓154之間的一點並使用介於該點與電漿系統204之間的元件之電腦產生模型以判定在ESC 204的變數。例如,探針124連接至RF纜線134以判定在RF纜線134的變數。此外,使用匹配盒136、RF傳輸線140、及ESC 204的電腦產生模型以判定在ESC 204的變數。如另一範例,探針124連接至RF纜線134以判定在RF纜線134的變數。此外,使用匹配盒136、及RF傳輸線140的電腦產生模型以判定在ESC 204的變數。
吾人應注意在幾個實施例中,當使用電漿系統200的x MHz RF產生器將功率供應至電漿腔室202以判定使用曲線圖102或104(圖1及2)繪示之關係時,y及z MHz RF產生器被關閉。類似地,當使用電漿系統200的y MHz RF產生器將功率供應至電漿腔室202以判定使用曲線圖102或104繪示之關係時,x及z MHz RF產生器被關閉。同樣地,當使用電漿系統200的z MHz RF產生器將功率供應至電漿腔室202以判定使用曲線圖102或104繪示之關係時,x及y MHz RF產生器被關閉。
圖6為曲線圖210的一實施例之圖式,用以繪示無電漿測試期間電壓與壓力之間的關係。曲線圖210沿著y-軸繪製電壓並沿著x-軸繪製壓力。當壓力低於臨界值th1時,電漿腔室內產生最小量的電漿。在一些實施例中,藉由不供應處理氣體或藉由以極低流率(例如,小於0.2標準狀態立方公分每分鐘(sccm)供應處理氣體以達成低於臨界值th1的壓力。類似地,當壓力高於臨界值th2時,不產生電漿或電漿腔室內產生最小量的電漿。在一些實施例中,藉由以極高壓力(例如,大於或等於7 Torr)、以可達成之流率的最大值、等,來供應氣體以達成高於臨界值th2的壓力。當電漿腔室內不產生電漿或產生最小量之電漿時,使用電漿腔室以執行無電漿測試。
在一些實施例中,主機系統128的處理器控制一氣體供應閥(未顯示),該氣體供應閥促成從氣體貯存器(未顯示)至上電極158(圖3、4A、及5)之氣體入口的氣體供應。例如,處理器控制一驅動器(例如,電晶體、等),該驅動器供應一電流以將該閥開啟或關閉在一個量以控制氣體的供應。該供應的控制使主機系統128的處理器可以控制氣體被供應在裡面的電漿腔室內之壓力。
圖7顯示配方212的一實施例,用以執行腔室至腔室匹配。在一些實施例中,配方212係儲存於主機系統128(圖3、4A、及5)的儲存裝置186(圖4B)中之資料庫的部分。例如,配方212可以檔案的形式儲存於儲存裝置186中。
配方212包括電漿腔室中氣體的壓力、提供至電漿腔室的下電極之RF信號的功率、電漿腔室內維持的溫度、RF信號的頻率、及電漿腔室的下電極與上電極之間的間隙。
在一些實施例中,主機系統128(圖3、4A、及5)的處理器將RF信號的頻率發送至RF產生器。RF產生器的DSP接收該頻率並將該頻率發送至RF產生器的DAS。DAS產生具有該頻率的RF信號並將該RF信號供應至電漿腔室。
在各樣的實施例中,上電極位於一上結構(未顯示)中,該上結構可藉由馬達驅動之螺旋機構(未顯示)而上升或下降。主機系統128的處理器可透過一驅動器控制該馬達驅動之螺旋機構以將該上結構向上或向下移動以改變上電極與下電極之間的間隙。
在幾個實施例中,ESC內包括一加熱器且主機系統128的處理器透過一驅動器控制該加熱器以改變電漿腔室內的溫度。
在一些實施例中,在電漿腔室內設置一熱轉移機構(例如導管、等)且主機系統128的處理器透過閥及驅動器控制冷卻液體的流動以改變電漿腔室內的溫度。
主機系統128的處理器施用配方212以控制電漿腔室內的壓力、提供至電漿腔室的下電極之RF信號的功率、電漿腔室內的溫度、RF信號的頻率、及電漿腔室內的下電極與上電極之間的間隙。
在各樣的實施例中,顯示於配方212中的功率為傳輸功率或供應功率。
如在配方212中指示,將相同的配方212施用於腔室1、腔室2、及腔室3(未顯示)。例如,主機系統128的處理器控制:腔室1、2、及3內的壓力;供應至腔室1、2、及3之RF信號的功率;腔室1、2、及3內的溫度;RF信號的頻率;腔室1、2、及3的下與上電極之間的間隙,以將相同的配方212施用於腔室1、2、及3。如另一範例,施用配方212以產生繪示於實線101中的關係及繪示於虛線103(圖1)中的關係。
在一些實施例中,在對腔室1、2、或3施用配方212期間內,腔室內的壓力、腔室內的溫度、供應至腔室之RF信號的頻率、腔室的下與上電極之間的間隙維持不變,而RF信號的功率從5百萬瓦變化至10百萬瓦、至15百萬瓦、及至20百萬瓦。
在一些實施例中,在腔室1、2、及3內維持之配方212的壓力的量小於臨界值th1或大於臨界值th2。
吾人應注意壓力、功率、溫度、頻率、及間隙的值係示例性的,不應被解釋為限制性的。例如,施用介於0至0.2 Torr範圍的壓力,而不是0.1 Torr。如另一範例,功率從2百萬瓦變化至6百萬瓦、至10百萬瓦、及至14百萬瓦,而不是5、10、15、及20百萬瓦。如再另一範例,施用介於1至50百萬瓦範圍的任何功率的量。如另一範例,施用27 MHz的頻率,而不是28 MHz頻率。如又另一範例,當使用2 MHz RF產生器時,可施用2或3 MHz的頻率。如另一範例,當使用60 MHz RF產生器時,施用介於55 MHz至65 MHz之間的頻率。如另一範例,維持介於1至3公分之間的間隙,而不是2公分。
圖8顯示配方212的一實施例,用以執行腔室內匹配。如在配方212中指示,在腔室1的狀態1、狀態2、及狀態3期間將相同的配方212施用於腔室1。例如,主機系統128的處理器控制:在狀態1及2期間內腔室1內的壓力;在狀態1及2期間內提供至腔室1之RF信號的功率;在狀態1及2期間內腔室1內的溫度;RF信號的頻率;在狀態1及2期間內腔室1的下與上電極之間的間隙,以在腔室1的狀態1、2、及3期間將相同的配方212施用於腔室1。如另一範例,施用配方212以產生繪示於實線105中的關係及繪示於虛線107(圖3)中的關係。
在一些實施例中,在對狀態1、2、及3期間的腔室1施用配方212之期間內,腔室1內的壓力、腔室1內的溫度、供應至腔室1之RF信號的頻率、腔室1的下與上電極之間的間隙維持不變,而RF信號的功率從5百萬瓦變化至10百萬瓦、至15百萬瓦、及至20百萬瓦。
在各樣的實施例中,狀態3在狀態2發生之後發生。在各樣的實施例中,在狀態2期間內於電漿腔室中執行晶圓154(圖3、4A、及5)上的處理(例如,蝕刻、沉積、清潔、氣體的供應、等)之後,電漿腔室達成狀態3。
圖9A為曲線圖220的一實施例之圖式,用以繪示三電漿腔室之間在關係上的差異。如曲線圖220中顯示,曲線圖220中有三線222、224、及226。線222、224、及226其中每一者顯示一在電漿腔室的ESC測量之電流的平方與正向功率(例如,供應功率)之間的關係。線222繪示電漿腔室1的關係、線224繪示電漿腔室2的關係、及線226繪示標準腔室的關係,其中標準腔室可為腔室3。如同吾人注意到的,線222的斜率與線224的斜率不同。同樣地,線224的斜率與線222及226的斜率不同。
標準腔室提供較腔室1及2更高的良率。控制線222及224繪示的關係以達成線226繪示的關係。
圖9B為曲線圖230的一實施例,用以繪示在施用本揭露內容中描述的幾個實施例之後三電漿腔室之間在關係上的相似性。如曲線圖230中顯示,曲線圖230中有三線232、234、及236。線232、234、及236其中每一者顯示在電漿腔室的ESC測量之電流的平方與正向功率(例如,供應功率)之間的一關係。線232繪示電漿腔室1的關係、線234繪示電漿腔室2的關係、及線236繪示標準腔室的關係,其中標準腔室可為腔室3。
除了線236為虛線,線236與線226(圖9A)係相同的。
如顯示,線232、234、及236之斜率係相同的或本質上相同的。
另外注意雖然上述的操作係參照平行板電漿腔室(例如,電容耦合電漿腔室、等)而描述,在一些實施例中,上述的操作適用於其他類型的電漿腔室,例如一包括ICP反應器、TCP反應器、導體工具、介電工具的電漿腔室、一包括ECR反應器的電漿腔室、等。例如,x MHz RF產生器及y MHz RF產生器連接至ICP電漿腔室中的電感。
並注意雖然上述的操作被描述為藉由主機系統128(圖3、4A、及5)的處理器執行。在一些實施例中,可藉由主機系統128的一或更多處理器或藉由多重主機系統的多重處理器執行操作。
應注意雖然上述的實施例係關於將RF信號提供至ESC的下電極並將上電極158(圖3、4A、及5)接地,在幾個實施例中,將RF信號提供至上電極158而將下電極接地。
並注意雖然將上述的實施例描述為使用ESC,可使用另一類型的卡盤,例如磁力卡盤、等。
另外注意在幾個實施例中,上述藉由主機系統128的處理器執行之操作可藉由DSP 130(圖3、4A、及5)執行。
本文中描述之實施例可以各樣的電腦系統結構實行,包括手持硬體單元、微處理器系統、基於微處理器或可程式化之消費電子產品、微電腦、大型電腦、及類似物。本發明亦可在分散式計算環境中實施,其中任務係透過網路連線之遠端處理硬體單元執行。
在了解上面的實施例後,吾人應理解本發明可使用各樣電腦實行的操作,其中操作涉及儲存在電腦系統中的資料。這些操作為需要物理量之物理操縱的操作。本文中描述之任何構成本發明之部分的操作為有用的機械操作。本發明亦關於用以執行這些操作的硬體單元或設備。可特別為特殊用途電腦建構設備。當被定義為特殊用途電腦時,該電腦在仍可執行特殊用途的同時,亦可執行非特殊用途部分之其他處理、程式執行、或例行程序。在一些實施例中,操作可藉由一般用途電腦加以處理,其中該一般用途電腦被一或更多儲存在電腦記憶體、快取記憶體、或透過網路得到的電腦程式選擇性地啟動或配置。當透過網路得到資料時,可以網路上的其他電腦處理該資料,例如,雲端的計算資源。
一或更多實施例亦可被製作為非暫態的電腦可讀媒體上的電腦可讀碼。該非暫態的電腦可讀媒體係可儲存資料的任何資料儲存硬體單元,其中該資料儲存硬體單元之後可被電腦系統讀取。非暫態的電腦可讀媒體的範例包括硬碟、網路附接儲存器(NAS)、ROM、RAM、CD-ROMs、可錄式光碟(CD-Rs)、可覆寫式光碟(CD-RWs)、磁帶、及其他光學與非光學資料儲存硬體單元。非暫態的電腦可讀媒體可包括電腦可讀的有形媒體,其中該媒體係透過連接網路的電腦系統加以散佈,俾使電腦可讀碼以分散的方式被儲存及執行。
雖然以特定順序描述上述方法操作,吾人應理解可在操作之間執行其他庶務操作,或可調整操作使得其在略為不同之時間發生,或可將其分散在系統中,其中只要重疊之操作的處理被以想要的方式執行則該系統允許處理操作發生在與處理有關的不同區間。
可將任何實施例的一或更多特徵與其他實施例的一或更多特徵結合而不超出本揭露內容中描述之各樣實施例所描述的範圍。
雖然為了清楚理解的目的已對前述的實施例進行詳細地描述,顯而易見的,仍可在隨附申請專利範圍的範圍內實行某些改變及修改。因此,本發明之實施例應被認為是說明性的而非限制性的,且本發明之實施例不受限於本文中所提供的細節,而是可在隨附申請專利範圍的範圍及同等物內修改。
101‧‧‧實線
102‧‧‧曲線圖
103‧‧‧虛線
104‧‧‧曲線圖
105‧‧‧實線
107‧‧‧虛線
109‧‧‧點
111‧‧‧點
113‧‧‧點
115‧‧‧點
120‧‧‧電漿系統
122‧‧‧電漿腔室
123‧‧‧電漿腔室
124‧‧‧探針
126‧‧‧靜電卡盤
127‧‧‧靜電卡盤
128‧‧‧主機系統
130‧‧‧數位信號處理器
132‧‧‧驅動器及放大器系統
134‧‧‧RF纜線
136‧‧‧匹配盒
137‧‧‧RF纜線
138‧‧‧RF纜線
140‧‧‧RF傳輸線
142‧‧‧RF纜線
144‧‧‧RF通道
146‧‧‧連接器
148‧‧‧RF帶
150‧‧‧絕緣體
152‧‧‧RF棒
154‧‧‧晶圓
156‧‧‧上表面
158‧‧‧上電極
160‧‧‧感測器
172‧‧‧電漿系統
176‧‧‧RF通道
178‧‧‧RF傳輸線
180‧‧‧主機系統
182‧‧‧ESC模型
184‧‧‧處理器
186‧‧‧儲存裝置
188‧‧‧纜線模型
190‧‧‧通道模型
192‧‧‧帶模型
200‧‧‧電漿系統
202‧‧‧電漿腔室
204‧‧‧靜電卡盤
206‧‧‧上表面
210‧‧‧曲線圖
212‧‧‧配方
220‧‧‧曲線圖
222‧‧‧線
224‧‧‧線
226‧‧‧線
230‧‧‧曲線圖
232‧‧‧線
234‧‧‧線
236‧‧‧線
P1‧‧‧功率值
P2‧‧‧功率值
VF1‧‧‧變數函數1
th1‧‧‧臨界值
th2‧‧‧臨界值
W1‧‧‧壁
W2‧‧‧壁
W3‧‧‧壁
W4‧‧‧壁
參考以下配合隨附圖式所做的詳細描述,將可最好地理解本發明。
根據本揭露內容中描述的一實施例,圖1為一曲線圖之圖式,用以繪示使用功率控制模式之腔室至腔室匹配。
根據本揭露內容中描述的一實施例,圖2為一曲線圖的一實施例之圖式,用以繪示使用功率控制模式之腔室內匹配。
根據本揭露內容中描述的一實施例,圖3為一電漿系統之方塊圖,該電漿系統係用以藉由使用靜電卡盤 (ESC)內的探針而產生變數的函數與傳輸至電漿腔室的功率之間的一或更多關係。
根據本揭露內容中描述的一實施例,圖4A為一電漿系統之方塊圖,該電漿系統係用以藉由使用射頻通道內的探針而產生變數的函數與傳輸至電漿腔室的功率之間的一或更多關係。
根據本揭露內容中描述的一實施例,圖4B為一主機系統之方塊圖,該主機系統係用以使用電腦產生模型以判定在ESC模型之變數的值。
根據本揭露內容中描述的一實施例,圖5為一電漿系統之方塊圖,用以繪示圖3的電漿系統之電漿腔室與另一電漿腔室之間的腔室間匹配。
根據本揭露內容中描述的一實施例,圖6為一曲線圖之圖式,用以繪示無電漿測試期間內電壓與壓力之間的關係。
根據本揭露內容中描述的一實施例,圖7顯示一用以執行腔室至腔室匹配之配方。
根據本揭露內容中描述的一實施例,圖8顯示一用以執行腔室內匹配之配方。
根據本揭露內容中描述的一實施例,圖9A為一曲線圖之圖式,用以繪示三電漿腔室之間在關係上的差異。
圖9B為一曲線圖的一實施例,用以繪示在施用本揭露內容中描述的幾個實施例之後三電漿腔室之間在關係上的相似性。
101‧‧‧實線
102‧‧‧曲線圖
103‧‧‧虛線
109‧‧‧點
111‧‧‧點
P1‧‧‧功率值
P2‧‧‧功率值
VF1‧‧‧變數函數1

Claims (20)

  1. 一種執行腔室至腔室匹配的方法,該方法包含: 在一第一電漿腔室內執行一第一測試以測量一變數; 在一第二電漿腔室內執行一第二測試以測量該變數,其中基於一配方而執行該第一及第二測試; 判定使用該第一測試所測量到的該變數與該第一測試期間所提供的功率之間的一第一關係; 判定使用該第二測試所測量到的該變數與該第二測試期間所提供的功率之間的一第二關係;及 基於該第一及第二關係而辨識一功率調整以在一後續處理操作期間施用至該第二電漿腔室,該功率調整導致該第二電漿腔室在使用該第一電漿腔室所判定的一處理條件中執行該處理操作。
  2. 如申請專利範圍第1項之執行腔室至腔室匹配的方法,其中該變數係電流或電壓。
  3. 如申請專利範圍第1項之執行腔室至腔室匹配的方法,其中在該第一電漿測試期間使用連接至一卡盤的一探針測量該變數,該卡盤位於該第一電漿腔室內。
  4. 如申請專利範圍第1項之執行腔室至腔室匹配的方法,其中在該第二電漿測試期間使用連接至一卡盤的一探針測量該變數,該卡盤位於該第二電漿腔室內。
  5. 如申請專利範圍第1項之執行腔室至腔室匹配的方法,其中在該第一電漿測試期間使用一探針測量該變數,該探針連接至一射頻(RF)產生器與一晶圓之間的一點,該RF產生器經由一RF纜線連接至一阻抗匹配電路,該阻抗匹配電路經由一RF傳輸線連接至該第一電漿腔室,該晶圓放置於該卡盤的一表面上。
  6. 如申請專利範圍第1項之執行腔室至腔室匹配的方法,其中該配方包括:該第一電漿腔室內的壓力;該第一電漿腔室內的溫度;提供至該第一電漿腔室的一RF信號之功率;該RF信號的頻率;及該第一電漿腔室的一上電極與該第一電漿腔室的一下電極之間的間隙。
  7. 如申請專利範圍第1項之執行腔室至腔室匹配的方法,其中該配方包括:該第二電漿腔室內的壓力;該第二電漿腔室內的溫度;提供至該第二電漿腔室的一RF信號之功率;該RF信號的頻率;及該第二電漿腔室的一上電極與該第二電漿腔室的一下電極之間的間隙。
  8. 如申請專利範圍第1項之執行腔室至腔室匹配的方法,其中該第一關係為一直線。
  9. 如申請專利範圍第1項之執行腔室至腔室匹配的方法,其中該第二關係為一直線。
  10. 如申請專利範圍第1項之執行腔室至腔室匹配的方法,其中該功率調整係該第一測試期間內提供之功率與該第二測試期間內提供之功率的差。
  11. 如申請專利範圍第1項之執行腔室至腔室匹配的方法,其中該後續處理操作包括放置於該第二電漿腔室內的一晶圓之蝕刻、沉積、或清潔。
  12. 如申請專利範圍第1項之執行腔室至腔室匹配的方法,其中該處理條件包括該變數的一函數的一值,當在該第一測試期間內將一功率的量提供至該第一電漿腔室時該值在該第一測試期間達成。
  13. 如申請專利範圍第1項之執行腔室至腔室匹配的方法,其中該第一電漿腔室具有較該第二電漿腔室更高的良率。
  14. 如申請專利範圍第1項之執行腔室至腔室匹配的方法,其中該第一及第二測試其中每一者包括一無電漿測試。
  15. 如申請專利範圍第14項之執行腔室至腔室匹配的方法,其中在該無電漿測試期間,控制該第一電漿腔室內壓力的量以避免在該第一電漿腔室內觸發電漿,並控制該第二電漿腔室內壓力的量以避免在該第二電漿腔室內觸發電漿。
  16. 一種執行腔室內匹配的方法,該方法包含: 執行一第一測試以測量與一電漿腔室關聯的一變數,在該第一測試的執行期間內該電漿腔室在一第一狀態中; 執行一第二測試以測量與該電漿腔室關聯的該變數,在該第二測試執行的期間內該電漿腔室在一第二狀態中; 判定使用該第一測試所測量到的該變數與該第一測試期間所提供的功率之間的一第一關係; 判定使用該第二測試所測量到的該變數與該第二測試期間所提供的功率之間的一第二關係;及 基於該第一及第二關係而辨識一功率調整以在一後續處理操作期間施加至該電漿腔室,該功率調整導致該電漿腔室在一處理條件中執行該處理操作,當該電漿腔室在該第一狀態中時判定該處理條件。
  17. 如申請專利範圍第16項之執行腔室內匹配的方法,其中該第二狀態在時間上於該第一狀態的發生之後發生。
  18. 如申請專利範圍第16項之執行腔室內匹配的方法,其中該第二狀態於在一放置在該電漿腔室內的晶圓上執行處理之後發生,該第一狀態於執行該處理之前發生。
  19. 一種執行腔室至腔室匹配的電漿系統,該電漿系統包含: 一第一電漿腔室,用以執行一第一測試以測量一變數; 一射頻(RF)傳輸線,連接至該第一電漿腔室用以將一RF信號傳遞至該第一電漿腔室; 一阻抗匹配電路,連接至該RF傳輸線; 一RF產生器,連接至該阻抗匹配電路用以供應該RF信號; 一第二電漿腔室,用以執行一第二測試以測量該變數,其中基於一配方而執行該第一及第二測試,其中在將該第一電漿腔室從該RF傳輸線解開並將該第二電漿腔室連接至該RF傳輸線之後執行該第二測試 ;及 一包括一處理器之主機系統,該主機系統連接至該RF產生器,該處理器係配置用以: 判定使用該第一測試所測量到的該變數與該第一測試期間所提供的功率之間的一第一關係; 判定使用該第二測試所測量到的該變數與該第二測試期間所提供的功率之間的一第二關係;及 基於該第一及第二關係而辨識一功率調整以在一後續處理操作期間施用至該第二電漿腔室,該功率調整導致該第二電漿腔室在一使用該第一電漿腔室所判定之處理條件中執行該處理操作。
  20. 一種執行腔室內匹配的電漿系統,該電漿系統包含: 一電漿腔室,用以執行一第一測試以測量一變數,在該第一測試的執行期間內該電漿腔室在一第一狀態中, 該電漿腔室係用以執行一第二測試以測量該變數,在該第二測試的執行期間內該電漿腔室在一第二狀態中; 一射頻(RF)傳輸線,連接至該電漿腔室用以將一RF信號傳遞至該電漿腔室; 一阻抗匹配電路,連接至該RF傳輸線; 一RF產生器,連接至該阻抗匹配電路用以供應該RF信號;及 一包括一處理器之主機系統,該主機系統連接至該RF產生器,該處理器係配置用以: 判定使用該第一測試所測量到的該變數與該第一測試期間所提供的功率之間的一第一關係; 判定使用該第二測試所測量到的該變數與該第二測試期間所提供的功率之間的一第二關係;及 基於該第一及第二關係而辨識一功率調整以在一後續處理操作期間施加至該電漿腔室,該功率調整導致該電漿腔室在一處理條件中執行該處理操作,當該電漿腔室在該第一狀態中時判定該處理條件。
TW103108252A 2013-03-14 2014-03-10 用於功率控制模式之腔室匹配 TWI609606B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201361784086P 2013-03-14 2013-03-14
US13/901,509 US9119283B2 (en) 2013-03-14 2013-05-23 Chamber matching for power control mode

Publications (2)

Publication Number Publication Date
TW201503764A true TW201503764A (zh) 2015-01-16
TWI609606B TWI609606B (zh) 2017-12-21

Family

ID=51524564

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103108252A TWI609606B (zh) 2013-03-14 2014-03-10 用於功率控制模式之腔室匹配

Country Status (4)

Country Link
US (1) US9119283B2 (zh)
JP (1) JP6404580B2 (zh)
KR (1) KR102223834B1 (zh)
TW (1) TWI609606B (zh)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9401264B2 (en) * 2013-10-01 2016-07-26 Lam Research Corporation Control of impedance of RF delivery path
US9337000B2 (en) 2013-10-01 2016-05-10 Lam Research Corporation Control of impedance of RF return path
US9412670B2 (en) * 2013-05-23 2016-08-09 Lam Research Corporation System, method and apparatus for RF power compensation in plasma etch chamber
US9508529B2 (en) * 2014-10-23 2016-11-29 Lam Research Corporation System, method and apparatus for RF power compensation in a plasma processing system
US10622217B2 (en) 2016-02-04 2020-04-14 Samsung Electronics Co., Ltd. Method of plasma etching and method of fabricating semiconductor device using the same
US10020168B1 (en) * 2017-07-20 2018-07-10 Lam Research Corporation Systems and methods for increasing efficiency of delivered power of a megahertz radio frequency generator in the presence of a kilohertz radio frequency generator
US10607815B2 (en) * 2018-06-29 2020-03-31 Applied Materials, Inc. Methods and apparatuses for plasma chamber matching and fault identification
CN112017931B (zh) * 2019-05-30 2022-03-22 北京北方华创微电子装备有限公司 应用于等离子体***的方法及相关等离子体***
CN113113282B (zh) * 2021-04-01 2023-11-14 北京北方华创微电子装备有限公司 上电极电源功率调节方法、半导体工艺设备

Family Cites Families (124)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4377961A (en) 1979-09-10 1983-03-29 Bode Harald E W Fundamental frequency extracting system
US4420790A (en) 1982-04-02 1983-12-13 Honeywell Inc. High sensitivity variable capacitance transducer
US4454001A (en) 1982-08-27 1984-06-12 At&T Bell Laboratories Interferometric method and apparatus for measuring etch rate and fabricating devices
US4500563A (en) 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
US5788801A (en) 1992-12-04 1998-08-04 International Business Machines Corporation Real time measurement of etch rate during a chemical etching process
US5474548A (en) 1993-07-14 1995-12-12 Knopp; Carl F. Method of establishing a unique machine independent reference frame for the eye
US5479340A (en) 1993-09-20 1995-12-26 Sematech, Inc. Real time control of plasma etch utilizing multivariate statistical analysis
KR100276736B1 (ko) * 1993-10-20 2001-03-02 히가시 데쓰로 플라즈마 처리장치
US5980767A (en) 1994-02-25 1999-11-09 Tokyo Electron Limited Method and devices for detecting the end point of plasma process
US5556549A (en) 1994-05-02 1996-09-17 Lsi Logic Corporation Power control and delivery in plasma processing equipment
US5989999A (en) * 1994-11-14 1999-11-23 Applied Materials, Inc. Construction of a tantalum nitride film on a semiconductor wafer
US6042686A (en) 1995-06-30 2000-03-28 Lam Research Corporation Power segmented electrode
US5810963A (en) 1995-09-28 1998-09-22 Kabushiki Kaisha Toshiba Plasma processing apparatus and method
US5892198A (en) 1996-03-29 1999-04-06 Lam Research Corporation Method of and apparatus for electronically controlling r.f. energy supplied to a vacuum plasma processor and memory for same
US5812361A (en) 1996-03-29 1998-09-22 Lam Research Corporation Dynamic feedback electrostatic wafer chuck
US6110214A (en) 1996-05-03 2000-08-29 Aspen Technology, Inc. Analyzer for modeling and optimizing maintenance operations
US5764471A (en) 1996-05-08 1998-06-09 Applied Materials, Inc. Method and apparatus for balancing an electrostatic force produced by an electrostatic chuck
US5689215A (en) 1996-05-23 1997-11-18 Lam Research Corporation Method of and apparatus for controlling reactive impedances of a matching network connected between an RF source and an RF plasma processor
US6048435A (en) 1996-07-03 2000-04-11 Tegal Corporation Plasma etch reactor and method for emerging films
US6246972B1 (en) 1996-08-23 2001-06-12 Aspen Technology, Inc. Analyzer for modeling and optimizing maintenance operations
US5737177A (en) 1996-10-17 1998-04-07 Applied Materials, Inc. Apparatus and method for actively controlling the DC potential of a cathode pedestal
US5866985A (en) 1996-12-03 1999-02-02 International Business Machines Corporation Stable matching networks for plasma tools
US5694207A (en) 1996-12-09 1997-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Etch rate monitoring by optical emission spectroscopy
US5889252A (en) 1996-12-19 1999-03-30 Lam Research Corporation Method of and apparatus for independently controlling electric parameters of an impedance matching network
US5894400A (en) 1997-05-29 1999-04-13 Wj Semiconductor Equipment Group, Inc. Method and apparatus for clamping a substrate
US6351683B1 (en) 1997-09-17 2002-02-26 Tokyo Electron Limited System and method for monitoring and controlling gas plasma processes
US6020794A (en) 1998-02-09 2000-02-01 Eni Technologies, Inc. Ratiometric autotuning algorithm for RF plasma generator
US6157867A (en) * 1998-02-27 2000-12-05 Taiwan Semiconductor Manufacturing Company Method and system for on-line monitoring plasma chamber condition by comparing intensity of certain wavelength
US6198616B1 (en) 1998-04-03 2001-03-06 Applied Materials, Inc. Method and apparatus for supplying a chucking voltage to an electrostatic chuck within a semiconductor wafer processing system
EP1098359A4 (en) 1998-06-02 2003-11-19 Nikon Corp SCANNING ALIGNMENT MEMBER, MANUFACTURING METHOD THEREOF, AND DEVICE MANUFACTURING METHOD
US6021672A (en) 1998-09-18 2000-02-08 Windbond Electronics Corp. Simultaneous in-situ optical sensing of pressure and etch rate in plasma etch chamber
JP4408313B2 (ja) 1999-10-29 2010-02-03 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US6528751B1 (en) 2000-03-17 2003-03-04 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma
US6472822B1 (en) 2000-04-28 2002-10-29 Applied Materials, Inc. Pulsed RF power delivery for plasma processing
US7137354B2 (en) 2000-08-11 2006-11-21 Applied Materials, Inc. Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage
US6492774B1 (en) 2000-10-04 2002-12-10 Lam Research Corporation Wafer area pressure control for plasma confinement
US7871676B2 (en) 2000-12-06 2011-01-18 Novellus Systems, Inc. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
JP2002270581A (ja) * 2001-03-07 2002-09-20 Hitachi Ltd プラズマ処理装置及び処理方法
US7019543B2 (en) 2001-03-16 2006-03-28 Tokyo Electron Limited Impedance monitoring system and method
US6522121B2 (en) 2001-03-20 2003-02-18 Eni Technology, Inc. Broadband design of a probe analysis system
IE20010288A1 (en) 2001-03-23 2002-10-02 Scient Systems Res Ltd Endpoint Detection in the Etching of Dielectric Layers
US7096819B2 (en) 2001-03-30 2006-08-29 Lam Research Corporation Inductive plasma processor having coil with plural windings and method of controlling plasma density
US6750711B2 (en) 2001-04-13 2004-06-15 Eni Technology, Inc. RF power amplifier stability
US6669783B2 (en) 2001-06-28 2003-12-30 Lam Research Corporation High temperature electrostatic chuck
US6727655B2 (en) 2001-10-26 2004-04-27 Mcchesney Jon Method and apparatus to monitor electrical states at a workpiece in a semiconductor processing chamber
JP4006982B2 (ja) 2001-11-16 2007-11-14 セイコーエプソン株式会社 プリンタ及びプリンタユニット
KR100557842B1 (ko) 2001-12-10 2006-03-10 동경 엘렉트론 주식회사 고주파 전원 및 그 제어 방법 및 플라즈마 처리 장치
US7480571B2 (en) 2002-03-08 2009-01-20 Lam Research Corporation Apparatus and methods for improving the stability of RF power delivery to a plasma load
AU2003224727A1 (en) 2002-03-28 2003-10-13 Tokyo Electron Limited A system and method for determining the state of a film in a plasma reactor using an electrical property
US7505879B2 (en) 2002-06-05 2009-03-17 Tokyo Electron Limited Method for generating multivariate analysis model expression for processing apparatus, method for executing multivariate analysis of processing apparatus, control device of processing apparatus and control system for processing apparatus
TWI259546B (en) 2002-06-28 2006-08-01 Tokyo Electron Ltd Method and system for predicting process performance using material processing tool and sensor data
US20040028837A1 (en) 2002-06-28 2004-02-12 Tokyo Electron Limited Method and apparatus for plasma processing
US6664166B1 (en) 2002-09-13 2003-12-16 Texas Instruments Incorporated Control of nichorme resistor temperature coefficient using RF plasma sputter etch
US20040060660A1 (en) * 2002-09-26 2004-04-01 Lam Research Inc., A Delaware Corporation Control of plasma density with broadband RF sensor
US6873114B2 (en) * 2002-09-26 2005-03-29 Lam Research Corporation Method for toolmatching and troubleshooting a plasma processing system
TWI391035B (zh) 2002-12-16 2013-03-21 Japan Science & Tech Agency Plasma generation device, plasma control method and substrate manufacturing method (1)
US20040127031A1 (en) 2002-12-31 2004-07-01 Tokyo Electron Limited Method and apparatus for monitoring a plasma in a material processing system
JP2004239211A (ja) 2003-02-07 2004-08-26 Denso Corp 吸気モジュール
US6781317B1 (en) * 2003-02-24 2004-08-24 Applied Science And Technology, Inc. Methods and apparatus for calibration and metrology for an integrated RF generator system
JP2004335594A (ja) 2003-05-02 2004-11-25 Matsushita Electric Ind Co Ltd プラズマ処理装置
US7247218B2 (en) 2003-05-16 2007-07-24 Applied Materials, Inc. Plasma density, energy and etch rate measurements at bias power input and real time feedback control of plasma source and bias power
US6862557B2 (en) 2003-06-12 2005-03-01 Lam Research Corporation System and method for electronically collecting data in a fabrication facility
JP2005011858A (ja) * 2003-06-17 2005-01-13 Renesas Technology Corp プラズマを用いた半導体製造におけるμ波パワー設定方法並びに当該設定方法を適用した半導体装置の製造装置及び上記設定方法を用いた半導体装置の製造方法
US7169625B2 (en) 2003-07-25 2007-01-30 Applied Materials, Inc. Method for automatic determination of semiconductor plasma chamber matching and source of fault by comprehensive plasma monitoring
US7042311B1 (en) 2003-10-10 2006-05-09 Novellus Systems, Inc. RF delivery configuration in a plasma processing system
JP2005130198A (ja) 2003-10-23 2005-05-19 Ulvac Japan Ltd 高周波装置
US7838430B2 (en) 2003-10-28 2010-11-23 Applied Materials, Inc. Plasma control using dual cathode frequency mixing
JP3768999B2 (ja) 2003-10-29 2006-04-19 澄英 池之内 プラズマ処理装置とその制御方法
US6983215B2 (en) 2003-12-02 2006-01-03 Mks Instruments, Inc. RF metrology characterization for field installation and serviceability for the plasma processing industry
US7879185B2 (en) 2003-12-18 2011-02-01 Applied Materials, Inc. Dual frequency RF match
US7157857B2 (en) 2003-12-19 2007-01-02 Advanced Energy Industries, Inc. Stabilizing plasma and generator interactions
US6972524B1 (en) 2004-03-24 2005-12-06 Lam Research Corporation Plasma processing system control
JP2005284046A (ja) 2004-03-30 2005-10-13 Kumamoto Univ パターンずれ量検出方法及び露光装置
US7435926B2 (en) * 2004-03-31 2008-10-14 Lam Research Corporation Methods and array for creating a mathematical model of a plasma processing system
US20050241762A1 (en) 2004-04-30 2005-11-03 Applied Materials, Inc. Alternating asymmetrical plasma generation in a process chamber
KR101144018B1 (ko) 2004-05-28 2012-05-09 램 리써치 코포레이션 복수 rf 주파수에 반응하는 전극을 갖는 플라즈마 처리기
WO2006021251A1 (en) * 2004-08-26 2006-03-02 Scientific Systems Research Limited A method for transferring process control models between plasma procesing chambers
FR2875304B1 (fr) 2004-09-16 2006-12-22 Ecole Polytechnique Etablissem Sonde de mesure de caracteristiques d'un courant d'excitation d'un plasma, et reacteur a plasma associe
US20060065632A1 (en) 2004-09-27 2006-03-30 Chia-Cheng Cheng Methods and apparatus for monitoring a process in a plasma processing system by measuring a plasma frequency
US20060065631A1 (en) 2004-09-27 2006-03-30 Chia-Cheng Cheng Methods and apparatus for monitoring a process in a plasma processing system by measuring impedance
US7323116B2 (en) 2004-09-27 2008-01-29 Lam Research Corporation Methods and apparatus for monitoring a process in a plasma processing system by measuring self-bias voltage
US7666464B2 (en) 2004-10-23 2010-02-23 Applied Materials, Inc. RF measurement feedback control and diagnostics for a plasma immersion ion implantation reactor
US20060100824A1 (en) 2004-10-27 2006-05-11 Tokyo Electron Limited Plasma processing apparatus, abnormal discharge detecting method for the same, program for implementing the method, and storage medium storing the program
US7459100B2 (en) 2004-12-22 2008-12-02 Lam Research Corporation Methods and apparatus for sequentially alternating among plasma processes in order to optimize a substrate
US7364623B2 (en) 2005-01-27 2008-04-29 Lam Research Corporation Confinement ring drive
US20060172536A1 (en) 2005-02-03 2006-08-03 Brown Karl M Apparatus for plasma-enhanced physical vapor deposition of copper with RF source power applied through the workpiece
US7602127B2 (en) 2005-04-18 2009-10-13 Mks Instruments, Inc. Phase and frequency control of a radio frequency generator from an external source
US7359177B2 (en) 2005-05-10 2008-04-15 Applied Materials, Inc. Dual bias frequency plasma reactor with feedback control of E.S.C. voltage using wafer voltage measurement at the bias supply output
US20070021935A1 (en) 2005-07-12 2007-01-25 Larson Dean J Methods for verifying gas flow rates from a gas supply system into a plasma processing chamber
US7375038B2 (en) 2005-09-28 2008-05-20 Applied Materials, Inc. Method for plasma etching a chromium layer through a carbon hard mask suitable for photomask fabrication
US7764140B2 (en) * 2005-10-31 2010-07-27 Mks Instruments, Inc. Radio frequency power delivery system
US20080179948A1 (en) * 2005-10-31 2008-07-31 Mks Instruments, Inc. Radio frequency power delivery system
US7780864B2 (en) 2006-04-24 2010-08-24 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion radial distribution
US7722778B2 (en) 2006-06-28 2010-05-25 Lam Research Corporation Methods and apparatus for sensing unconfinement in a plasma processing chamber
US7732728B2 (en) 2007-01-17 2010-06-08 Lam Research Corporation Apparatuses for adjusting electrode gap in capacitively-coupled RF plasma reactor
JP5246836B2 (ja) * 2007-01-24 2013-07-24 東京エレクトロン株式会社 プラズマ処理装置用のプロセス性能検査方法及び装置
US7858898B2 (en) 2007-01-26 2010-12-28 Lam Research Corporation Bevel etcher with gap control
US7728602B2 (en) 2007-02-16 2010-06-01 Mks Instruments, Inc. Harmonic derived arc detector
US7768269B2 (en) 2007-08-15 2010-08-03 Applied Materials, Inc. Method of multi-location ARC sensing with adaptive threshold comparison
US9074285B2 (en) 2007-12-13 2015-07-07 Lam Research Corporation Systems for detecting unconfined-plasma events
US8264154B2 (en) 2008-05-14 2012-09-11 Applied Materials, Inc. Method and apparatus for pulsed plasma processing using a time resolved tuning scheme for RF power delivery
US7967944B2 (en) 2008-05-29 2011-06-28 Applied Materials, Inc. Method of plasma load impedance tuning by modulation of an unmatched low power RF generator
US8337661B2 (en) 2008-05-29 2012-12-25 Applied Materials, Inc. Plasma reactor with plasma load impedance tuning for engineered transients by synchronized modulation of an unmatched low power RF generator
US8264238B1 (en) 2008-06-13 2012-09-11 Mks Instruments, Inc. Method for calibrating a broadband voltage/current probe
JP2010016124A (ja) * 2008-07-02 2010-01-21 Hitachi High-Technologies Corp プラズマ処理装置およびプラズマ処理方法
US8103492B2 (en) * 2008-09-05 2012-01-24 Tokyo Electron Limited Plasma fluid modeling with transient to stochastic transformation
WO2010033924A2 (en) 2008-09-22 2010-03-25 Applied Materials, Inc. Etch reactor suitable for etching high aspect ratio features
US8040068B2 (en) 2009-02-05 2011-10-18 Mks Instruments, Inc. Radio frequency power control system
WO2010102125A2 (en) 2009-03-05 2010-09-10 Applied Materials, Inc. Inductively coupled plasma reactor having rf phase control and methods of use thereof
US8674606B2 (en) 2009-04-27 2014-03-18 Advanced Energy Industries, Inc. Detecting and preventing instabilities in plasma processes
US8271121B2 (en) 2009-06-30 2012-09-18 Lam Research Corporation Methods and arrangements for in-situ process monitoring and control for plasma processing tools
US8473089B2 (en) * 2009-06-30 2013-06-25 Lam Research Corporation Methods and apparatus for predictive preventive maintenance of processing chambers
US8404598B2 (en) 2009-08-07 2013-03-26 Applied Materials, Inc. Synchronized radio frequency pulsing for plasma etching
US20110097901A1 (en) 2009-10-26 2011-04-28 Applied Materials, Inc. Dual mode inductively coupled plasma reactor with adjustable phase coil assembly
US8901935B2 (en) 2009-11-19 2014-12-02 Lam Research Corporation Methods and apparatus for detecting the confinement state of plasma in a plasma processing system
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
KR101888324B1 (ko) 2009-11-19 2018-09-06 램 리써치 코포레이션 플라즈마 프로세싱 시스템을 제어하는 방법 및 장치
JP2012015307A (ja) * 2010-06-30 2012-01-19 Toshiba Corp 半導体装置の製造方法、電源回路、及びプラズマ処理装置
US9076826B2 (en) 2010-09-24 2015-07-07 Lam Research Corporation Plasma confinement ring assembly for plasma processing chambers
US8779662B2 (en) 2010-10-20 2014-07-15 Comet Technologies Usa, Inc Pulse mode capability for operation of an RF/VHF impedance matching network with 4 quadrant, VRMS/IRMS responding detector circuitry
US8723423B2 (en) * 2011-01-25 2014-05-13 Advanced Energy Industries, Inc. Electrostatic remote plasma source
JP2012216629A (ja) * 2011-03-31 2012-11-08 Tokyo Electron Ltd プラズマ処理装置におけるマイクロ波の実効パワーのずれ量検出方法及びそのプラズマ処理装置
JP5740246B2 (ja) * 2011-08-15 2015-06-24 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US9210790B2 (en) * 2012-08-28 2015-12-08 Advanced Energy Industries, Inc. Systems and methods for calibrating a switched mode ion energy distribution system

Also Published As

Publication number Publication date
JP6404580B2 (ja) 2018-10-10
US9119283B2 (en) 2015-08-25
US20140265851A1 (en) 2014-09-18
KR20140113526A (ko) 2014-09-24
TWI609606B (zh) 2017-12-21
KR102223834B1 (ko) 2021-03-05
JP2014197676A (ja) 2014-10-16

Similar Documents

Publication Publication Date Title
TWI609606B (zh) 用於功率控制模式之腔室匹配
US9984859B2 (en) Impedance matching circuit for operation with a kilohertz RF generator and a megahertz RF generator to control plasma processes
TWI656573B (zh) 利用無晶片乾式清潔之光學放射光譜進行的乾式蝕刻處理特徵控制
JP4455887B2 (ja) 電気的特性を利用して、プラズマ反応炉内の膜の状態を判断するシステムおよび方法
TWI614807B (zh) 電漿處理裝置
JP6512962B2 (ja) プラズマ処理装置
TWI623035B (zh) 用於腔室內及腔室至腔室匹配之蝕刻速率模型化及其使用
TWI603651B (zh) 使用電壓控制模式之腔室匹配
JP2015029093A5 (zh)
JP2017045849A (ja) シーズニング方法およびエッチング方法
TWI844155B (zh) 用於使用無感測器rf阻抗匹配網路處理基板的方法與系統及具有儲存在其上的施行此方法的指令之非暫態電腦可讀取儲存媒體
KR102080109B1 (ko) 기판처리장치의 제어방법
KR20230164552A (ko) 플라즈마 시스 (sheath) 특성을 제어하기 위한 시스템들 및 방법들
WO2023003832A1 (en) Plasma systems and methods for using square-shaped pulse signals
WO2024076357A1 (en) In-situ electric field detection method and apparatus
JP2003332319A (ja) プラズマ処理装置及び処理方法

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees