KR20160116215A - 반도체 소자 - Google Patents

반도체 소자 Download PDF

Info

Publication number
KR20160116215A
KR20160116215A KR1020150043085A KR20150043085A KR20160116215A KR 20160116215 A KR20160116215 A KR 20160116215A KR 1020150043085 A KR1020150043085 A KR 1020150043085A KR 20150043085 A KR20150043085 A KR 20150043085A KR 20160116215 A KR20160116215 A KR 20160116215A
Authority
KR
South Korea
Prior art keywords
source
active patterns
region
drain
regions
Prior art date
Application number
KR1020150043085A
Other languages
English (en)
Other versions
KR102365305B1 (ko
Inventor
윤창섭
구자열
김상길
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020150043085A priority Critical patent/KR102365305B1/ko
Priority to US15/053,262 priority patent/US20160284697A1/en
Priority to CN201610126260.2A priority patent/CN106024868B/zh
Publication of KR20160116215A publication Critical patent/KR20160116215A/ko
Priority to US15/724,874 priority patent/US10283502B2/en
Priority to US16/379,908 priority patent/US10643995B2/en
Priority to US16/829,040 priority patent/US10867997B2/en
Application granted granted Critical
Publication of KR102365305B1 publication Critical patent/KR102365305B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823456MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different shapes, lengths or dimensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/4238Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the surface lay-out
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66234Bipolar junction transistors [BJT]
    • H01L29/66325Bipolar junction transistors [BJT] controlled by field-effect, e.g. insulated gate bipolar transistors [IGBT]
    • H01L29/66333Vertical insulated gate bipolar transistors
    • H01L29/66348Vertical insulated gate bipolar transistors with a recessed gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

본 발명은 반도체 소자에 관한 것으로, 기판으로부터 돌출된 복수의 활성 패턴들, 상기 복수의 활성 패턴들을 가로지르는 게이트 구조체, 상기 게이트 구조체 양측의 상기 복수의 활성 패턴들 상에 각각 배치되는 복수의 소스/드레인 영역들 및 상기 복수의 활성 패턴들을 가로지르며, 상기 복수의 소스/드레인 영역들과 공통적으로 연결되는 소스/드레인 콘택들을 포함하는 반도체 소자가 제공된다.

Description

반도체 소자{SEMICONDUCTOR DEVICE}
본 발명은 반도체 소자에 관한 것으로, 보다 상세하게는 핀 전계 효과 트랜지스터를 포함하는 반도체 소자에 관한 것이다.
반도체 소자는 모스 전계 효과 트랜지스터들(MOS(Metal Oxide Semiconductor) FET)로 구성된 집적회로를 포함한다. 반도체 소자의 크기 및 디자인 룰(Design rule)이 점차 축소됨에 따라, 모스 전계 효과 트랜지스터들의 크기 축소(scale down)도 점점 가속화되고 있다. 모스 전계 효과 트랜지스터들의 크기 축소에 의해 반도체 소자의 동작 특성이 저하될 수 있다. 이에 따라, 반도체 소자의 고집적화에 따른 한계를 극복하면서 보다 우수한 성능을 갖는 반도체 소자를 형성하기 위한 다양한 방법이 연구되고 있다.
본 발명이 이루고자 하는 기술적 과제는 전기적 특성이 최적화되어 신뢰성이 향상된 반도체 소자를 제공하는데 있다.
본 발명이 해결하고자 하는 과제는 이상에서 언급한 과제에 제한되지 않으며, 언급되지 않은 또 다른 과제들은 아래의 기재로부터 당업자에게 명확하게 이해될 수 있을 것이다.
상기 과제를 달성하기 위한 본 발명의 일 실시예에 따른 반도체 소자는 기판으로부터 돌출된 복수의 활성 패턴들; 상기 복수의 활성 패턴들을 가로지르는 게이트 구조체; 상기 게이트 구조체 양측의 상기 복수의 활성 패턴들 상에 각각 배치되는 복수의 소스/드레인 영역들; 및 상기 복수의 활성 패턴들을 가로지르며, 상기 복수의 소스/드레인 영역들과 공통적으로 연결되는 소스/드레인 콘택들을 포함하고, 상기 복수의 소스/드레인 영역들 각각은: 상기 복수의 활성 패턴들의 상면과 접하며, 상기 기판으로부터 멀어짐에 따라 실질적으로 증가하는 폭을 갖는 제1 부분; 및 상기 제1 부분으로부터 연장되고, 상기 기판으로부터 멀어짐에 따라 실질적으로 감소하는 폭을 갖는 제2 부분을 포함하되, 상기 소스/드레인 콘택들의 바닥면은, 상기 제1 및 상기 제2 부분들 사이의 경계보다 낮다.
일 실시예에 따르면, 상기 바닥면은, 상기 복수의 활성 패턴들의 상기 상면보다 높을수 있다.
일 실시예에 따르면, 상기 바닥면은 상기 기판의 상면에 실질적으로 평행한 평탄면일 수 있다.
일 실시예에 따르면, 상기 바닥면은 굴곡지는 곡면을 포함할 수 있다.
일 실시예에 따르면, 상기 복수의 활성 패턴들은 실질적으로 동일한 간격으로 서로 이격될 수 있다.
일 실시예에 따르면, 상기 소스/드레인 영역들 각각은, 상기 복수의 활성 패턴들의 상기 상면보다 낮은 레벨에 위치하고, 상기 복수의 활성 패턴들의 측벽들과 접하는 제3 부분을 더 포함하되, 상기 제3 부분의 최하부는 상기 복수의 활성패턴들의 상기 측벽들과 이격될 수 있다.
일 실시예에 따르면, 상기 복수의 소스/드레인 영역들은 상기 기판과 실질적으로 동일한 격자 상수를 갖거나 상기 기판보다 격자 상수가 낮은 물질을 포함할 수 있다.
일 실시예에 따르면, 상기 복수의 소스/드레인 영역들은 상기 기판보다 격자 상수가 큰 물질을 포함할 수 있다.
일 실시예에 따르면, 상기 기판 상에 배치되고, 상기 복수의 활성 패턴들의 측벽들의 일부를 덮는 소자분리 패턴을 더 포함하되, 상기 소자분리 패턴은: 상기 게이트 구조체 아래의 제1 영역; 및 상기 게이트 구조체의 양측의 제2 영역을 포함하고, 상기 제2 영역은, 그 바닥면의 높이가 상기 제1 영역의 상면보다 낮은 복수의 리세스 영역들을 포함할 수 있다.
일 실시예에 따르면, 상기 복수의 리세스 영역들은 상기 복수의 활성 패턴들 사이의 제1 리세스 영역들, 및 상기 복수의 활성 패턴들 양측의 제2 리세스 영역들을 포함하되, 상기 제1 리세스 영역들의 바닥면들은 상기 제2 리세스 영역들의 바닥면들보다 높을 수 있다.
일 실시예에 따르면, 상기 제1 리세스 영역들의 바닥면들은 실질적으로 서로 동일한 높이를 가질 수 있다.
일 실시예에 따르면, 상기 제1 리세스 영역들은 에어갭을 포함할 수 있다.
일 실시예에 따르면, 상기 소스/드레인 콘택들 중 일부는 상기 에어갭 내로 연장되는 연장부를 포함할 수 있다.
일 실시예에 따르면, 상기 제1 및 제2 리세스 영역들의 내면을 덮으며, 상기 복수의 소스/드레인 영역들의 상부 및 상기 게이트 구조체의 측벽들 상으로 연장되는 콘택 식각 정지막을 더 포함하되, 상기 에어갭은 상기 콘택 식각 정지막에 의해 정의될 수 있다.
일 실시예에 따르면, 상기 게이트 구조체는: 상기 복수의 활성 패턴들을 가로지르는 게이트 전극; 및 상기 복수의 활성 패턴들과 상기 게이트 전극 사이의 게이트 유전 패턴을 포함하되, 상기 게이트 유전 패턴은 제1 서브 게이트 유전 패턴, 및 상기 제1 서브 게이트 유전 패턴보다 유전 상수가 높은 제2 서브 게이트 유전 패턴을 포함할 수 있다.
상기 과제를 달성하기 위한 본 발명의 다른 실시예에 따른 반도체 소자는 서로 다른 제1 영역 및 제2 영역을 포함하는 기판; 상기 제1 영역의 기판으로부터 돌출되고, 서로 동일한 간격으로 이격되는 복수의 제1 활성 패턴들; 상기 제2 영역의 기판으로부터 돌출되고, 서로 다른 간격으로 이격되는 복수의 제2 활성 패턴들; 상기 복수의 제1 활성 패턴들을 가로지르는 제1 게이트 구조체; 상기 복수의 제2 활성 패턴들을 가로지르는 제2 게이트 구조체; 상기 제1 게이트 구조체 일측의 상기 복수의 제1 활성 패턴들 상에 각각 배치되는 복수의 제1 소스/드레인 영역들; 상기 제2 게이트 구조체 일측의 상기 복수의 제2 활성 패턴들 상에 각각 배치되는 복수의 제2 소스/드레인 영역들; 상기 복수의 제1 활성 패턴들을 가로지르며, 상기 복수의 제1 소스/드레인 영역들과 공통으로 연결되는 제1 소스/드레인 콘택; 및 상기 복수의 제2 활성 패턴들을 가로지르며, 상기 복수의 제2 소스/드레인 영역들과 공통으로 연결되는 제2 소스/드레인 콘택을 포함하되, 상기 제1 소스/드레인 콘택의 상면은 상기 제2 소스/드레인 콘택의 상면보다 낮다.
일 실시예에 따르면, 상기 제1 소스/드레인 콘택의 바닥면은 상기 기판의 상면에 실질적으로 평행한 평탄면일 수 있다.
일 실시예에 따르면, 상기 제2 소스/드레인 콘택의 바닥면은 복수의 평탄면들과 복수의 경사면들을 포함할 수 있다.
일 실시예에 따르면, 상기 제1 소스/드레인 콘택의 상기 바닥면은 상기 복수의 평탄면들 중의 최상면보다 낮을 수 있다.
일 실시예에 따르면, 상기 제1 게이트 구조체는: 상기 복수의 제1 활성 패턴들을 가로지르는 제1 게이트 전극; 및 상기 복수의 제1 활성 패턴들과 상기 제1 게이트 전극 사이의 제1 게이트 유전 패턴을 포함하고, 상기 제2 게이트 구조체는: 상기 복수의 제2 활성 패턴들을 가로지르는 제2 게이트 전극; 및 상기 복수의 제2 활성 패턴들과 상기 제2 게이트 전극 사이의 제2 게이트 유전 패턴을 포함하되, 상기 제1 게이트 전극의 상면은 상기 제2 게이트 전극의 상면보다 낮을 수 있다.
일 실시예에 따르면, 상기 제1 게이트 전극의 폭은 상기 제2 게이트 전극의 폭보다 클 수 있다.
일 실시예에 따르면, 상기 제1 게이트 유전 패턴은 제1 서브 게이트 유전 패턴, 및 상기 제1 서브 게이트 유전 패턴보다 유전 상수가 높은 제2 서브 게이트 유전 패턴을 포함할 수 있다.
일 실시예에 따르면, 상기 제2 게이트 유전 패턴은 상기 제2 서브 게이트 유전 패턴과 동일한 물지를 포함할 수 있다.
일 실시예에 따르면, 상기 복수의 제1 소스/드레인 영역들 각각은: 상기 복수의 제1 활성 패턴들의 상면과 접하며, 상기 기판으로부터 멀어짐에 따라 실질적으로 증가하는 폭을 갖는 제1 부분; 및 상기 제1 부분으로부터 연장되고, 상기 기판으로부터 멀어짐에 따라 실질적으로 감소하는 폭을 갖는 제2 부분을 포함하되, 상기 제1 소스/드레인 콘택의 상기 바닥면은 상기 제1 부분 및 상기 제2 부분의 경계보다 낮을 수 있다.
일 실시예에 따르면, 상기 제1 소스 드레인 콘택의 상기 바닥면은, 상기 복수의 제1 활성 패턴들의 상기 상면보다 높을 수 있다.
일 실시예에 따르면, 상기 복수의 제1 소스/드레인 영역들 각각은, 상기 복수의 제1 활성 패턴들의 상기 상면보다 낮은 레벨에 위치하고, 상기 복수의 제1 활성 패턴들의 측벽들과 접하는 제3 부분을 더 포함하되, 상기 제3 부분의 최하부는 상기 복수의 제1 활성패턴들의 상기 측벽들과 이격될 수 있다.
일 실시예에 따르면, 상기 복수의 제1 소스/드레인 영역들은 상기 기판과 실질적으로 동일한 격자 상수를 갖거나 상기 기판보다 격자 상수가 낮은 물질을 포함할 수 있다.
일 실시예에 따르면, 상기 복수의 제1 소스/드레인 영역들은 상기 기판보다 격자 상수가 큰 물질을 포함할 수 있다.
일 실시예에 따르면, 상기 복수의 제2 활성 패턴들은 제1 거리로 서로 이격된 한 쌍의 제1 서브 활성 패턴들, 및 상기 한쌍의 제1 서브 활성 패턴들로부터 상기 제1 거리보다 큰 제2 거리로 이격된 제2 서브 활성 패턴을 포함하고, 상기 복수의 제2 소스/드레인 영역들은, 상기 한 쌍의 제1 서브 활성 패턴들 및 제2 서브 활성 패턴 상에 각각 배치되는 제1 내지 제3 서브 소스/드레인 영역들을 포함하되, 상기 제1 및 제2 서브 소스/드레인 영역들은 상기 제3 서브 소스/드레인 영역과 서로 다른 도전형을 가질 수 있다.
일 실시예에 따르면, 상기 제2 소스/드레인 콘택은, 상기 제2 서브 활성 패턴과 이에 인접한 제1 서브 활성 패턴 사이로 연장되는 연장부를 포함할 수 있다.
본 발명의 실시예들에 따르면, 각 영역의 복수의 소스/드레인 영역들과 공통적으로 연결되는 소스/드레인 콘택들이 다양한 형상으로 구현될 수 있다. 이에 따라, 각 영역에서 요구되는 소스/드레인 콘택 저항을 구현하기 위해 각 소스/드레인 콘택들과 그 아래의 소스/드레인 영역들 사이의 접촉 면적이 원하는 대로 조절될 수 있다. 결과적으로, 전기적 특성이 최적화되어 반도체 소자의 신뢰성이 향상될 수 있다.
도 1은 본 발명의 일 실시예들에 따른 반도체 장치를 설명하기 위한 평면도이다.
도 2a는 도 1의 Ⅰ-Ⅰ', Ⅱ-Ⅱ', A-A' 및 B-B' 에 따른 단면도이고, 도 2b는 도 1의 Ⅲ-Ⅲ' 및 C-C' 에 따른 단면도이고, 도 2c는 도 1의 Ⅳ-Ⅳ' 및 D-D' 에따른 단면도이다.
도 3a 내지 도 3d는 도 2c의 A 부분에 대응하는 확대도들이다.
도 4a 내지 도4c는 도 2c의 B 부분에 대응하는 확대도들이다.
도 5a 내지 도 10a는 본 발명의 일 실시예에 따른 반도체 소자의 제조방법을 설명하기 위한 것으로, 도 1의 Ⅰ-Ⅰ', Ⅱ-Ⅱ', A-A' 및 B-B' 에 따른 단면도들이다.
도 5b 내지 도 10b는 도 1의 Ⅲ-Ⅲ' 및 C-C' 에 따른 단면도들이다.
도 5c 내지 도 10c는 도 1의 Ⅳ-Ⅳ' 및 D-D' 에 따른 단면도들이다.
도 11은 본 발명의 실시예들에 따른 반도체 소자를 포함하는 시모스 에스램 셀(CMOS SRAM cell)의 등가 회로도이다.
도 12는 본 발명의 실시예들에 따른 반도체 소자를 포함하는 전자 시스템의 블록도이다.
도 13은 본 발명의 실시예들에 따른 반도체 소자를 포함하는 전자 장치의 구성을 보여주는 블록도이다.
도 14은 전자 시스템이 모바일 폰에 적용되는 예를 도시한다.
도 15는 전자 시스템이 태블릿 또는 스마트 태블릿에 적용되는 예를 도시한다.
도 16은 전자 시스템이 노트북 컴퓨터에 적용되는 예를 도시한다.
본 발명의 구성 및 효과를 충분히 이해하기 위하여, 첨부한 도면을 참조하여 본 발명의 바람직한 실시예들을 설명한다. 그러나 본 발명은 이하에서 개시되는 실시예들에 한정되는 것이 아니라, 여러가지 형태로 구현될 수 있고 다양한 변경을 가할 수 있다. 단지, 본 실시예들의 설명을 통해 본 발명의 개시가 완전하도록 하며, 본 발명이 속하는 기술 분야의 통상의 지식을 가진 자에게 발명의 범주를 완전하게 알려주기 위하여 제공되는 것이다.
본 명세서에서, 어떤 구성요소가 다른 구성요소 상에 있다고 언급되는 경우에 그것은 다른 구성요소 상에 직접 형성될 수 있거나 또는 그들 사이에 제 3의 구성요소가 개재될 수도 있다는 것을 의미한다. 또한, 도면들에 있어서, 구성요소들의 두께는 기술적 내용의 효과적인 설명을 위해 과장된 것이다. 명세서 전체에 걸쳐서 동일한 참조번호로 표시된 부분은 동일한 구성요소들을 나타낸다.
본 명세서에서 기술하는 실시예들은 본 발명의 이상적인 예시도인 단면도 및/또는 평면도들을 참고하여 설명될 것이다. 도면들에 있어서, 막 및 영역들의 두께는 기술적 내용의 효과적인 설명을 위해 과장된 것이다. 따라서, 도면에서 예시된 영역들은 개략적인 속성을 가지며, 도면에서 예시된 영역들의 모양은 소자의 영역의 특정 형태를 예시하기 위한 것이며 발명의 범주를 제한하기 위한 것이 아니다. 본 명세서의 다양한 실시예들에서 제1, 제2, 제3 등의 용어가 다양한 구성요소들을 기술하기 위해서 사용되었지만, 이들 구성요소들이 이 같은 용어들에 의해서 한정되어서는 안 된다. 이들 용어들은 단지 어느 구성요소를 다른 구성요소와 구별시키기 위해서 사용되었을 뿐이다. 여기에 설명되고 예시되는 실시예들은 그것의 상보적인 실시예들도 포함한다.
본 명세서에서 사용된 용어는 실시예들을 설명하기 위한 것이며 본 발명을 제한하고자 하는 것은 아니다. 본 명세서에서, 단수형은 문구에서 특별히 언급하지 않는 한 복수형도 포함한다. 명세서에서 사용되는 '포함한다(comprises)' 및/또는 '포함하는(comprising)'은 언급된 구성요소는 하나 이상의 다른 구성요소의 존재 또는 추가를 배제하지 않는다.
이하, 첨부한 도면을 참조하여 본 발명의 바람직한 실시예들을 설명함으로써 본 발명을 상세히 설명한다.
도 1은 본 발명의 일 실시예들에 따른 반도체 장치를 설명하기 위한 평면도이다. 도 2a는 도 1의 Ⅰ-Ⅰ', Ⅱ-Ⅱ', A-A' 및 B-B' 에 따른 단면도이고, 도 2b는 도 1의 Ⅲ-Ⅲ' 및 C-C' 에 따른 단면도이고, 도 2c는 도 1의 Ⅳ-Ⅳ' 및 D-D' 에따른 단면도이다. 도 3a 내지 도 3d는 도 2c의 A 부분에 대응하는 확대도들이다. 도 4a 내지 도4c는 도 2c의 B 부분에 대응하는 확대도들이다.
도 1, 도 2a 내지 도 2c, 도 3a, 및 도 4a를 참조하면, 제1 영역(R1) 및 제2 영역(R2)을 포함하는 기판(100)이 제공된다. 기판(100)은 반도체 기판일 수 있다. 일 예로, 기판(100)은 실리콘 기판 또는 게르마늄 기판이거나, SOI(Silicon On Insulator) 기판일 수 있다. 일 실시예에 따르면, 제1 영역(R1)은 반도체 소자의 로직 회로를 구성하는 로직 트랜지스터들이 배치되는 로직 셀 영역의 일부일 수 있다. 일 예로, 제1 영역(R1)은 프로세서 코어 또는 I/O 단자를 구성하는 로직 트랜지스터들이 형성되는 영역일 수 있다. 그러나, 본 발명의 실시예들이 이에 제한되는 것은 아니다. 제2 영역(R2)은 데이터를 저장하기 위한 복수의 메모리 셀들이 형성되는 메모리 셀 영역의 일부일 수 있다. 일 예로, 제2 영역(R2)에는 6개의 트랜지스터들로 구성된 복수의 6T 에스램(SRAM) 셀들을 구성하는 메모리 셀 트랜지스터들이 형성될 수 있다. 그러나, 본 발명의 실시예들이 이에 제한되는 것은 아니다.
각 영역들(R1, R2)은 NMOSFET 영역(NR1, NR2) 및 PMOSFET 영역(PR1, PR2)을 포함할 수 있다. 본 실시예에서, NMOSFET 영역(NR1, NR2)은 하나의 n형 트랜지스터가 배치되는 활성 영역으로 정의될 수 있고, PMOSFET 영역(PR1, PR2)은 하나의 p형 트랜지스터가 배치되는 활성 영역으로 정의될 수 있다. 각 영역들(R1, R2)의 NMOSFET 영역(NR1, NR2) 및 PMOSFET 영역(PR1, PR2)은, 일 예로 제1 방향(D1)을 따라 배열될 수 있다. 그러나, 본 발명의 개념에 이에 제한되는 것은 아니다.
각 영역들(R1, R2) 상에 활성 패턴들이 제공될 수 있다. 구체적으로, 제1 영역(R1)의 각 활성 영역들(NR1, PR1) 상에, 기판(100)으로부터 돌출된 제1 활성 패턴들(AP1)이 배치될 수 있다. 제1 활성 패턴들(AP1)은 제1 방향(D1)으로 배열될 수 있고, 제1 방향(D1)과 교차하는 제2 방향(D2)으로 연장되는 라인 형태를 가질 수 있다. 각 활성 영역들(NR1, PR1)의 제1 활성 패턴들(AP1)은 실질적으로 동일한 간격으로 서로 이격될 수 있다. 일 예로, 각 활성 영역들(NR1, PR1)의 제1 활성 패턴들(AP1)은 제1 거리(d1)만큼 서로 이격될 수 있다. 제1 활성 패턴들(AP1)은 기판(100)의 일부이거나, 기판(100) 상에 형성된 에피층일 수 있다. 제1 영역(R1)의 활성 영역들(NR1, PR1) 상에 3개의 제1 활성 패턴들(AP1)이 배치되는 것으로 도시되었으나, 본 발명의 실시예들이 이에 제한되는 것은 아니다. 도시된 바와 달리, 각 활성 영역들(NR1, PR1) 상에는 서로 동일한 이격 거리를 갖는 제1 활성 패턴들(AP1)이 4개 이상 배치될 수 있다.
제2 영역(R2)의 각 활성 영역들(NR2, PR2) 상에, 기판(100)으로부터 돌출된 제2 활성 패턴(AP2)이 배치될 수 있다. 제2 활성 패턴(AP2)은 제1 방향(D1)으로 배열될 수 있고, 제2 방향(D2)으로 연장되는 라인 형태를 가질 수 있다. 제2 활성 패턴(AP2)은 기판(100)의 일부이거나, 기판(100) 상에 형성된 에피층일 수 있다. 본 발명의 개념에 따르면, 제2 영역(R2)의 NMOSFET 영역(NR2)의 제2 활성 패턴(AP2)은 복수 개로 제공될 수 있다. 일 예로, NMOSFET 영역(NR2) 상에 2개의 제2 활성 패턴들(AP2)이 배치될 수 있다. 그러나, 본 발명의 실시예들이 이에 한정되는 것은 아니다. 도시된 바와 달리, NMOSFET 영역(NR2) 상에는 3개 이상의 제2 활성 패턴들(AP2)이 제공될 수 있다. 이 경우, 3개 이상의 제2 활성 패턴들(AP2)은 실질적으로 동일한 간격으로 서로 이격될 수 있다. 제2 영역(R2)의 PMOSFET 영역(PR2) 상에 하나의 제2 활성 패턴(AP2)이 배치된다. 그러나, 본 발명의 실시예들이 이에 한정되는 것은 아니다. 도시된 바와 달리, PMOSFET 영역(PR2) 상에도 복수의 제2 활성 패턴들(AP2)이 배치될 수 있다. 본 발명의 개념에 따르면, NMOSFET 영역(NR2) 영역의 제2 활성 패턴들(AP2)은 제2 거리(d2)만큼 서로 이격될 수 있고, PMOSFET 영역(PR2)의 제2 활성 패턴(AP2)은 NMOSFET 영역(NR2)의 제1 활성 패턴들(AP1)로부터 제3 거리(d3)만큼 이격될 수 있다. 제3 거리(d3)는 제2 거리(d2)보다 클 수 있다. 제3 거리(d3)는 서로 다른 도전형을 갖는 NMOSFET 영역(NR2) 및 PMOSFET 영역(PR2)을 분리하기 위해 필요한 최소한의 이격 거리일 수 있다. 한편, 제2 거리(d2)는 제1 거리(d1)보다 클 수 있다. 이하 설명의 편의를 위해, NMOSFET 영역(NR2) 상에 한 쌍의 제2 활성 패턴들(AP2)이 배치되고, PMOSFET 영역(PR2) 상에 하나의 제2 활성 패턴(AP2)이 배치되는 경우를 기준으로 설명한다.
기판(100) 상에 소자분리 패턴들이 배치될 수 있다. 소자분리 패턴들은 제1 영역(R1)의 제1 및 제2 소자 분리 패턴들(ST1, ST2)과 제2 영역(R2)의 제3 소자분리 패턴들(ST3)을 포함할 수 있다. 제1 소자분리 패턴들(ST1)은 제1 영역(R1)의 NMOSFET 영역(NR1)과 PMOSFET 영역(PR1)을 분리할 수 있다. 일 예로, NMOSFET 영역(NR1)과 PMOSFET 영역(PR1)은 제1 소자 분리 패턴(ST1)을 사이에 두고 제1 방향(D1)으로 이격될 수 있다. 제1 활성 패턴들(AP1)의 양 측에 제2 방향(D2)으로 연장되는 제2 소자분리 패턴들(ST2)이 배치될 수 있다. 제1 소자분리 패턴들(ST1)과 제2 소자분리 패턴들(ST2)은 실질적으로 연결된 하나의 절연막의 일부일 수 있다. 제1 및 제2 소자분리 패턴들(ST1, ST2)은 후술할 제1 게이트 구조체(GS1) 아래에 위치하는 제1 부분(P1)과, 제1 게이트 구조체(GS1) 양측에 위치하는 제2 부분들(P2)을 포함할 수 있다. 제2 소자분리 패턴들(ST2)의 제2 부분들(P2)은 제1 게이트 구조체(GS1) 아래에 위치하는 제1 활성 패턴들(AP1)의 상부를 노출할 수 있다. 제2 부분들(P2)에 의해 노출된 제1 활성 패턴들(AP1)의 상부는 제1 활성 핀들(AF1)로 정의될 수 있다. 본 발명의 개념에 따르면, 제1 및 제2 소자분리 패턴들(ST1, ST2)의 제2 부분들(P2)의 상부는 리세스 될 수 있다. 즉, 제2 부분들(P2)은 복수의 리세스 영역들을 포함할 수 있다. 예를 들면, 제1 게이트 구조체(GS1)의 일측에 위치하는 경우를 기준으로, 복수의 리세스 영역들은 NMOSFET 영역(NR1)의 제1 활성 패턴들(AP1) 사이의 제1 리세스 영역들(RS1), PMOSFET 영역(PR1)의 제1 활성 패턴들(AP1) 사이의 제2 리세스 영역들(RS2), 및 제1 소자분리 패턴들(ST1)에 인접한 각 활성 영역들(NR1, PR1)의 제1 활성 패턴들(AP1)의 일측에 형성되는 제3 리세스 영역들(RS3)을 포함할 수 있다. 제1 내지 제3 리세스 영역들(RS1~RS3)의 리세스된 깊이는 패턴 밀도에 따라 서로 다를 수 있다. 즉, 제1 활성 패턴들(AP1) 사이의 간격이 좁은 영역은, 그 간격이 넓은 영역보다 얕게 리세스될 수 있다. 일 예로, 제1 리세스 영역들(RS1)의 바닥면들(BS1)은 제3 리세스 영역들(RS3)의 바닥면들(BS3)보다 높을 수 있다. 또한, 제2 리세스 영역들(RS2)의 바닥면들(BS2)은 제3 리세스 영역들(RS3)의 바닥면들(BS3)보다 높을 수 있다. 이에 더해, 제1 리세스 영역들(RS1)의 바닥면들(BS1)은 실질적으로 서로 동일한 높이를 가질 수 있다. 마찬가지로, 제2 리세스 영역들(RS2)의 바닥면들(BS2)은 실질적으로 서로 동일한 높이를 가질 수 있다. 이는 각 활성 영역들(NR1, PR1)의 제1 활성 패턴들(AP1) 사이의 간격이 일정하기 때문일 수 있다. 일 실시예에 따르면, 제2 부분들(P2)은, 제1 게이트 구조체(GS1) 양측에 위치하는 NMOSFET 영역(NR1)의 제1 활성 패턴들(AP1)의 측벽들을 노출할 수 있고, 제1 게이트 구조체(GS1)의 양측에 위치하는 PMOSFET 영역(PR1)의 제1 활성 패턴들(AP1)의 측벽들을 노출하지 않을 수 있다. 그러나, 본 발명의 실시예들이 이에 한정되는 것은 아니다. 제1 및 제2 소자분리 패턴들(ST1, ST2)은 일 예로, 실리콘 산화물을 포함할 수 있다.
제3 소자분리 패턴들(ST3)은 제2 게이트 구조체(GS2) 아래에 위치하는 제3 부분(P3)과, 제2 게이트 구조체(GS2) 양측에 위치하는 제4 부분들(P4)을 포함할 수 있다. 제3 소자분리 패턴들(ST3)의 제4 부분들(P4)은 제2 게이트 구조체(GS2) 아래에 위치하는 제2 활성 패턴들(AP2)의 상부를 노출할 수 있다. 제4 부분들(P4)에 의해 노출된 제2 활성 패턴들(AP2)의 상부는 제2 활성 핀들(AF2)로 정의될 수 있다. 본 발명의 개념에 따르면, 제2 소자분리 패턴들(ST2)의 제4 부분들(P4)의 상부는 리세스 될 수 있다. 즉, 제4 부분들(P4)은 복수의 리세스 영역들을 포함할 수 있다. 예를 들면, 제2 게이트 구조체(GS2)의 일측에 위치하는 경우를 기준으로, 복수의 리세스 영역들은, NMOSFET 영역(NR2) 의 제2 활성 패턴들(AP2) 사이의 제4 리세스 영역(RS4), PMOSFET 영역(PR2)의 제2 활성 패턴(AP2)과 이에 인접한 NMOSFET 영역(NR2)의 제2 활성 패턴(AP2) 사이의 제5 리세스 영역(RS5), 및 3개의 제2 활성 패턴들(AP2)을 기준으로 이들의 양측에 위치하는 제6 리세스 영역들(RS6)을 포함할 수 있다. 제4 내지 제6 리세스 영역들(RS4~RS6)의 리세스된 깊이는 패턴 밀도에 따라 서로 다를 수 있다. 일 예로, 제4 리세스 영역(R4)의 바닥면(BS4)은 제5 및 제6 리세스 영역들(RS5, RS6)의 바닥면들(BS5, BS6)보다 높을 수 있다. 일 실시예에 따르면, 제4 부분들(P4)은, 제2 게이트 구조체(GS2)의 양측에 위치하는 NMOSFET 영역(NR2)의 제2 활성 패턴들(AP2)의 측벽들을 노출할 수 있고, 제2 게이트 구조체(GS2)의 양측의 PMOSFET 영역(PR2)의 제2 활성 패턴(AP2)의 측벽들을 노출하지 않을 수 있다. 그러나, 본 발명의 실시예들이 이에 한정되는 것은 아니다. 제3 소자분리 패턴들(ST3)은 일 예로, 실리콘 산화물을 포함할 수 있다.
제1 영역(R1)의 기판(100) 상에, 제1 활성 패턴들(AP1)을 가로지르는 제1 게이트 구조체(GS1)가 배치될 수 있고, 제2 영역(R2)의 기판(100) 상에 제2 활성 패턴(AP2)을 가로지르는 제2 게이트 구조체(GS2)가 배치될 수 있다. 제1 및 제2 게이트 구조체들(GS1, GS2)은 각각 제1 방향(D1)으로 연장되어 NMOSFET 영역(NR1, NR2) 및 PMOSFET 영역(PR1, PR22)을 가로지를 수 있다. 제1 게이트 구조체(GS1)의 측벽들 상에 제1 게이트 구조체(GS1)를 따라 제1 방향(D1)으로 연장되는 제1 게이트 스페이서(121a)가 배치될 수 있고, 제2 게이트 구조체(GS2)의 측벽들 상에 제2 게이트 구조체(GS2)를 따라 제1 방향(D1)으로 연장되는 제2 게이트 스페이서(121b)가 배치될 수 있다. 제1 및 제2 게이트 스페이서들(121a, 121b)은 질화물(일 예로, 실리콘 질화물)을 포함할 수 있다. 본 실시예에서, 제2 게이트 구조체(GS2)가 각 활성 영역들(NR2, PR2)의 제2 활성 패턴들(AP2)을 가로지르는 것으로 도시되었으나, 본 발명의 실시예들이 이에 한정되는 것은 아니다. 다른 실시예에 따르면, 도시된 바와 달리, 제2 게이트 구조체(GS2)는 NMOSFET 영역(NR2)의 제2 활성 패턴들(AP2)을 가로지르되, PMOSFET 영역(PR2)의 제2 활성 패턴(AP2) 상에는 배치되지 않을 수 있다.
제1 게이트 구조체(GS1)는 제1 활성 핀들(AF1)의 상면 및 측벽들을 덮는 제1 게이트 전극(GE1), 및 제1 게이트 전극(GE1)과 제1 게이트 스페이서(121a) 사이의 제1 게이트 유전 패턴(GD1)을 포함할 수 있다. 제1 게이트 유전 패턴(GD1)은 제1 게이트 전극(GE1)과 제1 활성 핀들(AF1) 사이에도 배치될 수 있고, 제1 활성 핀들(AF1)로부터 수평적으로 연장되어 제1 및 제2 소자분리 패턴들(ST1, ST2)의 제1 부분(P1)의 상면을 덮을 수 있다. 일 실시예에 따르면, 제1 게이트 유전 패턴(GD1)은 제1 게이트 스페이서(121a) 및 제1 활성 핀들(AF1)에 인접한 제1 서브 게이트 유전 패턴(GD1a), 및 제1 게이트 전극(GE1)에 인접한 제2 서브 게이트 유전 패턴(GD1b)을 포함할 수 있다. 제1 및 제2 서브 게이트 유전 패턴들(GD1a, GD1b)은 서로 다른 유전 상수를 갖는 물질을 포함할 수 있다. 즉, 제2 서브 게이트 유전 패턴(GD1b)은 제1 서브 게이트 유전 패턴(GD1a)보다 유전 상수가 높은 물질을 포함할 수 있다. 일 예로, 제1 서브 게이트 유전 패턴(GD1a)은, 실리콘 산화물 또는 실리콘 산화질화물을 포함할 수 있고, 제2 서브 게이트 유전 패턴(GD1b)은 실리콘 산화물보다 유전 상수가 높은 고유전막들 중 적어도 하나를 포함할 수 있다. 고유전막들은 일 예로, 하프늄 산화물, 하프늄 실리케이트, 지르코늄 산화물, 또는 지르코늄 실리케이트를 포함할 수 있으나, 이러한 물질들에 한정되는 것은 아니다. 제1 게이트 전극(GE1)은 도전성 금속 질화물(일 예로, 티타늄 질화물 또는 탄탈륨 질화물 등) 및 금속(일 예로, 알루미늄, 텅스텐 등) 중 적어도 하나를 포함할 수 있다. 이하에서, 제1 게이트 구조체(GS1) 아래의 NMOSFET영역(NR1)의 제1 활성 핀들(AF1)은 제1 채널 영역들(CH1)로 지칭될 수 있고, 제1 게이트 구조체(GS1) 아래의 PMOSFET 영역(PR1)의 제1 활성 핀들(AF1)은 제2 채널 영역들(CH2)로 지칭될 수 있다.
제2 게이트 구조체(GS2)는 제2 활성 핀들(AF2)의 상면 및 측벽들을 덮는 제2 게이트 전극(GE2), 및 제2 게이트 전극(GE2)과 제2 게이트 스페이서(121b) 사이의 제2 게이트 유전 패턴(GD2)을 포함할 수 있다. 제2 게이트 유전 패턴(GD2)은 제2 게이트 전극(GE2)과 제2 활성 핀들(AF2) 사이에도 배치될 수 있고, 제2 활성 핀들(AF2)로부터 수평적으로 연장되어 제3 소자분리 패턴들(ST3)의 제3 부분(P3)의 상면을 덮을 수 있다. 제2 게이트 전극(GE2)은 제1 게이트 전극(GE1)과 실질적으로 동일한 물질을 포함할 수 있다. 즉, 제2 게이트 전극(GE2)은 도전성 금속 질화물(일 예로, 티타늄 질화물 또는 탄탈륨 질화물 등) 및 금속(일 예로, 알루미늄, 텅스텐 등) 중 적어도 하나를 포함할 수 있다. 제2 게이트 유전 패턴(GD2)은 제2 서브 게이트 유전 패턴(GD1b)과 실질적으로 동일한 물질을 포함할 수 있다. 즉, 제2 게이트 유전 패턴(GD2)은 실리콘 산화물보다 유전 상수가 높은 고유전막들 중 적어도 하나를 포함할 수 있다. 이하에서, 제2 게이트 구조체(GS2) 아래의 NMOSFET 영역(NR2)의 제2 활성 핀들(AF2)은 제3 채널 영역들(CH3)로 지칭될 수 있고, 제2 게이트 구조체(GS2) 아래의 PMOSFET 영역(PR2)의 제2 활성 핀(AF2)은 제4 채널 영역(CH4)으로 지칭될 수 있다.
본 발명의 개념에 따르면, 제1 게이트 전극(GE1)의 제3 폭(W3)은 제2 게이트 전극(GE2)의 제4 폭(W4)보다 클 수 있다. 일 실시에에 따르면, 제3 폭(W3)은 제4 폭(W4)보다 약 10배 이상일 수 있다. 일 예로, 제3 폭(W3)은 약 200nm일 수 있고, 제4 폭(W4)은 20nm 이하일 수 있다. 이에 더해, 제1 게이트 전극(GE1)의 상면(GES1)은 제2 게이트 전극(GE2)의 상면(GES2)보다 낮을 수 있다.
제1 및 제2 게이트 구조체들(GS1, GS2) 각각의 양 측에 소스/드레인 영역들이 배치될 수 있다. 상세하게, 제1 게이트 구조체(GS1) 양 측의 소스/드레인 영역들은, NMOSFET 영역(NR1)의 제1 활성 패턴들(AP1) 상에 배치되는 제1 소스/드레인 영역들(SD1), 및 PMOSFET 영역(PR1)의 제1 활성 패턴들(AP1) 상에 배치되는 제2 소스/드레인 영역들(SD2)을 포함할 수 있다. 제1 소스/드레인 영역들(SD1)은 n형의 도전형을 가질 수 있고, 제2 소스/드레인 영역들(SD2)은 p형의 도전형을 가질 수 있다. 일 실시예에 따르면, 제1 및 제2 소스/드레인 영역들(SD1, SD2)은 각각 그 아래의 활성 패턴들(AP1)을 씨드층으로 하여 형성된 에피택시얼 패턴들일 수 있다. 이 경우, 제1 소스/드레인 영역들(SD1)은 제1 채널 영역들(CH1)에 인장성 스트레인을 제공하는 물질을 포함할 수 있고, 제2 소스/드레인 영역들(SD2)은 제2 채널 영역들(CH2)에 압축성 스트레인을 제공하는 물질을 포함할 수 있다. 일 예로, 기판(100)이 실리콘 기판인 경우, 제1 소스/드레인 영역들(SD1)은 Si보다 격자 상수가 작은 SiC층, 또는 기판(100)과 실질적으로 동일한 격자 상수를 갖는 Si층을 포함할 수 있다. 그리고, 제2 소스/드레인 영역(SD2)은 Si보다 격자 상수가 큰 SiGe층을 포함할 수 있다. 제1 활성 핀들(AF1) 각각은 수평적 위치에 있어서, 인접한 제1 소스/드레인 영역들(SD1) 사이 및 인접한 제2 소스/드레인 영역들(SD2) 사이에 위치할 수 있다.
제2 게이트 구조체(GS2) 양 측의 소스/드레인 영역들은, NMOSFET 영역(NR2)의 제2 활성 패턴들(AP2) 상에 배치되는 제3 소스/드레인 영역들(SD3), 및 PMOSFET 영역(PR2)의 제2 활성 패턴(AP2) 상에 배치되는 제4 소스/드레인 영역들(SD4)을 포함할 수 있다. 제3 소스/드레인 영역들(SD3)은 n형의 도전형을 가질 수 있고, 제4 소스/드레인 영역들(SD4)은 p형의 도전형을 가질 수 있다. 일 실시예에 따르면, 제1 및 제2 소스/드레인 영역들(SD1, SD2)은 각각 그 아래의 활성 패턴들(AP2)을 씨드층으로 하여 형성된 에피택시얼 패턴들일 수 있다. 이 경우, 제3 소스/드레인 영역들(SD3)은 제3 채널 영역들(CH3)에 인장성 스트레인을 제공하는 물질을 포함할 수 있고, 제4 소스/드레인 영역들(SD4)은 제4 채널 영역(CH4)에 압축성 스트레인을 제공하는 물질을 포함할 수 있다. 즉, 제3 및 제4 소스/드레인 영역들(SD3, SD4)은 각각 상술한 제1 및 제2 소스/드레인 영역들(SD1, SD2)과 동일한 물질을 포함할 수 있다. 제2 활성 핀들(AF2) 각각은 수평적 위치에 있어서, 인접한 제3 소스/드레인 영역들(SD3) 사이 및 인접한 제2 소스/드레인 영역들(SD4) 사이에 위치할 수 있다.
일 단면의 관점에서, 제1 소스/드레인 영역들(SD1)은 제2 소스/드레인 영역들(SD2)과 다른 형상을 가질 수 있고, 제3 소스/드레인 영역들(SD3)은 제4 소스/드레인 영역들(SD4)과 다른 형상을 가질 수 있다. 제3 및 제4 소스/드레인 영역들(SD3, SD4)은 각각 제1 및 제2 소스/드레인 영역들(SD1, SD2)에 상응하는 형상을 가질 수 있다. 이에 대해, 도 3d 및 도 4c를 참조하여 상세히 설명한다. 여기서, 도 3d 및 도 4c는 소스/드레인 콘택들(CT1~CT5)과 접하지 않는 소스/드레인 영역들의 일 단면을 도시한다.
먼저 도 3d를 참조하면, 제1 소스/드레인 영역들(SD1)은, 그 아래의 제1 활성 패턴들(AP1)의 마주하는 측벽들 상에 배치되는 제1 부분(LP1), 기판(100)으로부터 멀어질수록 실질적으로 증가하는 폭을 갖는 제2 부분(MP1), 및 기판(100)으로부터 멀어질수록 실질적으로 감소하는 폭을 갖는 제3 부분(UP1)을 포함할 수 있다. 이 때, 제1 부분(LP1)은, 제1 소스/드레인 영역들(SD1) 아래의 제1 활성 패턴들(AP1)의 상면보다 낮은 레벨에 위치할 수 있고, 제2 소자분리 패턴들(ST2)의 제2 부분들(P2)에 의해 노출된 제1 활성 패턴들(AP1)의 측벽들과 접할 수 있다. 이에 더해, 제1 부분(LP1)의 최하부(LSP1)는 상술한 제1 활성 패턴들(AP1)의 측벽들과 이격될 수 있다. 제2 및 제3 부분들(MP1, UP1)은 상술한 제1 활성 패턴들(AP1)의 상면보다 높은 레벨에 위치할 수 있다. 여기서, 제2 및 제3 부분들(MP1, UP1) 사이의 경계는 제1 경계(IS1)으로 지칭될 수 있다. 이에 반해, 제2 소스/드레인 영역들(SD2)은, 그 아래의 제1 활성 패턴들(AP1)의 상면과 접하며, 기판(100)으로부터 멀어질수록 실질적으로 증가하는 폭을 갖는 제1 부분(LP2), 및 제1 부분(LP2)으로부터 연장되고, 기판(100)으로부터 멀어질수록 실질적으로 감소하는 폭을 갖는 제2 부분(UP2)을 포함할 수 있다. 여기서, 제1 및 제2 부분들(LP2, UP2) 사이의 경계는 제2 경계(IS2)로 지칭될 수 있다. 일 실시예에 따르면, 제1 소스/드레인 영역들(SD1)의 최상부들(USP1)은 제2 소스/드레인 영역들(SD2)의 최상부(UPS2)보다 높을 수 있다.
도 4c를 참조하면, 도시된 바와 같이, 제3 및 제4 소스/드레인 영역들(SD3, SD4)은 각각 제1 및 제2 소스/드레인 영역들(SD1, SD2)에 상응하는 형상을 가질 수 있다. 상세하게, 제3 소스/드레인 영역들(SD3)은, 그 아래의 제2 활성 패턴들(AP2)의 마주하는 측벽들 상에 배치되는 제1 부분(LP3), 기판(100)으로부터 멀어질수록 실질적으로 증가하는 폭을 갖는 제2 부분(MP3), 및 기판(100)으로부터 멀어질수록 실질적으로 감소하는 폭을 갖는 제3 부분(UP3)을 포함할 수 있다. 이 때, 제1 부분(LP3)은, 제3 소스/드레인 영역들(SD3) 아래의 제2 활성 패턴들(AP2)의 상면보다 낮은 레벨에 위치할 수 있고, 제3 소자분리 패턴들(ST3)의 제4 부분들(P4)에 의해 노출된 제2 활성 패턴들(AP2)의 측벽들과 접할 수 있다. 이에 더해, 제1 부분(LP3)의 최하부(LSP2)는 상술한 제2 활성 패턴들(AP2)의 측벽들과 이격될 수 있다. 제2 및 제3 부분들(MP3, UP3)은 상술한 제2 활성 패턴들(AP2)의 상면보다 높은 레벨에 위치할 수 있다. 여기서, 제2 및 제3 부분들(MP3, UP3) 사이의 경계는 제3 경계로(IS3)로 지칭될 수 있다. 이에 반해, 제4 소스/드레인 영역들(SD4)은, 그 아래의 제2 활성 패턴들(AP2)의 상면과 접하며, 기판(100)으로부터 멀어질수록 실질적으로 증가하는 폭을 갖는 제1 부분(LP4), 및 제1 부분(LP4)으로부터 연장되고, 기판(100)으로부터 멀어질수록 실질적으로 감소하는 폭을 갖는 제2 부분(UP4)을 포함할 수 있다. 여기서, 제1 및 제2 부분들(LP4, UP4) 사이의 경계는 제4 경계(IS4)로 지칭될 수 있다. 일 실시예에 따르면, 제3 소스/드레인 영역들(SD3)의 최상부들(USP3)은 제4 소스/드레인 영역들(SD4)의 최상부(UPS4)보다 높을 수 있다.
제1 영역(R1)의 NMOSFET 영역(NR1) 상에 배치되는 제1 게이트 전극(GE1) 및 제1 게이트 유전 패턴(GD1)과, 제1 소스 드레인 영역들(SD1)은 n형의 제1 트랜지스터(TR1)을 구성할 수 있다. 즉, 제1 트랜지스터(TR1)는 n형의 멀티 핀 전계 효과 트랜지스터로 구현될 있다. 이에 따라, 제1 트랜지스터(TR1)의 온(on) 전류 특성이 개선될 수 있다. 제1 영역(R1)의 PMOSFET 영역(PR1) 상에 배치되는 제1 게이트 전극(GE1) 및 제1 게이트 유전 패턴(GD1)과, 제2 소스 드레인 영역들(SD2)은 p형의 제2 트랜지스터(TR2)를 구성할 수 있다. 즉, 제2 트랜지스터(TR2)는 p형의 멀티 핀 전계 효과 트랜지스터로 구현될 있다. 이에 따라, 제2 트랜지스터(TR2)의 온(on) 전류 특성이 개선될 수 있다.
제2 영역(R2)의 NMOSFET 영역(NR2) 상에 배치되는 제2 게이트 전극(GE2) 및 제2 게이트 유전 패턴(GD2)과, 제3 소스/드레인 영역들(SD3)은 n형의 제3 트랜지스터(TR3)을 구성할 수 있다. 즉, 제3 트랜지스터(TR3)는 n형의 멀티 핀 전계 효과 트랜지스터로 구현될 있다. 이에 따라, 제3 트랜지스터(TR3)의 온(on) 전류 특성이 개선될 수 있다. 제2 영역(R2)의 PMOSFET 영역(PR2) 상에 배치되는 제2 게이트 전극(GE2) 및 제2 게이트 유전 패턴(GD2)과, 제4 소스 드레인 영역들(SD2)은 p형의 제4 트랜지스터(TR4)를 구성할 수 있다. 즉, 제4 트랜지스터(TR4)는 p형의 단일 핀 전계 효과 트랜지스터로 구현될 있다.
계속해서, 도 1, 도 2a 내지 도 2c, 도 3a 및 도 4a를 참조하면, 기판(100) 상에 콘택 식각 정지막(125)이 배치될 수 있다. 콘택 식각 정지막(125)은 제1 내지 제3 소자분리 패턴들(ST1~ST3)의 리세스 영역들(일 예로, 제1 내지 제6 리세스 영역들(RS1~RS6))의 내면을 덮으며, 소스/드레인 영역들(SD1~SD4)의 상부 및 게이트 구조체들(GS1, GS2)의 양 측벽들 상으로 연장될 수 있다. 콘택 식각 정지막(125)은 후술할 제1 층간 절연막(130)과 식각 선택성이 있은 물질을 포함할 수 있다. 일 예로, 콘택 식각 정지막(125)은 실리콘 질화막 또는 실리콘 산질화막을 포함할 수 있다.
기판(100) 상에, 소스/드레인 영역들(SD1~SD4) 및 게이트 구조체들(GS1, GS2)의 양 측벽들을 덮는 제1 층간 절연막(130)이 배치될 수 있다. 제1 영역(R1)의 제1 층간 절연막(130)의 상면(130S1)은 제1 게이트 전극(GE1)의 상면(GE1S)과 공면을 이룰 수 있고, 제2 영역(R2)의 제1 층간 절연막(130)의 상면(130S2)은 제2 게이트 전극(GE2)의 상면(GE2S)과 공면을 이룰 수 있다. 즉, 제1 영역(R1)의 제1 층간 절연막(130)의 상면(130S1)은 제2 영역(R2)의 제1 층간 절연막(130)의 상면(130S2)보다 낮을 수 있다. 일 실시예에 따르면, 제1 영역(R1)의 제1 층간 절연막(130)은 콘택 식각 정지막(125)이 형성된 제1 영역(R1)의 리세스 영역들(일 예로, 제1 내지 제3 리세스 영역들(RS1~RS3) 중 일부에 대해서만 전부(fully) 채울 수 있다. 일 예로, 제1 및 제2 리세스 영역들(RS1, RS2)은 제1 층간 절연막(130)에 의해 전부(fully) 채워지지 않을 수 있다. 즉, 제1 및 제2 리세스 영역들(RS1, RS2)에 에어갭(AG)이 형성될 수 있다. 에어갭(AG)은 고상 물질이 제공되지 않는 영역으로, 실질적으로 빈 공간일 수 있다. 제1 활성 패턴들(AP1) 사이의 간격이 좁게 형성됨에 따라, 서로 인접한 소스/드레인 영역들(SD1, SD2)의 측벽들 상의 콘택 식각 정지막(125)이 서로 연결되어 리세스 영역들(RS1, RS2)에 에어갭(AG)이 형성될 수 있다. 즉, 에어갭(AG)은 리세스 영역들(RS1, RS2)의 내면을 덮는 콘택 식각 정지막(125)에 의해 정의될 수 있다. 제1 및 제2 리세스 영역들(RS1, RS2)에 에어갭(AG)이 형성됨으로써, 제1 활성 패턴들(AP1) 사이의 기생 캐패시터가 감소될 수 있다.
일 실시예에 따르면, 제2 영역(R2)의 제1 층간 절연막(130)은 콘택 식각 정지막(125)이 형성된 제2 영역(R2)의 리세스 영역들(일 예로, 제4 내지 제6 리세스 영역들(RS4~RS6))을 전부(fully) 채울 수 있다. 제2 활성 패턴들(AP2) 사이의 이격 거리인 제2 거리(d2)는, 비록 제3 거리(d3)보다는 작지만 제1 활성 패턴들(AP1) 사이의 이격 거리인 제1 거리(d1)보다 크기 때문에, 폭이 좁은 제4 리세스 영역(R4)에도 제1 층간 절연막(130)이 전부(fully) 채워질 수 있다. 그러나, 다른 실시예에 따르면, 제2 영역(R2)의 리세스 영역들 중 일부는 제1 층간 절연막(130)에 의해 전부(fully) 채워지지 않을 수 있다. 도 4b에 도시된 바와 같이, 제4 리세스 영역(R4)은 제1 층간 절연막(130)에 의해 전부(fully) 채워지지 않을 수 있다. 즉, 제4 리세스 영역(RS4)에 에어갭(AG)이 형성될 수 있다. 이 경우, NMOSFET 영역(NR2)의 제2 활성 패턴들(AP2) 사이의 기생 캐패시터가 감소될 수 있다. 제1 층간 절연막(130)은 일 예로, 실리콘 산화막 또는 저유전막들 중 적어도 하나를 포함할 수 있다.
기판(100) 상에, 제2 층간 절연막(150)이 배치될 수 있다. 제2 층간 절연막(150)은 제1 층간 절연막(130) 및 게이트 구조체들(GS1, GS2)을 덮을 수 있다. 본 발명의 개념에 따르면, 제1 영역(R1)의 제2 층간 절연막(150)의 상면(150S1)은 제2 영역(R2)의 제2 층간 절연막(150)의 상면(150S2)보다 낮을 수 있다. 제2 층간 절연막(150)은 실리콘 산화막, 실리콘 질화막, 실리콘 산질화막, 또는 저유전막들 중 적어도 하나를 포함할 수 있다. 일 실시예에 따르면, 제2 층간 절연막(150)과 게이트 구조체들(GS1, GS2) 사이, 및 제2 층간 절연막(150)과 제1 층간 절연막(130) 사이에 게이트 캡핑막(145)이 배치될 수 있다. 상세하게, 제1 영역(R1)의 게이트 캡핑막(145)은 제1 게이트 전극(GE1)의 상면(GE1S)을 덮으며 제1 영역(R1)의 제1 층간 절연막(130)의 상면(130S1) 상으로 연장될 수 있다. 또한, 제2 영역(R2)의 게이트 캡핑막(145)은 제2 게이트 전극(GE2)의 상면(GE2S)을 덮으며 제2 영역(R2)의 제1 층간 절연막(130)의 상면(130S2) 상으로 연장될 수 있다. 다른 실시예에 따르면, 도시된 바와 달리, 게이트 캡핑막(145)은 게이트 전극들(GE1, GE2)의 상면들(GE1S, GE2S) 상에 국소적으로 배치되어, 제1 층간 절연막(130)의 상면들(130S1, 130S2)을 덮지 않을 수 있다. 또 다른 실시예에 따르면, 게이트 캡핑막(145)은 생략될 수 있다. 게이트 캡핑막(145)은 일 예로, 실리콘 질화막을 포함할 수 있다.
제1 및 제2 게이트 구조체들(GS1, GS2) 각각의 양측에 소스/드레인 콘택들이 배치될 수 있다. 소스/드레인 콘택들은 제2 층간 절연막(150), 게이트 캡핑막(145), 제1 층간 절연막(130), 및 콘택 식각 정지막(125)을 관통하여 소스/드레인 영역들과 연결될 수 있다. 상세하게, 제1 영역(R1)의 소스/드레인 콘택들은 NMOSFET 영역(NR1)의 제1 게이트 구조체(GS1)의 양측에 배치되는 제1 소스/드레인 콘택들(CT1), 및 PMOSFET 영역(PR1)의 제1 게이트 구조체(GS1)의 양측에 배치되는 제2 소스/드레인 콘택들(CT2)을 포함할 수 있다. 제1 소스/드레인 콘택들(CT1)은 각각 제1 게이트 구조체(GS1) 양측의 제1 소스/드레인 영역들(SD1)과 공통적으로 연결될 수 있다. 제2 소스/드레인 콘택들(CT2)은 각각 제1 게이트 구조체(GS1) 양측의 제2 소스/드레인 영역들(SD2)과 공통적으로 연결될 수 있다. 평면적 관점에서, 제1 소스/드레인 콘택들(CT1)은 NMOSFET 영역(NR1)의 제1 활성 패턴들(AP1)을 가로지를 수 있고, 제2 소스/드레인 콘택들(CT2)은 PMOSFET 영역(PR1)의 제1 활성 패턴들(AP1)을 가로지를 수 있다. 제1 및 제2 소스/드레인 콘택들(CT1, CT2) 각각은 제1 도전 패턴(160a) 및 제1 도전 패턴(160a) 상의 제2 도전 패턴(165a)을 포함할 수 있다. 제1 도전 패턴(160a)은 배리어 도전막일 수 있다. 일 예로, 제1 도전 패턴(160a)은 티타늄 질화막, 텅스텐 질화막, 또는 탄탈륨 질화막 중 적어도 하나를 포함할 수 있다. 제2 도전 패턴(165a)은 금속막일 수 있다. 일 예로, 제2 도전 패턴(165a)은 텅스텐, 티타늄 및 탄탈륨 중 적어도 하나를 포함할 수 있다. 다른 실시예에서, 제1 및 제2 소스/드레인 콘택들(CT1, CT2)은 도핑된 반도체 물질을 포함할 수 있다. 도시하지는 않았지만, 게이트 캡핑막(145)은 각각의 제1 도전 패턴(160a)과 각각의 소스/드레인 영역들(SD1, SD2) 사이에 게재되는 금속 실리사이드막을 더 포함할 수 있다. 금속 실리사이드막은 일 예로, 티타늄-실리사이드, 탄탈륨-실리사이드, 및 텅스텐-실리사이드 중 적어도 하나를 포함할 수 있다.
제2 영역(R2)의 소스/드레인 콘택들은 제2 게이트 구조체(GS2)의 일측에 배치되는 제3 및 제4 소스/드레인 콘택들(CT3, CT4), 및 제2 게이트 구조체(GS2)의 타측에 배치되는 제5 소스/드레인 콘택(CT5)을 포함할 수 있다. 제3 소스/드레인 콘택(CT3)은 제2 게이트 구조체(GS2) 일측의 제3 소스/드레인 영역들(SD3)과 공통적으로 연결될 수 있고, 제4 소스/드레인 콘택(CT4)은 제2 게이트 구조체(GS2) 일측의 제4 소스/드레인 영역(SD4)과 연결될 수 있다. 제5 소스/드레인 콘택(CT5)은 제2 게이트 구조체(GS2) 타측의 제3 및 제4 소스/드레인 영역들(SD3, SD4)과 공통적으로 연결될 수 있다. 평면적 관점에서, 제3 소스/드레인 콘택(CT3)은 NMOSFET 영역(NR2)의 제2 활성 패턴들(AP2)을 가로지를 수 있고, 제4 소스/드레인 콘택(CT4)은 PMOSFET 영역(PR2)의 제2 활성 패턴(AP2)을 가로지를 수 있다. 그리고, 제5 소스/드레인 콘택(CT5)은 NMOSFET 영역(NR2) 및 PMOSFET 영역(PR2)의 제2 활성 패턴들(AP2)을 가로지를 수 있다. 제3 내지 제5 소스/드레인 콘택들(CT3~CT5) 각각은 제1 도전 패턴(160b) 및 제1 도전 패턴(160b) 상의 제2 도전 패턴(165b)을 포함할 수 있다. 제1 도전 패턴(160b)은 배리어 도전막일 수 있다. 일 예로, 제1 도전 패턴(160b)은 티타늄 질화막, 텅스텐 질화막, 또는 탄탈륨 질화막 중 적어도 하나를 포함할 수 있다. 제2 도전 패턴(165b)은 금속막일 수 있다. 일 예로, 제2 도전 패턴(165b)은 텅스텐, 티타늄 및 탄탈륨 중 적어도 하나를 포함할 수 있다. 다른 실시예에서, 제3 내지 제5 소스/드레인 콘택들(CT3~CT5)은 도핑된 반도체 물질을 포함할 수 있다. 도시하지는 않았지만, 제3 내지 제5 소스/드레인 콘택들(CT3~CT5)은 각각의 제1 도전 패턴(160b)과 각각의 소스/드레인 영역들(SD3, SD4) 사이에 게재되는 금속 실리사이드막을 더 포함할 수 있다. 금속 실리사이드막은 일 예로, 티타늄-실리사이드, 탄탈륨-실리사이드, 및 텅스텐-실리사이드 중 적어도 하나를 포함할 수 있다.
제1 및 제2 소스/드레인 콘택들(CT1, CT2)은 동시에 형성되어 실질적으로 동일한 높이 상면들(US1, US2)을 가질 수 있다. 마찬가지로, 제3 내지 제5 소스/드레인 콘택들(CT3~CT5)은 동시에 형성되어 실질적으로 동일한 높이의 상면들(US3~US5)을 가질 수 있다. 이 때, 제3 내지 제5 소스/드레인 콘택들(CT3~CT5)의 상면들(US3~US5)은 제1 및 제2 소스/드레인 콘택들(CT1, CT2)의 상면들(US1~US2)보다 높을 수 있다. 이에 반해, 제1 내지 제5 소스/드레인 콘택들(CT1~CT5)의 하면의 프로파일은 다양하게 구현될 수 있다. 이하, 도면들을 참조하여, 제1, 제2, 및 제5 소스/드레인 콘택들(CT1, CT2, CT5)의 형상에 대해 좀 더 자세히 설명한다.
먼저 도 3a 내지 도 3c를 참조하여, 제1 및 제2 소스/드레인 콘택들(CT1, CT2)의 형상에 대해 설명한다. 도 3a를 참조하면, 제1 소스/드레인 콘택들(CT1)의 바닥면(CBS1)은 제1 소스/드레인 영역들(SD1)의 제1 경계(IS1)보다 낮고, 제1 소스/드레인 영역들(SD1)과 접하는 제1 활성 패턴들(AP1)의 상면보다 높을 수 있다. 마찬가지로, 제2 소스/드레인 콘택들(CT2)의 바닥면(CBS2)은 제2 소스/드레인 영역들(SD2)의 제2 경계(IS2)보다 낮고, 제2 소스/드레인 영역들(SD2)과 접하는 제1 활성 패턴들(AP1)의 상면보다 높을 수 있다. 일 실시예에 따르면, 제1 및 제2 소스/드레인 콘택들(CT1, CT2)의 바닥면들(CBS1, CBS2)들은 기판(100)의 상면에 실질적으로 평행한 평탄면을 가질 수 있다. 다른 실시예에 따르면, 도 3b에 도시된 바와 같이, 제1 및 제2 소스/드레인 콘택들(CT1, CT2)의 바닥면들(CBS1, CBS2)들은 굴곡진 곡면을 가질 수 있다. 이 경우, 제1 소스/드레인 콘택들(CT1)의 바닥면(CBS1)의 최상부는 제1 소스/드레인 영역들(SD1)의 제1 경계(IS1)보다 낮을 수 있고, 제1 소스/드레인 콘택들(CT1)의 바닥면(CBS1)의 최하부는, 제1 소스/드레인 영역들(SD1)과 접하는 제1 활성 패턴들(AP1)의 상면보다 높을 수 있다. 마찬가지로, 제2 소스/드레인 콘택들(CT2)의 바닥면(CBS2)의 최상부는 제2 소스/드레인 영역들(SD2)의 제2 경계(IS2)보다 낮을 수 있고, 제2 소스/드레인 콘택들(CT2)의 바닥면(CBS2)의 최하부는, 제2 소스/드레인 영역들(SD2과 접하는 제2 활성 패턴들(AP2)의 상면보다 높을 수 있다.
또 다른 실시예에 따르면, 도 3c에 도시된 바와 같이, 제1 및 제2 소스/드레인 콘택들(CT1, CT2) 중의 일부는 제1 활성 패턴들(AP1) 사이의 리세스 영역들(일 예로, 제1 및 제2 리세스 영역들(RS1, RS2)) 내로 연장되는 연장부(EP2)를 포함할 수 있다. 이 경우, 제1 소스/드레인 콘택들(CT1)의 바닥면(CBS1)의 최상부는 제1 소스/드레인 영역들(SD1)의 제1 경계(IS1)보다 낮을 수 있다. 그러나, 제1 소스/드레인 콘택들(CT1)의 바닥면(CBS1)의 최하부는, 제1 소스/드레인 영역들(SD1)과 접하는 제1 활성 패턴들(AP1)의 상면보다 낮을 수 있다. 일 실시예에 따르면, 제1 소스/드레인 콘택들(CT1)의 바닥면(CBS1)의 최하부는 제1 리세스 영역들(RS1)의 바닥면(BS1) 상의 콘택 식각 정지막(125)과 접할 수 있다. 마찬가지로, 제2 소스/드레인 콘택들(CT2)의 바닥면(CBS2)의 최상부는 제2 소스/드레인 영역들(SD2)의 제2 경계(IS2)보다 낮을 수 있다. 그러나, 제2 소스/드레인 콘택들(CT2)의 바닥면(CBS2)의 최하부는, 제2 소스/드레인 영역들(SD2)과 접하는 제2 활성 패턴들(AP2)의 상면보다 높을 수 있다. 일 실시예에 따르면, 제2 소스/드레인 콘택들(CT2)의 바닥면(CBS2)의 최하부는 제2 리세스 영역들(RS2)의 바닥면(BS2) 상의 콘택 식각 정지막(125)과 접할 수 있다.
이어서, 도 4a를 참조하면, 제5 소스/드레인 콘택(CT5)의 바닥면(CBS3)은 복수의 평탄면들(일 예로, 제1 평탄면(CBS3a)) 및 복수의 평탄면들로부터 연장되어 아래로 경사진 복수의 경사면들(일 예로, 제1 경사면(CBS3b))을 가질 수 있다. 이 경우, 제5 소스/드레인 콘택(CT5)의 바닥면(CBS3)의 평탄면들 중 최상면은 제3 소스/드레인 영역들(SD3)의 제3 경계(IS3) 및, 제4 소스/드레인 영역들(SD4)의 제4 경계(IS4)보다 높을 수 있다. 한편, 제5 소스/드레인 콘택(CT5)의 바닥면(CBS3)의 경사면들 중 일부는 제3 및 제4 소스/드레인 영역들(SD3, SD4)과 접하는 제2 활성 패턴들(AP2)의 상면보다 아래로 연장될 수 있다. 즉, 제5 소스/드레인 콘택(CT5)은 제5 리세스 영역(RS5) 내로 연장되는 연장부(EP1)를 포함할 수 있다. 제5 소스/드레인 콘택(CT5)의 연장부(EP1)는 인접한 제1 활성 패턴들(AP1)로부터 이격될 수 있다. 한편, 도시하지는 않았지만, 제5 소스/드레인 콘택(CT5)의 바닥면(CBS3)은 굴곡진 곡면을 가질 수 있다. 이 경우, 제5 소스/드레인 콘택(CT5)의 바닥면(CBS3)의 최상부는 상술한 제3 및 제4 경계들(IS3, IS4)보다 높을 수 있다. 본 발명의 개념에 따르면, 제1 및 제2 소스/드레인 콘택들(CT1, CT2)의 바닥면들(CBS1, CBS2)은 상술한 제5 소스/드레인 콘택(CT5)의 바닥면(CBS3)의 최상부(혹은 최상면)보다 낮을 수 있다. 제5 소스/드레인 콘택(CT5)이 상술한 바와 같은 바닥면(CBS3)을 가짐에 따라, 제5 소스/드레인 콘택(CT5)과 소스/드레인 영역들의 접촉 면적이 증대될 수 있다. 결과적으로, 소스/드레인 영역들과 접하는 제5 소스/드레인 콘택(CT5)의 저항이 감소되어, 반도체 소자의 전기적 특성이 향상될 수 있다.
도시하지는 않았지만, 제2 층간 절연막(150) 상에 제1 내지 제5 소스/드레인 콘택들(CT1~CT5)과 각각 접속하는 배선들이 배치될 수 있다. 배선들은 제1 내지 제5 소스/드레인 콘택들(CT1~CT5)을 통해 제1 내지 제4 소스/드레인 영역들(SD1~SD4)에 전기적으로 연결될 수 있다. 배선들은 도전 물질을 포함할 수 있다.
도 5a 내지 도 10a, 도 5b 내지 도 10b, 및 도 5c 내지 도 10c를 참조하여, 본 발명의 일 실시예에 따른 반도체 소자의 제조방법을 설명한다.
도 5a 내지 도 10a는 본 발명의 일 실시예에 따른 반도체 소자의 제조방법을 설명하기 위한 것으로, 도 1의 Ⅰ-Ⅰ', Ⅱ-Ⅱ', A-A' 및 B-B' 에 따른 단면도들이다. 도 5b 내지 도 10b는 도 1의 Ⅲ-Ⅲ' 및 C-C' 에 따른 단면도들이다. 도 5c 내지 도 10c는 도 1의 Ⅳ-Ⅳ'및 D-D'에 따른 단면도들이다.
도 5a 내지 도 5c를 참조하면, 제1 영역(R1) 및 제2 영역(R2)을 포함하는 기판이 제공된다. 기판(100)은 반도체 기판일 수 있다. 일 예로, 기판(100)은 실리콘 기판 또는 게르마늄 기판이거나, SOI(Silicon On Insulator) 기판일 수 있다. 일 실시예에 따르면, 제1 영역(R1)은 반도체 소자의 로직 회로를 구성하는 로직 트랜지스터들이 배치되는 로직 셀 영역의 일부일 수 있다. 일 예로, 제1 영역(R1)은 프로세서 코어 또는 I/O 단자를 구성하는 로직 트랜지스터들이 형성되는 영역일 수 있다. 그러나, 본 발명의 실시예들이 이에 제한되는 것은 아니다. 제2 영역(R2)은 데이터를 저장하기 위한 복수의 메모리 셀들이 형성되는 메모리 셀 영역의 일부일 수 있다. 일 예로, 제2 영역(R2)에는 6개의 트랜지스터들로 구성된 복수의 6T 에스램(SRAM) 셀들을 구성하는 메모리 셀 트랜지스터들이 형성될 수 있다. 그러나, 본 발명의 실시예들이 이에 제한되는 것은 아니다.
각 영역들(R1, R2)은 NMOSFET 영역(NR1, NR2) 및 PMOSFET 영역(PR1, PR2)을 포함할 수 있다. 본 실시예에서, NMOSFET 영역(NR1, NR2)은 하나의 n형 트랜지스터가 배치되는 활성 영역으로 정의될 수 있고, PMOSFET 영역(PR1, PR2)은 하나의 p형 트랜지스터가 배치되는 활성 영역으로 정의될 수 있다. 각 영역들의 NMOSFET 영역(NR1, NR2) 및 PMOSFET 영역(PR1, PR2)은, 일 예로 제1 방향(D1)을 따라 배열될 수 있다. 그러나, 본 발명의 개념에 이에 제한되는 것은 아니다.
기판(100)을 패터닝하여 제1 및 제2 활성 패턴들(AP1, AP2)을 정의하는 얕은 트렌치들(101)이 형성될 수 있다. 제1 및 제2 활성 패턴들(AP1, AP2)은 제1 방향(D1)으로 배열될 수 있고, 제1 방향(D1)과 교차하는 제2 방향(D2)으로 연장되는 라인 형태를 가질 수 있다. 제1 활성 패턴들(AP1)은 실질적으로 동일한 거리로 서로 이격되도록 형성될 수 있다. 일 예로, 제1 활성 패턴들(AP1)은 제1 거리(d1)만큼 서로 이격될 수 있다. 이에 반해, 제2 활성 패턴들(AP2)은 NMOSFET 영역(NR2) 영역의 제2 활성 패턴들(AP2)은 제2 거리(d2)만큼 서로 이격되고, PMOSFET 영역(PR2)의 제2 활성 패턴(AP2)은 NMOSFET 영역(NR2)의 제1 활성 패턴들(AP1)로부터 제3 거리(d3)만큼 이격되도록 형성될 수 있다. 제3 거리(d3)는 제2 거리(d2)보다 클 수 있다. 제3 거리(d3)는 서로 다른 도전형을 갖는 NMOSFET 영역(NR2) 및 PMOSFET 영역(PR2)을 분리하기 위해 필요한 최소한의 이격 거리일 수 있다. 한편, 제2 거리(d2)는 제1 거리(d1)보다 클 수 있다. NMOSFET 영역(NR2)영역과 PMOSFET 영역(PR2) 사이에 불필요한 제1 활성 패턴들(AP1a)은 제거될 수 있다. 불필요한 제1 활성 패턴들(AP1a)이 제거되는 동안 NMOSFET 영역(NR2)과 PMOSFET 영역(PR2) 영역 사이에 깊은 트렌치들(103)이 형성될 수 있다. 깊은 트렌치들(103)의 바닥면은 얕은 트렌치들(101)의 바다면보다 낮을 수 있다.
깊은 트렌치들(103) 내에 제1 소자분리 패턴들(ST1)이 형성될 수 있다. 또한, 제1 영역(R1)의 얕은 트렌치들(101) 내에 제2 소자분리 패턴들(ST2)이 형성될 수 있고 제2 영역(R2)의 얕은 트렌치들(101) 내에 제3 소자분리 패턴들(ST3)이 형성될 수 있다. 제2 및 제3 소자분리 패턴들(ST2, ST3)은 각각 제1 활성 패턴들(AP1)의 상부 및 제2 활성 패턴(AP2)의 상부가 노출되도록 형성될 수 있다. 제2 및 제3 소자분리 패턴들(ST2, ST3)에 의해 노출된 제1 및 제2 활성 패턴들(AP1, AP2)의 상부는 각각 제1 및 제2 활성 핀들(AF1, AF2)로 정의될 수 있다. 제1 소자분리 패턴들(ST1)의 상면은 제2 소자분리 패턴들(ST2)의 상면과 실질적으로 동일할 수 있다.
도 6a 내지 도 6c를 참조하면, 제1 영역(R1)의 기판(100) 상에, 차례로 적층된 제1 식각 정지 패턴(105a), 제1 희생 게이트 패턴(110a) 및 제1 게이트 마스크 패턴(115a)을 포함하는 제1 희생 게이트 구조체가 형성될 수 있다. 또한, 제2 영역(R2)의 기판 상에, 차례로 적층된 제2 식각 정지 패턴(105b), 제2 희생 게이트 패턴(110b) 및 제2 게이트 마스크 패턴(115b)을 포함하는 제2 희생 게이트 구조체가 형성될 수 있다. 제1 희생 게이트 구조체는 제1 활성 핀들(AF1)을 가로지르고. 제2 희생 게이트 구조체는 제2 활성 핀들(AF2)을 가로지를 수 있다. 즉, 제1 식각 정지 패턴(105a), 제1 희생 게이트 패턴(110a)은 제1 활성 핀들(AF1)의 상면 및 측벽들을 덮으며, 제1 및 제2 소자분리 패턴들(ST1, ST2)의 상면 상으로 연장될 수 있다. 제1 게이트 마스크 패턴(115a)은 제1 희생 게이트 패턴(110a)의 상면 상에 배치되어, 제1 희생 게이트 패턴(110a)의 상면을 따라 연장될 수 있다. 제2 식각 정지 패턴(105b), 제2 희생 게이트 패턴(110b)은 제2 활성 핀들(AF2)의 상면 및 측벽들을 덮으며, 제3 소자분리 패턴들(ST3)의 상면 상으로 연장될 수 있다. 제2 게이트 마스크 패턴(115b)은 제2 희생 게이트 패턴(110b)의 상면 상에 배치되어, 제2 희생 게이트 패턴(110b)의 상면을 따라 연장될 수 있다. 본 발명의 개념에 따르면, 제1 희생 게이트 패턴(110a)는 제1 폭(W1)을 가질 수 있고, 제2 희생 게이트 패턴(110b)은 제1 폭(W1)보다 작은 제2 폭(W2)을 가질 수 있다. 제1 및 제2 희생 게이트 구조체는, 기판(100) 상에 제1 및 제2 활성 핀들(AF1, AF2)을 덮는 식각 정지막, 희생 게이트막 및 게이트 마스크막을 순차적으로 형성하고, 이를 패터닝하여 형성될 수 있다. 식각 정지막은 일 예로, 실리콘 산화물을 포함할 수 있다. 희생 게이트막은 식각 정지막에 대하여 식각 선택성을 갖는 물질을 포함할 수 있다. 일 예로, 희생 게이트막은 폴리 실리콘을 포함할 수 있다. 희생 게이트막은 CVD(Chemical Vapor Deposition:), PVD(Physical Vapor Deposition), 또는 ALD(Atomic Layer Deposition) 공정에 의해 형성될 수 있다. 게이트 마스크막은 실리콘 질화막 또는 실리콘 산질화막을 포함할 수 있다.
제1 희생 게이트 패턴(110a)이 제1 활성 핀들(AF1)을 가로지르도록 형성됨에 따라, 제1 및 제2 소자분리 패턴들(ST1, ST2)의 제1 부분(P1)과 제2 부분들(P2)이 정의될 수 있다. 제1 부분(P1)은, 제1 희생 게이트 패턴(110a) 아래에 위치하고 제1 희생 게이트 패턴(110a)과 중첩되는, 제1 및 제2 소자분리 패턴들(ST1, ST2)의 일부분이다. 제2 부분들(P2)은, 제1 희생 게이트 패턴(110a)의 양측에 위치하고 제1 부분(P1)에 의해 수평적으로 분리된 제1 및 제2 소자분리 패턴들(ST1, ST2)의 다른 부분들이다.
이어서, 기판(100) 상에, 제1 및 제2 희생 게이트 패턴들(110a, 110b)를 콘포말하게 덮는 게이트 스페이서막(120)이 형성될 수 있다. 게이트 스페이서막(120)은 일 예로, 실리콘 질화물을 포함할 수 있다. 다른 예로, 게이트 스페이서막(120)은 SiCN 또는 SiOCN과 같은 low-k 질화물을 포함할 수 있다. 게이트 스페이서막(120)은 CVD 또는 ALD와 같은 증착 공정에 의해 형성될 수 있다.
도 7a 내지 도 7c를 참조하면, 제1 희생 게이트 패턴(110a) 양 측의 제1 활성 패턴들(AP1)의 상부, 및 제2 희생 게이트 패턴(110b) 양 측의 제2 활성 패턴들(AP2)의 상부가 제거될 수 있다. 제1 및 제2 활성 패턴들(AP1, AP2)을 제거하는 것은, 기판(100) 상에 마스크 패턴을 형성하고, 이를 식각 마스크로 하는 식각 공정을 수행하는 것을 포함할 수 있다. 식각 공정은 건식 및 또는 습식 식각 공정을 포함할 수 있다. 제1 및 제2 활성 패턴들(AP1, AP2)이 제거되는 동안, 게이트 스페이서막(120)도 함께 제거되어 제1 희생 게이트 패턴(110a)의 측벽 상의 제1 게이트 스페이서(121a), 및 제2 희생 게이트 패턴(110b)의 측벽 상의 제2 게이트 스페이서(121b)가 형성될 수 있다.
일 실시예에 따르면, 제1 활성 패턴들(AP1)이 제거되는 동안, NMOSFET 영역(NR1)의 제1 및 제2 소자분리 패턴들(ST1, ST2)의 제2 부분들(P2)의 상부가 리세스될 수 있다. 이에 따라, 제1 희생 게이트 패턴(110a) 양측에 위치하는 NMOSFET 영역(NR1)의 제1 활성 패턴들(AP1)의 측벽들이 노출될 수 있다. 한편, 제2 소자분리 패턴들(ST2)이 리세스되는 동안, NMOSFET 영역(NR1)의 제1 활성 패턴들(AP1)의 측벽들 상에 제2 소자분리 패턴들(ST2)의 일부가 식각되지 않고 남은 제1 에지부들(ED1)이 형성될 수 있다. 그리고, 제2 활성 패턴들(AP2)이 제거되는 동안, NMOSFET 영역(NR2)의 제3 소자분리 패턴들(ST3)의 제4 부분들(P4)의 상부가 리세스될 수 있다. 이에 따라, NMOSFET 영역(NR2)의 제2 희생 게이트 패턴(110b) 양측에 위치하는 제2 활성 패턴들(AP2)의 측벽들이 노출될 수 있다. 한편, 제3 소자분리 패턴들(ST3)이 리세스되는 동안, NMOSFET 영역(NR2)의 제2 활성 패턴들(AP2)의 양 측벽들 상에 제3 소자분리 패턴(ST3)의 일부가 식각되지 않고 남은 제2 에지부들(ED2)이 형성될 수 있다.
이어서, 제1 희생 게이트 패턴(110a)의 양측에 제1 및 제2 소스/드레인 영역들(SD1, SD2)이 형성될 수 있고, 제2 희생 게이트 패턴(110b)의 양측에 제3 및 제4 소스/드레인 영역들(SD3, SD4)이 형성될 수 있다. 제1 소스/드레인 영역들(SD1)은 NMOSFET 영역(NR1)의 제1 활성 패턴들(AP1) 상에 형성되고, 제2 소스/드레인 영역들(SD2)은 PMOSFET 영역(PR1)의 제1 활성 패턴들(AP1) 상에 형성된다. 제3 소스/드레인 영역들(SD3)은 NMOSFET 영역(NR2)의 제2 활성 패턴들(AP2) 상에 형성되고, 제4 소스/드레인 영역들(SD4)은 PMOSFET 영역(PR2)의 제2 활성 패턴(AP2) 상에 형성된다. 제1 내지 제4 소스/드레인 영역들(SD1~SD4)은 선택적 에피택시얼 성장 공정을 수행하여 형성될 수 있다. 상세하게, 제1 및 제3 소스/드레인 영역들(SD1, SD3)은 그 아래의 활성 패턴들(AP1, AP2)의 상면 및 측벽들을 씨드로 하여 성장된 에피택시얼 패턴일 수 있다. 이 경우, 제1 및 제3 소스/드레인 영역들(SD1, SD3)은, 그들 사이에 게재된 제1 및 제2 활성 핀들(AF1, AF2)에 인장성 스트레인(tensile strain)을 유발할 수 있도록 형성될 수 있다. 일 예로, 기판(100)이 실리콘 기판인 경우, 제1 및 제3 소스/드레인 영역들(SD1, SD3)은 Si층 또는 SiC층으로 형성될 수 있다. 그러나, 본 발명이 이에 한정되는 것은 아니다. 에피택시얼 성장 공정과 동시에 또는 에피택시얼 성장 공정 후, 제1 및 제3 소스/드레인 영역들(SD1, SD3)에 불순물이 도핑될 수 있다. 제1 및 제3 소스/드레인 영역들(SD1, SD3)은 n형의 도전형을 가질 수 있다.
한편, 제2 및 제4 소스/드레인 영역들(SD2, SD4)은 그 아래의 활성 패턴들(AP1, AP2)의 상면을 씨드로 하여 성장된 에피택시얼 패턴일 수 있다. 이 경우, 제2 및 제4 소스/드레인 영역들(SD2, SD4)은, 그들 사이에 게재된 제1 및 제2 활성 핀들(AF1, AF2)에 압축성 스트레인(compressive strain)을 유발할 수 있도록 형성될 수 있다. 일 예로, 기판(100)이 실리콘 기판인 경우, 제2 및 제4 소스/드레인 영역들(SD2, SD4)은 SiGe층으로 형성될 수 있다. 그러나, 본 발명이 이에 한정되는 것은 아니다. 에피택시얼 성장 공정과 동시에 또는 에피택시얼 성장 공정 후, 제2 및 제4 소스/드레인 영역들(SD2, SD4)에 불순물이 도핑될 수 있다. 제2 및 제4 소스/드레인 영역들(SD2, SD4)은 p형의 도전형을 가질 수 있다.
일 실시예에 따르면, 제1 소스/드레인 영역들(SD1)의 최상부들(USP1)은 제2 소스/드레인 영역들(SD2)의 최상부들(USP2)보다 높도록 형성될 수 있다. 또한, 제3 소스/드레인 영역들(SD3)의 최상부들(USP3)은 제4 소스/드레인 영역들(SD4)의 최상부들(USP4)보다 높도록 형성될 수 있다. 이는 에피택시얼 성장 공정 동안 제1 내지 제4 소스/드레인 영역들(SD1~SD4)의 성장량을 조절함으로써 구현될 수 있다. 한편, 에지부들(ED1, ED2)의 존재로 인해, 제1 및 제3 소스/드레인 영역들(SD1, SD3)의 최하부들(LSP1, LSP2)은 각각 제1 및 제2 활성패턴들(AP1, AP2)의 측벽들과 이격될 수 있다.
도 8a 내지 도 8c를 참조하면, 제1 내지 제3 소자분리 패턴들(ST1~ST3)의 제2 부분들(P2)의 상부가 리세스 될 수 있다. 그 결과, 제1 내지 제3 소자분리 패턴들(ST1~ST3)의 제2 부분들(P2)에 복수의 리세스 영역들이 형성될 수 있다. 복수의 리세스 영역들은 리세스된 제2 부분들(P2)의 상부에 의해 정의될 수 있다. 예를 들면, 제1 게이트 구조체(GS1)의 일측에 위치하는 경우를 기준으로, 복수의 리세스 영역들은 NMOSFET 영역(NR1)의 제1 활성 패턴들(AP1) 사이의 제1 리세스 영역들(RS1), PMOSFET 영역(PR1)의 제1 활성 패턴들(AP1) 사이의 제2 리세스 영역들(RS2), 및 제1 소자분리 패턴들(ST1)에 인접한 각 활성 영역들(NR1, PR1)의 제1 활성 패턴들(AP1)의 일측에 형성되는 제3 리세스 영역들(RS3)을 포함할 수 있다. 제1 내지 제3 리세스 영역들(RS1~RS3)의 리세스된 깊이는 패턴 밀도에 따라 서로 다를 수 있다. 즉, 제1 활성 패턴들(AP1) 사이의 간격이 좁은 영역은, 그 간격이 넓은 영역보다 얕게 리세스될 수 있다. 일 예로, 제1 리세스 영역들(RS1)의 바닥면들(BS1)은 제3 리세스 영역들(RS3)의 바닥면들(BS3)보다 높을 수 있다. 또한, 제2 리세스 영역들(RS2)의 바닥면들(BS2)은 제3 리세스 영역들(RS3)의 바닥면들(BS3)보다 높을 수 있다. 이에 더해, 제1 리세스 영역들(RS1)의 바닥면들(BS1)은 실질적으로 서로 동일한 높이를 가질 수 있다. 마찬가지로, 제2 리세스 영역들(RS2)의 바닥면들(BS2)은 실질적으로 서로 동일한 높이를 가질 수 있다. 또한, 제2 게이트 구조체(GS2)의 일측에 위치하는 경우를 기준으로, 복수의 리세스 영역들은, NMOSFET 영역(NR2)의 제2 활성 패턴들(AP2) 사이의 제4 리세스 영역(R4), 서로 인접한 NMOSFET 영역(NR2)의 제2 활성 패턴과 PMOSFET 영역(PR2)의 제2 활성 패턴(AP2) 사이의 제5 리세스 영역(RS5), 및 3개의 제2 활성 패턴들(AP2)을 기준으로 이들의 양측에 위치하는 제6 리세스 영역들(RS6)을 포함할 수 있다. 앞서 설명한 바와 같이, 제4 내지 제6 리세스 영역들(RS4~RS6)의 리세스된 깊이는 패턴 밀도에 따라 서로 다를 수 있다. 일 예로, 제4 리세스 영역(R4)의 바닥면(BS4)은 제5 및 제6 리세스 영역들(RS5, RS6)의 바닥면들(BS5, BS6)보다 높을 수 있다.
이 후, 기판(100) 상에 콘택 식각 정지막(125)이 콘포말하게 형성될 수 있다. 콘택 식각 정지막(125)은 소자분리 패턴들(ST1~ST3)의 리세스 영역들의 내면을 덮으며, 제1 내지 제4 소스/드레인 영역들(SD1~SD4) 및 제1 및 제2 게이트 마스크 패턴들(115a, 115b) 상으로 연장될 수 있다. 콘택 식각 정지막(125)은 후술할 제1 층간 절연막(130)과 식각 선택성이 있은 물질로 형성될 수 있다. 일 예로, 콘택 식각 정지막(125)은 실리콘 질화막 또는 실리콘 산질화막을 포함할 수 있다. 콘택 식각 정지막(125)은 CVD 공정 또는 ALD 공정에 의해 형성될 수 있다.
콘택 식각 정지막(125)이 형성된 기판(100) 상에 제1 층간 절연막(130)이 형성될 수 있다. 제1 층간 절연막(130)은 소스/드레인 영역들(SD1~SD4) 및 희생 게이트 패턴들(110a, 110b)을 덮도록 형성될 수 있다. 제1 층간 절연막(130)은 실리콘 산화막, 또는 저유전막들 중 적어도 하나를 포함할 수 있다. 이어서, 희생 게이트 패턴들(110a, 110b)의 상면이 노출될 때까지 제1 층간 절연막(130)을 평탄화하는 공정이 수행될 수 있다. 평탄화 공정은 에치백(etch back) 및/또는 CMP(chemical mechanical polishing) 공정을 포함할 수 있다. 노출된 희생 게이트 패턴들(110a, 110b)을 제거하여, 게이트 스페이서들(121a, 121b)사이에서 제1 및 제2 활성 핀들(AF1, AF2)을 각각 노출하는 제1 및 제2 갭 영역들(140a, 140b)이 형성될 수 있다. 제1 및 제2 갭 영역들(140a, 140b)은 희생 게이트 패턴들(110a, 110b) 및 식각 정지 패턴들(105a, 1105b)을 선택적으로 제거하는 식각 공정을 수행하여 형성될 수 있다.
도 9a 내지 도 9c를 참조하면, 제1 갭 영역(140a)을 채우는 제1 게이트 유전 패턴(GD1) 및 제1 게이트 전극(GE1), 및 제2 갭 영역(140b)을 채우는 제2 게이트 유전 패턴(GD2) 및 제2 게이트 전극(GE2)이 형성될 수 있다. 구체적으로, 제1 및 제2 갭 영역들(140a, 140b)을 포함하는 기판(100) 상에 제1 게이트 유전막이 형성되어, 제1 및 제2 갭 영역들(140a, 140b)의 일부를 채울 수 있다. 제1 게이트 유전막은 제1 및 제2 활성 핀들(AF1, AF2)을 덮도록 형성될 수 있다. 제1 게이트 유전막은 일 예로, 실리콘 산화막 또는 실리콘 산질화막을 포함할 수 있다. 이 후, 제2 갭 영역(140b) 내의 제1 게이트 유전막이 선택적으로 제거될 수 있다. 이 후, 기판(100) 상에 제2 게이트 유전막이 형성되어, 제1 및 제2 갭 영역들(140a, 140b)의 일부를 채울 수 있다. 제2 게이트 유전막은 고유전막들 중 적어도 하나를 포함할 수 있다. 일 예로, 제2 게이트 유전막은 하프늄 산화물, 하프늄 실리케이트, 지르코늄 산화물, 또는 지르코늄 실리케이트 중 적어도 하나를 포함할 수 있으나, 이러한 물질들에 한정되는 것은 아니다. 제1 및 제 게이트 유전막들은 일 예로, CVD 공정 또는 ALD 공정을 수행하여 형성될 수 있다. 제2 게이트 유전막 상에 게이트막이 형성되어, 제1 및 제2 갭 영역들(140a, 140b)의 잔부를 채울 수 있다. 게이트막은 도전성 금속 질화물(일 예로, 티타늄 질화물 또는 탄탈륨 질화물 등) 및 금속(일 예로, 알루미늄, 텅스텐 등) 중 적어도 하나를 포함할 수 있다. 차례로 적층된 제1 게이트 유전막, 제2 게이트 유전막 및 게이트막을 평탄화하여, 제1 갭 영역(140a) 내에 제1 게이트 유전 패턴(GD1) 및 제1 게이트 전극(GE1))이 형성될 수 있고, 제2 갭 영역(140b) 내에 제2 게이트 유전 패턴(GD2) 및 제2 게이트 전극(GE2)이 형성될 수 있다. 제1 게이트 유전 패턴(GD1)은 제1 및 제2 게이트 유전막들로부터 각각 형성된 제1 서브 게이트 유전 패턴(GD1a) 및 제2 서브 게이트 유전 패턴(GD1b)을 포함할 수 있다. 본 발명의 개념에 따르면, 상술한 평탄화 공정의 결과, 제1 게이트 전극(GE1)의 상면(GE1S)과, 제2 게이트 전극(GE2)의 상면(GE2S) 사이에 단차가 발생할 수 있다. 즉, 제1 게이트 전극(GE1)의 상면(GE1S)은 제2 게이트 전극(GE2)의 상면(GE2S)보다 낮을 수 있다. 이는 게이트막의 평탄화 공정 수행시, 갭 영역들(140a, 140b)의 폭에 따른 식각률의 차이에 기인한 것일 수 있다. 즉, 제1 갭 영역(140a)의 폭(W1)이 제2 갭 영역(140b)의 폭(W2)보다 크기 때문에, 제1 갭 영역(140a) 내의 게이트막의 식각률이 제2 갭 영역(140b) 내의 게이트막의 식각률보다 높을 수 있다. 평탄화 공정에 의해 제1 층간 절연막(130) 및 게이트 스페이서들(121a, 121b)의 상면들이 노출될 수 있다. 평탄화된 제1 영역(R1)의 제1 층간 절연막(130)의 상면(130S1)은 제1 게이트 전극(GE1)의 상면(GE1S)과 공면을 이룰 수 있다. 평탄화된 제2 영역(R2)의 제1 층간 절연막(130)의 상면(130S2)은 제2 게이트 전극(GE2)의 상면(GE2S)과 공면을 이룰 수 있다. 제1 게이트 유전 패턴(GD1)은 제1 게이트 전극(GE1)의 바닥면을 따라 연장될 수 있고, 제1 게이트 전극(GE1)의 양 측벽들 상에 배치되어 제1 게이트 전극(GE1)과 제1 게이트 스페이서(121a) 사이에 개재될 수 있다. 제2 게이트 유전 패턴(GD2)은 제2 게이트 전극(GE2)의 바닥면을 따라 연장될 수 있고, 제2 게이트 전극(GE2)의 양 측벽들 상에 배치되어 제2 게이트 전극(GE2)과 제2 게이트 스페이서(121b) 사이에 개재될 수 있다.
제1 게이트 전극(GE1) 아래에 배치되는 NMOSFET 영역(NR1)의 제1 활성 핀들(AF1)은 제1 채널 영역들(CH1)로 정의되고, 제1 게이트 전극(GE1) 아래에 배치되는 PMOSFET 영역(PR1)의 제1 활성 핀들(AF1)은 제2 채널 영역들(CH2)로 정의된다. 제1 채널 영역들(CH1)은 제1 소스/드레인 영역들(SD1) 사이에 개재될 수 있고, 제2 채널 영역들(CH2)은 제2 소스/드레인 영역들(SD2) 사이에 게재될 수 있다. 제2 게이트 전극(GE2) 아래에 배치되는 NMOSFET 영역(NR2)의 제2 활성 핀들(AF2)은 제3 채널 영역들(CH3)로 정의되고, 제2 게이트 전극(GE2) 아래에 배치되는 PMOSFET 영역(PR2)의 제2 활성 핀(AF2)은 제4 채널 영역(CH4)로 정의된다. 제3 채널 영역들(CH3)은 제3 소스/드레인 영역들(SD3) 사이에 개재될 수 있고, 제4 채널 영역은 제4 소스/드레인 영역들(SD4) 사이에 게재될 수 있다. 제1 게이트 유전 패턴(GD1) 및 제1 게이트 전극(GE1)은 제1 구조체(GS)로 정의되고, 제2 게이트 유전 패턴(GD2) 및 제2 게이트 전극(GE2)은 제2 게이트 구조체(GS2)로 정의된다.
도 10a 내지 도 10c를 참조하면, 제1 및 제2 게이트 전극들(GE1, GE2)을 포함하는 결과물 상에 게이트 캡핑막(145) 및 제2 층간 절연막(150)이 순차적으로 형성될 수 있다. 게이트 캡핑막(145)은 게이트 구조체들(GS1, GS2) 및 제1 층간 절연막(130)을 덮을 수 있다. 게이트 캡핑막(145)은 일 예로, 실리콘 질화막을 포함할 수 있다. 제2 층간 절연막(150)은 실리콘 산화막, 실리콘 질화막, 실리콘 산질화막, 또는 저유전막들 중 적어도 하나를 포함할 수 있다. 게이트 캡핑막(145) 및 제2 층간 절연막(150) 각각은 일 예로, CVD 공정에 의해 형성될 수 있다. 제1 및 제2 게이트 전극들(GE1, GE) 사이의 단차에 의해, 제1 영역(R1)의 제2 층간 절연막(150)과 제2 영역(R2)의 제2 층간 절연막(150) 사이에 단차가 발생할 수 있다. 즉, 제1 영역(R1)의 제2 층간 절연막(150)의 상면(150S1)은 제2 영역(R2)의 제2 층간 절연막(150)의 상면(150S2)보다 낮을 수 있다.
이어서, 제2 층간 절연막(150), 게이트 캡핑막(145), 제1 층간 절연막(130) 및 콘택 식각 정지막(125)을 관통하여 소스/드레인 영역들을 노출하는 제1 내지 제5 콘택 홀들(H1~H5)이 형성될 수 있다. 제1 콘택 홀들(H1)은 제1 게이트 구조체(GS1) 양측의 제1 소스/드레인 영역들(SD1)을 노출할 수 있고, 제2 콘택 홀들(H2)은 제1 게이트 구조체(GS1) 양측의 제2 소스/드레인 영역(SD2)을 노출할 수 있다. 제3 콘택 홀(H3)은 제2 게이트 구조체(GS2)의 일측의 제3 및 제4 소스/드레인 영역들(SD3, SD4)을 노출할 수 있고, 제4 콘택 홀(H4)은 제2 게이트 구조체(GS2) 일측의 제4 소스/드레인 영역(SD4)을 노출할 수 있다. 제5 콘택 홀(H5)은 제2 게이트 구조체(GS2)의 타측의 제3 및 제4 소스/드레인 영역들(SD3, SD4)을 노출할 수 있다. 제1 내지 제5 콘택 홀들(H1~H5)은 제2 층간 절연막(150) 상에 마스크 패턴(미도시)을 형성한 후, 이를 식각 마스크로 하는 이방성 식각 공정을 수행되어 형성될 수 있다. 일 실시예에 따르면, 이방성 식각 공정은 제1 내지 제5 콘택 홀들(H1~H5)에 노출되는 제1 내지 제4 소스/드레인 영역들(SD1~SD4)의 상부를 부분적으로 더 식각하도록 수행될 수 있다. 본 발명의 실시예에 따르면, 제1 및 제2 게이트 전극들(GE1, GE2)이 단차를 가지도록 형성됨에 따라, 제1 층간 절연막(130)의 상면(130S1, 130S2)도 각 영역들(R1, R2)에 따라 단차를 가질 수 있다. 즉, 제1 영역(R1)의 제1 층간 절연막(130)의 상면(130S1)은 제2 영역(R2)의 제1 층간 절연막(130)의 상면(130S2) 보다 낮을 수 있다. 달리 얘기하면, 제1 영역(R1)의 제1 층간 절연막(130)의 두께는 제2 영역(R2)의 제1 층간 절연막(130)의 두께보다 작을 수 있다. 이에 따라, 이방성 식각 공정이 수행되는 동안, 제1 및 제2 소스/드레인 영역들(SD1, SD2)은 제3 및 제4 소스/드레인 영역들(SD3, SD4)보다 제1 및 제2 콘택 홀들(H1, H2)에 의해 먼저 노출될 수 있다. 이에 따라, 제1 및 제2 소스 드레인 영역들(SD1, SD2)의 상부는 과식각 될 수 있다. 그 결과, 제1 및 제2 콘택 홀들(H1, H2)은 제1 및 제2 소스/드레인 영역들(SD1, SD2)의 제1 및 제2 경계들(IS1, IS2)보다 아래에 위치하는 바닥면들을 가지도록 형성될 수 있다. 한편, 패턴 밀도에 따른 식각량의 차이로 인해, 제5 콘택 홀(H5)의 바닥면은 복수의 평탄면들 및 복수의 경사면들을 갖도록 형성될 수 있다. 이에 더해, 제5 콘택 홀(H5)은 제5 리세스 영역(RS5)의 바닥면(BS5) 상의 콘택 식각 정지막(125)을 노출하도록 형성될 수 있다.
다시 도 2a 내지 도 2c를 참조하면, 제1 내지 제5 콘택 홀들(H1~H5, 도 10a 및 도 10b 참조) 내에 각각 제1 내지 제5 소스/드레인 콘택들(CT1~CT5)이 형성될 수 있다. 제1 및 제2 소스/드레인 콘택들(CT1, CT2)은 각각 제1 도전 패턴(160a) 및 제1 도전 패턴(160a) 상의 제2 도전 패턴(165a)을 포함할 수 있고, 제3 내지 제5 소스/드레인 콘택들(CT3~CT5)은 각각 제1 도전 패턴(160b) 및 제1 도전 패턴(160b) 상의 제2 도전 패턴(165b)을 포함할 수 있다. 구체적으로, 제1 내지 제5 소스/드레인 콘택들(CT1~CT5)은 기판(100) 상에 제1 내지 제5 콘택 홀들(H1~H5)을 채우는 도전성 물질막을 형성한 후, 제2 층간 절연막(150)의 상면이 노출될 때까지 도전성 물질막을 평탄화하여 형성될 수 있다. 일 실시예에 있어서, 도전성 물질막을 형성하는 것은 제1 도전막 및 제2 도전막을 순차적으로 증착하는 것을 포함할 수 있다. 제1 도전막은 배리어 도전막일 수 있다. 일 예로, 제1 도전막은 티타늄 질화막, 텅스텐 질화막, 또는 탄탈륨 질화막 중 적어도 하나를 포함할 수 있다. 제2 도전막은 금속막일 수 있다. 일 예로, 제2 도전막은 텅스텐, 티타늄 및 탄탈륨 중 적어도 하나를 포함할 수 있다. 도시하지는 않았지만, 제1 도전막의 형성 후 열처리 공정이 수행되어 제1 도전막과 각각의 소스/드레인 영역들 사이에 금속 실리사이드막이 형성될 수 있다. 금속 실리사이드막은 일 예로, 티타늄-실리사이드, 탄탈륨-실리사이드, 및 텅스텐-실리사이드 중 적어도 하나를 포함할 수 있다.
도시하지는 않았지만, 제2 층간 절연막(150) 상에 제1 내지 제5 소스/드레인 콘택들(CT1~CT5)과 각각 접속하는 배선들이 형성될 수 있다. 배선들은 도전 물질을 포함할 수 있다.
도 11은 본 발명의 실시예들에 따른 반도체 소자를 포함하는 시모스 에스램 셀(CMOS SRAM cell)의 등가 회로도이다. 도 14를 참조하면, 시모스 에스램 셀은 한 쌍의 구동 트랜지스터들(driver transistors: TD1, TD2), 한 쌍의 전송 트랜지스터들(transfer transistors: TT1, TT2), 및 한 쌍의 부하 트랜지스터들(load transistors: TL1, TL2)을 포함할 수 있다. 구동 트랜지스터들(TD1, TD2)은 풀다운 트랜지스터(pull-down transistor)일 수 있고, 전송 트랜지스터들(TT1, TT2)은 패스 트랜지스터(paSS transistor)일 수 있고, 부하 트랜지스터들(TL1, TL2)은 풀업 트랜지스터(pull-up transistor)일 수 있다. 구동 트랜지스터들(TD1, TD2) 및 전송 트랜지스터들(TT1, TT2)은 NMOS 트랜지스터들일 수 있고, 부하 트랜지스터들(TL1, TL2)은 PMOS 트랜지스터들일 수 있다. 본 발명의 실시예들에 따른 제3 트랜지스터(TR3, 도 1 참조)는 구동 트랜지스터들(TD1, TD2) 및 전송 트랜지스터들(TT1, TT2) 중 하나일 수 있고, 제4 트렌지스터(TR4, 도 1 참조)는 부하 트랜지스터들(TL1, TL2)중 하나일 수 있다.
제 1 구동 트랜지스터(TD1)와 제 1 전송 트랜지스터(TT1)는 서로 직렬로 연결될 수 있다. 제 1 구동 트랜지스터(TD1)의 소스 영역은 접지선(VSS)에 전기적으로 연결되고, 제 1 전송 트랜지스터(TT1)의 드레인 영역은 제 1 비트 라인(BL1)에 전기적으로 연결될 수 있다. 제 2 구동 트랜지스터(TD2)와 제 2 전송 트랜지스터(TT2)는 직렬로 연결될 수 있다. 제 2 구동 트랜지스터(TD2)의 소스 영역은 접지선(VSS)에 전기적으로 연결되고, 제 2 전송 트랜지스터(TT2)의 드레인 영역은 제 2 비트 라인(BL2)에 전기적으로 연결될 수 있다.
제 1 부하 트랜지스터(TL1)의 소스 영역 및 드레인 영역은 각각 전원선(Vcc) 및 제 1 구동 트랜지스터(TD1)의 드레인 영역에 전기적으로 연결될 수 있다. 제 2 부하 트랜지스터(TL2)의 소스 영역 및 드레인 영역은 전원선(Vcc) 및 제 2 구동 트랜지스터(TD2)의 드레인 영역에 전기적으로 연결될 수 있다. 제 1 부하 트랜지스터(TL1)의 드레인 영역, 제 1 구동 트랜지스터(TD1)의 드레인 영역 및 제 1 전송 트랜지스터(TT1)의 소스 영역은 제 1 노드(N1)에 해당한다. 제 2 부하 트랜지스터(TL2)의 드레인 영역, 제 2 구동 트랜지스터(TD2)의 드레인 영역 및 제 2 전송 트랜지스터(TT2)의 소스 영역은 제 2 노드(N2)에 해당한다. 제 1 구동 트랜지스터(TD1)의 게이트 전극 및 제 1 부하 트랜지스터(TL1)의 게이트 전극은 제 2 노드(N2)에 전기적으로 연결되고, 제 2 구동 트랜지스터(TD2)의 게이트 전극 및 제 2 부하 트랜지스터(TL2)의 게이트 전극은 제 1 노드(N1)에 전기적으로 연결될 수 있다. 제 1 및 제 2 전송 트랜지스터들(TT1, TT2)의 게이트 전극들은 워드라인(WL)에 전기적으로 연결될 수 있다. 제 1 구동 트랜지스터(TD1), 제 1 전송 트랜지스터(TT1), 및 제 1 부하 트랜지스터(TL1)는 제 1 하프 셀(H1)을 구성하고, 제 2 구동 트랜지스터(TD2), 제 2 전송 트랜지스터(TT2), 및 제 2 부하 트랜지스터(TL2)는 제 2 하프 셀(H2)을 구성할 수 있다.
도 12는 본 발명의 실시예들에 따른 반도체 소자를 포함하는 전자 시스템의 블록도이다.
도 12를 참조하면, 본 발명의 실시예에 따른 전자 시스템(1100)은 컨트롤러(1110, controller), 입출력 장치(1120, I/O), 기억 장치(1130, memory device), 인터페이스(1140) 및 버스(1150, bus)를 포함할 수 있다. 컨트롤러(1110), 입출력 장치(1120), 기억 장치(1130) 및/또는 인터페이스(1140)는 버스(1150)를 통하여 서로 결합 될 수 있다. 버스(1150)는 데이터들이 이동되는 통로(path)에 해당한다.
컨트롤러(1110)는 마이크로프로세서, 디지털 신호 프로세스, 마이크로 컨트롤러, 및 이들과 유사한 기능을 수행할 수 있는 논리 소자들 중에서 적어도 하나를 포함할 수 있다. 입출력 장치(1120)는 키패드(keypad), 키보드 및 디스플레이 장치 등을 포함할 수 있다. 기억 장치(1130)는 데이터 및/또는 명령어 등을 저장할 수 있다. 인터페이스(1140)는 통신 네트워크로 데이터를 전송하거나 통신 네트워크로부터 데이터를 수신하는 기능을 수행할 수 있다. 인터페이스(1140)는 유선 또는 무선 형태일 수 있다. 예컨대, 인터페이스(1140)는 안테나 또는 유무선 트랜시버 등을 포함할 수 있다. 도시하지 않았지만, 전자 시스템(1100)은 컨트롤러(1110)의 동작을 향상시키기 위한 동작 메모리로서, 고속의 디램 및/또는 에스램등을 더 포함할 수도 있다. 본 발명의 실시예들에 따른 반도체 소자는 기억 장치(1130) 내에 제공되거나, 컨트롤러(1110), 입출력 장치(1120, I/O) 등의 일부로 제공될 수 있다.
전자 시스템(1100)은 개인 휴대용 정보 단말기(PDA, personal digital aSSistant) 포터블 컴퓨터(portable computer), 웹 타블렛(web tablet), 무선 전화기(wireleSS phone), 모바일 폰(mobile phone), 디지털 뮤직 플레이어(digital music player), 메모리 카드(memory card), 또는 정보를 무선환경에서 송신 및/또는 수신할 수 있는 모든 전자 제품에 적용될 수 있다.
도 13은 본 발명의 실시예들에 따른 반도체 소자를 포함하는 전자 장치의 구성을 보여주는 블록도이다.
도 13을 참조하면, 전자 장치(1200)는 반도체 칩(1210)을 포함할 수 있다. 반도체 칩(1210)은 프로세서(Processor; 1211), 임베디드 메모리(Embedded Memory; 1213), 캐시 메모리(Cache Memory; 1215) 및 I/O 단자(Input/Output terminal)를 포함할 수 있다.
프로세서(1211)는 하나 이상의 프로세서 코어들(Processor Core; C1-Cn)을 포함할 수 있다. 하나 이상의 프로세서 코어들(C1-Cn)은 데이터 및 신호를 처리할 수 있다.
전자 장치(1200)는 처리된 데이터 및 신호를 이용하여 고유의 기능을 수행할 수 있다. 일 예로, 프로세서(1211)는 어플리케이션 프로세서(Application Processor)일 수 있다.
임베디드 메모리(1213)는 프로세서(1211)와 제1 데이터(DAT1)를 교환할 수 있다. 제1 데이터(DAT1)는 하나 이상의 프로세서 코어들(C1-Cn)에 의해 처리된 또는 처리될 데이터이다. 임베디드 메모리(1213)는 제1 데이터(DAT1)를 관리할 수 있다. 예를 들어, 임베디드 메모리(1213)는 제1 데이터(DAT1)를 버퍼링(Buffering)할 수 있다. 즉, 임베디드 메모리(1213)는 프로세서(1211)의 버퍼 메모리 또는 워킹 메모리(Working Memory)로서 작동할 수 있다.
일 실시예에 따르면, 전자 장치(1200)는 웨어러블(Wearable) 전자 장치에 적용될 수 있다. 웨어러블 전자 장치는 많은 양의 연산을 필요로 하는 기능보다 적은 양의 연산을 필요로 하는 기능을 더 많이 수행할 수 있다. 따라서, 전자 장치(1200)가 웨어러블 전자 장치에 적용될 경우, 임베디드 메모리(1213)는 큰 버퍼 용량을 갖지 않아도 무방할 수 있다.
임베디드 메모리(1213)는 SRAM일 수 있다. SRAM은 DRAM 보다 빠른 속도로 작동할 수 있다. SRAM이 반도체 칩(1210)에 임베디드되면, 작은 크기를 갖고 빠른 속도로 작동하는 전자 장치(1200)가 구현될 수 있다. 나아가, SRAM이 반도체 칩(1210)에 임베디드되면, 전자 장치(1200)의 작동 전력(Active Power)의 소모량이 감소할 수 있다. SRAM은 본 발명의 실시예들에 따른 반도체 소자를 포함할 수 있다.
캐시 메모리(1215)는 하나 이상의 프로세서 코어들(C1 내지 Cn)과 함께 반도체 칩(1210) 위에 실장될 수 있다. 캐시 메모리(1215)는 캐시 데이터(DATc)를 저장할 수 있다. 캐시 데이터(DATc)는 하나 이상의 프로세서 코어들(C1 내지 Cn)에 의해 이용되는 데이터일 수 있다. 캐시 메모리(1215)는 적은 저장 용량을 갖지만, 매우 빠른 속도로 작동할 수 있다. 캐시 메모리(1215)는 본 발명의 실시예들에 따른 반도체 소자를 포함하는 SRAM(Static Random Access Memory)을 포함할 수 있다. 캐시 메모리(1215)가 이용되는 경우, 프로세서(1211)가 임베디드 메모리(1213)에 접근하는 횟수 및 시간이 감소할 수 있다. 따라서, 캐시 메모리(1215)가 이용되는 경우, 전자 장치(1200)의 작동 속도가 빨라질 수 있다.
I/O 단자(1217)는 프로세서(1211)에 동작 전압을 공급하는 것을 제어할 수 있다. 즉, 프로세서(1211)의 프로세서 코어들(C1-Cn)은 I/O 단자(1217)를 통해 안전하게 전압을 공급받을 수 있다. I/O 단자(1217)는 본 발명의 실시예들에 따른 제1 및 제2 트랜지스터들(TR1, TR2, 도 1 참조)을 포함할 수 있다.
이해를 돕기 위해, 도 13에서, 캐시 메모리(1215)는 프로세서(1211)와 별개의 구성 요소로 도시되었다. 그러나, 캐시 메모리(1215)는 프로세서(1211)에 포함되도록 구성될 수 있다. 도 13은 본 발명의 기술 사상의 보호 범위를 제한하기 위한 것은 아니다.
프로세서(1211), 임베디드 메모리(1213) 및 캐시 메모리(1215)는 다양한 인터페이스 규약에 기초하여 데이터를 전송할 수 있다. 예컨대, 프로세서(1211), 임베디드 메모리(1213) 및 캐시 메모리(1215)는 USB(Universal Serial Bus), SCSI(Small Computer System Interface), PCI(Peripheral Component Interconnect) Express, ATA(Advanced Technology Attachment), PATA(Parallel ATA), SATA(Serial ATA), SAS(Serial Attached SCSI), IDE(Integrated Drive Electronics), UFS(Universal Flash Storage) 등 중에서 하나 이상의 인터페이스 규약에 기초하여 데이터를 전송할 수 있다.
전자 시스템(도 12의 1100)은 다양한 전자기기들의 전자 제어 장치에 적용될 수 있다. 도 14는 전자 시스템(도 12의 1100)이 모바일 폰(2000)에 적용되는 예를 도시한다. 그 밖에, 전자 시스템(도 12의 1100)은 도 15에 도시된 태블릿 또는 스마트 태블릿(3000)에 적용될 수 있으며, 또한 도 16에 도시된 노트북 컴퓨터(4000)에 적용될 수 있다.
이상, 첨부된 도면을 참조하여 본 발명의 실시예를 설명하였지만, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자는 본 발명이 그 기술적 사상이나 필수적인 특징을 변경하지 않고서 다른 구체적인 형태로 실시될 수 있다는 것을 이해할 수 있을 것이다. 그러므로 이상에서 기술한 실시예에는 모든 면에서 예시적인 것이며 한정적이 아닌 것으로 이해해야만 한다.

Claims (20)

  1. 기판으로부터 돌출된 복수의 활성 패턴들;
    상기 복수의 활성 패턴들을 가로지르는 게이트 구조체;
    상기 게이트 구조체 양측의 상기 복수의 활성 패턴들 상에 각각 배치되는 복수의 소스/드레인 영역들; 및
    상기 복수의 활성 패턴들을 가로지르며, 상기 복수의 소스/드레인 영역들과 공통적으로 연결되는 소스/드레인 콘택들을 포함하고,
    상기 복수의 소스/드레인 영역들 각각은:
    상기 복수의 활성 패턴들의 상면과 접하며, 상기 기판으로부터 멀어짐에 따라 실질적으로 증가하는 폭을 갖는 제1 부분; 및
    상기 제1 부분으로부터 연장되고, 상기 기판으로부터 멀어짐에 따라 실질적으로 감소하는 폭을 갖는 제2 부분을 포함하되,
    상기 소스/드레인 콘택들의 바닥면은, 상기 제1 및 제2 부분들 사이의 경계보다 낮은 반도체 소자.
  2. 제 1 항에 있어서,
    상기 바닥면은, 상기 복수의 활성 패턴들의 상기 상면보다 높은 반도체 소자.
  3. 제 1 항에 있어서,
    상기 바닥면은 상기 기판의 상면에 실질적으로 평행한 평탄면인 반도체 소자.
  4. 제 1 항에 있어서,
    상기 바닥면은 굴곡지는 곡면을 포함하는 반도체 소자.
  5. 제 1 항에 있어서,
    상기 복수의 활성 패턴들은 실질적으로 동일한 간격으로 서로 이격되는 반도체 소자.
  6. 제 1 항에 있어서,
    상기 소스/드레인 영역들 각각은, 상기 복수의 활성 패턴들의 상기 상면보다 낮은 레벨에 위치하고, 상기 복수의 활성 패턴들의 측벽들과 접하는 제3 부분을 더 포함하되,
    상기 제3 부분의 최하부는 상기 복수의 활성패턴들의 상기 측벽들과 이격되는 반도체 소자.
  7. 제 1 항에 있어서,
    상기 기판 상에 배치되고, 상기 복수의 활성 패턴들의 측벽들의 일부를 덮는 소자분리 패턴을 더 포함하되,
    상기 소자분리 패턴은:
    상기 게이트 구조체 아래의 제1 영역; 및
    상기 게이트 구조체의 양측의 제2 영역을 포함하고,
    상기 제2 영역은, 그 바닥면의 높이가 상기 제1 영역의 상면보다 낮은 복수의 리세스 영역들을 포함하는 반도체 소자.
  8. 제 7 항에 있어서,
    상기 복수의 리세스 영역들은 상기 복수의 활성 패턴들 사이의 제1 리세스 영역들, 및 상기 복수의 활성 패턴들 양측의 제2 리세스 영역들을 포함하되,
    상기 제1 리세스 영역들의 바닥면들은 상기 제2 리세스 영역들의 바닥면들보다 높은 반도체 소자.
  9. 제 8 항에 있어서,
    상기 제1 리세스 영역들은 에어갭을 포함하는 반도체 소자.
  10. 제 1 항에 있어서
    상기 게이트 구조체는:
    상기 복수의 활성 패턴들을 가로지르는 게이트 전극; 및
    상기 복수의 활성 패턴들과 상기 게이트 전극 사이의 게이트 유전 패턴을 포함하되,
    상기 게이트 유전 패턴은 제1 서브 게이트 유전 패턴, 및 상기 제1 서브 게이트 유전 패턴보다 유전 상수가 높은 제2 서브 게이트 유전 패턴을 포함하는 반도체 소자.
  11. 서로 다른 제1 영역 및 제2 영역을 포함하는 기판;
    상기 제1 영역의 기판으로부터 돌출되고, 서로 동일한 간격으로 이격되는 복수의 제1 활성 패턴들;
    상기 제2 영역의 기판으로부터 돌출되고, 서로 다른 간격으로 이격되는 복수의 제2 활성 패턴들;
    상기 복수의 제1 활성 패턴들을 가로지르는 제1 게이트 구조체;
    상기 복수의 제2 활성 패턴들을 가로지르는 제2 게이트 구조체;
    상기 제1 게이트 구조체 일측의 상기 복수의 제1 활성 패턴들 상에 각각 배치되는 복수의 제1 소스/드레인 영역들;
    상기 제2 게이트 구조체 일측의 상기 복수의 제2 활성 패턴들 상에 각각 배치되는 복수의 제2 소스/드레인 영역들;
    상기 복수의 제1 활성 패턴들을 가로지르며, 상기 복수의 제1 소스/드레인 영역들과 공통으로 연결되는 제1 소스/드레인 콘택; 및
    상기 복수의 제2 활성 패턴들을 가로지르며, 상기 복수의 제2 소스/드레인 영역들과 공통으로 연결되는 제2 소스/드레인 콘택을 포함하되,
    상기 제1 소스/드레인 콘택의 상면은 상기 제2 소스/드레인 콘택의 상면보다 낮은 반도체 소자.
  12. 제 11 항에 있어서,
    상기 제1 소스/드레인 콘택의 바닥면은 상기 기판의 상면에 실질적으로 평행한 평탄면인 반도체 소자.
  13. 제 12 항에 있어서,
    상기 제2 소스/드레인 콘택의 바닥면은 복수의 평탄면들과 복수의 경사면들을 포함하는 반도체 소자.
  14. 제 13 항에 있어서,
    상기 제1 소스/드레인 콘택의 상기 바닥면은 상기 복수의 평탄면들 중의 최상면보다 낮은 반도체 소자.
  15. 제 11 항에 있어서,
    상기 제1 게이트 구조체는:
    상기 복수의 제1 활성 패턴들을 가로지르는 제1 게이트 전극; 및
    상기 복수의 제1 활성 패턴들과 상기 제1 게이트 전극 사이의 제1 게이트 유전 패턴을 포함하고,
    상기 제2 게이트 구조체는:
    상기 복수의 제2 활성 패턴들을 가로지르는 제2 게이트 전극; 및
    상기 복수의 제2 활성 패턴들과 상기 제2 게이트 전극 사이의 제2 게이트 유전 패턴을 포함하되,
    상기 제1 게이트 전극의 상면은 상기 제2 게이트 전극의 상면보다 낮은 반도체 소자.
  16. 제 15 항에 있어서,
    상기 제1 게이트 전극의 폭은 상기 제2 게이트 전극의 폭보다 큰 반도체 소자.
  17. 제 15 항에 있어서,
    상기 제1 게이트 유전 패턴은 제1 서브 게이트 유전 패턴, 및 상기 제1 서브 게이트 유전 패턴보다 유전 상수가 높은 제2 서브 게이트 유전 패턴을 포함하는 반도체 소자.
  18. 제 11 항에 있어서,
    상기 복수의 제1 소스/드레인 영역들 각각은:
    상기 복수의 제1 활성 패턴들의 상면과 접하며, 상기 기판으로부터 멀어짐에 따라 실질적으로 증가하는 폭을 갖는 제1 부분; 및
    상기 제1 부분으로부터 연장되고, 상기 기판으로부터 멀어짐에 따라 실질적으로 감소하는 폭을 갖는 제2 부분을 포함하되,
    상기 제1 소스/드레인 콘택의 상기 바닥면은 상기 제1 및 제2 부분들 사이의 경계보다 낮은 반도체 소자.
  19. 제 11 항에 있어서,
    상기 복수의 제2 활성 패턴들은 제1 거리로 서로 이격된 한 쌍의 제1 서브 활성 패턴들, 및 상기 한쌍의 제1 서브 활성 패턴들로부터 상기 제1 거리보다 큰 제2 거리로 이격된 제2 서브 활성 패턴을 포함하고,
    상기 복수의 제2 소스/드레인 영역들은, 상기 한 쌍의 제1 서브 활성 패턴들 및 제2 서브 활성 패턴 상에 각각 배치되는 제1 내지 제3 서브 소스/드레인 영역들을 포함하되,
    상기 제1 및 제2 서브 소스/드레인 영역들은 상기 제3 서브 소스/드레인 영역과 서로 다른 도전형을 갖는 반도체 소자.
  20. 제 19 항에 있어서,
    상기 제2 소스/드레인 콘택은, 상기 제2 서브 활성 패턴과 이에 인접한 제1 서브 활성 패턴 사이로 연장되는 연장부를 포함하는 반도체 소자.
KR1020150043085A 2015-03-27 2015-03-27 반도체 소자 KR102365305B1 (ko)

Priority Applications (6)

Application Number Priority Date Filing Date Title
KR1020150043085A KR102365305B1 (ko) 2015-03-27 2015-03-27 반도체 소자
US15/053,262 US20160284697A1 (en) 2015-03-27 2016-02-25 Semiconductor device
CN201610126260.2A CN106024868B (zh) 2015-03-27 2016-03-07 半导体装置
US15/724,874 US10283502B2 (en) 2015-03-27 2017-10-04 Semiconductor device
US16/379,908 US10643995B2 (en) 2015-03-27 2019-04-10 Semiconductor device
US16/829,040 US10867997B2 (en) 2015-03-27 2020-03-25 Semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020150043085A KR102365305B1 (ko) 2015-03-27 2015-03-27 반도체 소자

Publications (2)

Publication Number Publication Date
KR20160116215A true KR20160116215A (ko) 2016-10-07
KR102365305B1 KR102365305B1 (ko) 2022-02-22

Family

ID=56975793

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020150043085A KR102365305B1 (ko) 2015-03-27 2015-03-27 반도체 소자

Country Status (3)

Country Link
US (4) US20160284697A1 (ko)
KR (1) KR102365305B1 (ko)
CN (1) CN106024868B (ko)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190022256A (ko) * 2017-08-25 2019-03-06 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Finfet 격리 구조물 및 이를 제조하기 위한 방법
KR20190094977A (ko) * 2018-02-06 2019-08-14 삼성전자주식회사 소스/드레인 영역을 가지는 반도체 소자
KR20190133376A (ko) * 2018-05-23 2019-12-03 삼성전자주식회사 반도체 소자
KR20200065617A (ko) * 2018-11-30 2020-06-09 삼성전자주식회사 반도체 장치 및 그 제조 방법
KR20200100887A (ko) * 2019-02-18 2020-08-27 삼성전자주식회사 반도체 소자 및 이의 제조 방법

Families Citing this family (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102258109B1 (ko) * 2015-06-08 2021-05-28 삼성전자주식회사 누설 전류를 차단할 수 있는 반도체 소자 및 그의 형성 방법
US9721896B2 (en) 2015-09-11 2017-08-01 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnection structure, fabricating method thereof, and semiconductor device using the same
KR102530671B1 (ko) 2015-12-31 2023-05-10 삼성전자주식회사 반도체 소자 및 이를 제조하는 방법
US9865738B2 (en) * 2016-04-29 2018-01-09 Samsung Electronics Co., Ltd. Fin field effect transistor (FinFET) having air gap and method of fabricating the same
CN108172545A (zh) 2016-12-08 2018-06-15 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
US10037912B2 (en) * 2016-12-14 2018-07-31 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacturing the same
KR102568562B1 (ko) * 2017-01-24 2023-08-18 삼성전자주식회사 반도체 장치
US9985023B1 (en) * 2017-02-21 2018-05-29 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure
KR102330087B1 (ko) * 2017-04-03 2021-11-22 삼성전자주식회사 반도체 장치 및 이의 제조 방법
KR102222538B1 (ko) * 2017-04-07 2021-03-05 삼성전자주식회사 반도체 장치
KR102318560B1 (ko) * 2017-04-12 2021-11-01 삼성전자주식회사 반도체 소자
US10741569B2 (en) 2017-06-30 2020-08-11 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10510875B2 (en) * 2017-07-31 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Source and drain structure with reduced contact resistance and enhanced mobility
KR102519551B1 (ko) * 2017-08-03 2023-04-10 삼성전자주식회사 반도체 소자
US10811507B2 (en) * 2017-09-20 2020-10-20 International Business Machines Corporation Vertical transistors having multiple gate thicknesses for optimizing performance and device density
US10651284B2 (en) 2017-10-24 2020-05-12 Globalfoundries Inc. Methods of forming gate contact structures and cross-coupled contact structures for transistor devices
KR102291538B1 (ko) * 2017-11-10 2021-08-18 삼성전자주식회사 반도체 장치
US10629749B2 (en) 2017-11-30 2020-04-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of treating interfacial layer on silicon germanium
KR102460847B1 (ko) 2018-05-25 2022-10-28 삼성전자주식회사 반도체 장치 및 그 제조 방법
KR102472070B1 (ko) * 2018-06-12 2022-11-30 삼성전자주식회사 반도체 소자
KR102446403B1 (ko) * 2018-06-22 2022-09-21 삼성전자주식회사 반도체 장치, 반도체 장치의 제조 방법 및 반도체 장치의 레이아웃 디자인 방법
CN110875237B (zh) * 2018-08-29 2021-12-14 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
KR102612592B1 (ko) * 2018-10-15 2023-12-12 삼성전자주식회사 반도체 소자
US10896848B1 (en) * 2019-10-15 2021-01-19 Nanya Technology Corporation Method of manufacturing a semiconductor device
US11728223B2 (en) * 2019-12-20 2023-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and methods of manufacture
KR20210111625A (ko) * 2020-03-03 2021-09-13 삼성전자주식회사 강유전 박막 구조체 및 이를 포함하는 전자 소자
KR20210145585A (ko) 2020-05-25 2021-12-02 삼성전자주식회사 집적회로 소자 및 이의 제조 방법
DE102020133440B4 (de) * 2020-05-29 2024-01-11 Taiwan Semiconductor Manufacturing Co., Ltd. Dielektrische Finnen mit Luftspalt und selbstjustiertem Rückseitenkontakt und zugehörige Herstellungsverfahren
KR20210151277A (ko) * 2020-06-04 2021-12-14 삼성전자주식회사 반도체 소자 및 그의 제조 방법
KR20210153385A (ko) * 2020-06-10 2021-12-17 삼성전자주식회사 집적회로 장치
KR20220111772A (ko) * 2021-02-01 2022-08-10 삼성전자주식회사 반도체 메모리 장치
US11923363B2 (en) * 2021-09-20 2024-03-05 International Business Machines Corporation Semiconductor structure having bottom isolation and enhanced carrier mobility
US20230389292A1 (en) * 2022-05-25 2023-11-30 Nanya Technology Corporation Memory structure and method of manufacturing the same
CN117119784B (zh) * 2023-10-25 2024-01-30 合肥晶合集成电路股份有限公司 半导体结构及其制备方法

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20110104865A (ko) * 2010-03-17 2011-09-23 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Finfet의 제조 방법 및 그 방법으로 제조된 finfet
US20120280250A1 (en) * 2011-05-04 2012-11-08 Globalfoundries Inc. Spacer as hard mask scheme for in-situ doping in cmos finfets
KR20130088704A (ko) * 2012-01-31 2013-08-08 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 FinFET 바디 컨택 및 이의 제조 방법
KR20130103265A (ko) * 2012-03-08 2013-09-23 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 반도체 디바이스 및 이의 제조 방법
KR20150018343A (ko) * 2013-08-09 2015-02-23 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 자기-정렬된 듀얼-금속 실리사이드 및 게르마나이드 형성
US20150279840A1 (en) * 2014-03-28 2015-10-01 Taiwan Semiconductor Manufacturing Company, Ltd. Finfets with low source/drain contact resistance

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20010035659A (ko) 1999-10-01 2001-05-07 김영환 반도체장치의 캐패시턴스 감소방법
KR20010065293A (ko) * 1999-12-29 2001-07-11 박종섭 반도체 소자의 트랜지스터 제조방법
JP4717014B2 (ja) 2004-01-22 2011-07-06 インターナショナル・ビジネス・マシーンズ・コーポレーション 垂直型fin−fetmosデバイス
US8519481B2 (en) 2009-10-14 2013-08-27 Taiwan Semiconductor Manufacturing Company, Ltd. Voids in STI regions for forming bulk FinFETs
US8533639B2 (en) * 2011-09-15 2013-09-10 Taiwan Semiconductor Manufacturing Company, Ltd. Optical proximity correction for active region design layout
US8637930B2 (en) 2011-10-13 2014-01-28 International Business Machines Company FinFET parasitic capacitance reduction using air gap
US8377779B1 (en) * 2012-01-03 2013-02-19 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of manufacturing semiconductor devices and transistors
US9147765B2 (en) * 2012-01-19 2015-09-29 Globalfoundries Inc. FinFET semiconductor devices with improved source/drain resistance and methods of making same
KR20130089120A (ko) * 2012-02-01 2013-08-09 에스케이하이닉스 주식회사 미세 패턴들을 포함하는 반도체 소자 제조방법
US20130221414A1 (en) 2012-02-27 2013-08-29 Chao Zhao Semiconductor FET and Method for Manufacturing the Same
CN103367230B (zh) * 2012-04-09 2016-05-25 中芯国际集成电路制造(上海)有限公司 超薄绝缘体上硅结构的制作方法、半导体器件的制作方法
US9136383B2 (en) * 2012-08-09 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8946791B2 (en) 2012-08-31 2015-02-03 International Business Machines Corporation Finfet with reduced parasitic capacitance
US8981493B2 (en) 2013-01-09 2015-03-17 International Business Machines Corporation FinFET and method of fabrication
US9006786B2 (en) * 2013-07-03 2015-04-14 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of semiconductor device
CN103972285B (zh) * 2013-01-24 2019-05-07 联华电子股份有限公司 半导体元件及其制作方法
KR102049774B1 (ko) 2013-01-24 2019-11-28 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US9564353B2 (en) 2013-02-08 2017-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with reduced parasitic capacitance and methods of forming the same
CN104124174B (zh) * 2013-04-28 2017-02-22 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
CN104217964B (zh) * 2013-06-05 2017-12-29 中芯国际集成电路制造(上海)有限公司 导电插塞的形成方法
US9048317B2 (en) * 2013-07-31 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US9117908B2 (en) * 2013-12-16 2015-08-25 Globalfoundries Inc. Methods of forming replacement gate structures for semiconductor devices and the resulting semiconductor products
US9443769B2 (en) * 2014-04-21 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Wrap-around contact
US9543438B2 (en) * 2014-10-15 2017-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. Contact resistance reduction technique

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20110104865A (ko) * 2010-03-17 2011-09-23 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Finfet의 제조 방법 및 그 방법으로 제조된 finfet
US20120280250A1 (en) * 2011-05-04 2012-11-08 Globalfoundries Inc. Spacer as hard mask scheme for in-situ doping in cmos finfets
KR20130088704A (ko) * 2012-01-31 2013-08-08 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 FinFET 바디 컨택 및 이의 제조 방법
KR20130103265A (ko) * 2012-03-08 2013-09-23 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 반도체 디바이스 및 이의 제조 방법
KR20150018343A (ko) * 2013-08-09 2015-02-23 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 자기-정렬된 듀얼-금속 실리사이드 및 게르마나이드 형성
US20150279840A1 (en) * 2014-03-28 2015-10-01 Taiwan Semiconductor Manufacturing Company, Ltd. Finfets with low source/drain contact resistance

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190022256A (ko) * 2017-08-25 2019-03-06 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Finfet 격리 구조물 및 이를 제조하기 위한 방법
US10510751B2 (en) 2017-08-25 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET isolation structure and method for fabricating the same
US11251181B2 (en) 2017-08-25 2022-02-15 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET isolation structure and method for fabricating the same
KR20190094977A (ko) * 2018-02-06 2019-08-14 삼성전자주식회사 소스/드레인 영역을 가지는 반도체 소자
KR20190133376A (ko) * 2018-05-23 2019-12-03 삼성전자주식회사 반도체 소자
KR20200065617A (ko) * 2018-11-30 2020-06-09 삼성전자주식회사 반도체 장치 및 그 제조 방법
US11799004B2 (en) 2018-11-30 2023-10-24 Samsung Electronics Co., Ltd. Semiconductor device
KR20200100887A (ko) * 2019-02-18 2020-08-27 삼성전자주식회사 반도체 소자 및 이의 제조 방법

Also Published As

Publication number Publication date
US20190237462A1 (en) 2019-08-01
KR102365305B1 (ko) 2022-02-22
US20200227410A1 (en) 2020-07-16
US10867997B2 (en) 2020-12-15
CN106024868A (zh) 2016-10-12
US20180026032A1 (en) 2018-01-25
CN106024868B (zh) 2021-04-13
US10643995B2 (en) 2020-05-05
US20160284697A1 (en) 2016-09-29
US10283502B2 (en) 2019-05-07

Similar Documents

Publication Publication Date Title
KR102365305B1 (ko) 반도체 소자
KR102317651B1 (ko) 반도체 소자 및 이의 제조 방법
KR102340329B1 (ko) 반도체 소자
KR102290538B1 (ko) 반도체 소자 및 이의 제조 방법
KR102317646B1 (ko) 반도체 소자 및 이의 제조 방법
KR102455149B1 (ko) 반도체 소자의 제조 방법
KR102407994B1 (ko) 반도체 소자 및 이의 제조 방법
US9299811B2 (en) Methods of fabricating semiconductor devices
KR102259080B1 (ko) 반도체 소자 및 그 제조방법
KR101909091B1 (ko) 반도체 장치 및 그 제조 방법
KR102224386B1 (ko) 집적 회로 장치의 제조 방법
KR102269055B1 (ko) 반도체 소자의 제조 방법
KR102316247B1 (ko) 반도체 소자 및 이의 제조 방법
KR102395073B1 (ko) 반도체 소자
KR102191219B1 (ko) 반도체 소자 및 이의 제조 방법
KR20160141034A (ko) 반도체 소자 및 반도체 소자의 제조 방법
KR20160148795A (ko) 반도체 소자 및 이의 제조 방법
KR20160034492A (ko) 반도체 소자의 패턴 형성 방법 및 이를 이용하여 형성된 반도체 소자
US9773869B2 (en) Semiconductor device and method of fabricating the same
KR102307207B1 (ko) 전계 효과 트랜지스터를 포함하는 반도체 소자
KR102354473B1 (ko) 반도체 소자 및 이의 제조 방법
KR102200345B1 (ko) 반도체 소자 및 그 제조방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant