KR20120069674A - 스펙트럼 퓨리티 필터, 리소그래피 장치, 및 스펙트럼 퓨리티 필터 제조 방법 - Google Patents

스펙트럼 퓨리티 필터, 리소그래피 장치, 및 스펙트럼 퓨리티 필터 제조 방법 Download PDF

Info

Publication number
KR20120069674A
KR20120069674A KR1020127005513A KR20127005513A KR20120069674A KR 20120069674 A KR20120069674 A KR 20120069674A KR 1020127005513 A KR1020127005513 A KR 1020127005513A KR 20127005513 A KR20127005513 A KR 20127005513A KR 20120069674 A KR20120069674 A KR 20120069674A
Authority
KR
South Korea
Prior art keywords
base material
spectral purity
trenches
purity filter
radiation
Prior art date
Application number
KR1020127005513A
Other languages
English (en)
Inventor
바우터 소어
마틴 야크
로날드 데커
Original Assignee
코닌클리즈케 필립스 일렉트로닉스 엔.브이.
에이에스엠엘 네델란즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 코닌클리즈케 필립스 일렉트로닉스 엔.브이., 에이에스엠엘 네델란즈 비.브이. filed Critical 코닌클리즈케 필립스 일렉트로닉스 엔.브이.
Publication of KR20120069674A publication Critical patent/KR20120069674A/ko

Links

Images

Classifications

    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B5/00Optical elements other than lenses
    • G02B5/18Diffraction gratings
    • G02B5/1809Diffraction gratings with pitch less than or comparable to the wavelength
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/7055Exposure light control in all parts of the microlithographic apparatus, e.g. pulse length control or light interruption
    • G03F7/70575Wavelength control, e.g. control of bandwidth, multiple wavelength, selection of wavelength or matching of optical components to wavelength
    • GPHYSICS
    • G21NUCLEAR PHYSICS; NUCLEAR ENGINEERING
    • G21KTECHNIQUES FOR HANDLING PARTICLES OR IONISING RADIATION NOT OTHERWISE PROVIDED FOR; IRRADIATION DEVICES; GAMMA RAY OR X-RAY MICROSCOPES
    • G21K1/00Arrangements for handling particles or ionising radiation, e.g. focusing or moderating
    • G21K1/10Scattering devices; Absorbing devices; Ionising radiation filters
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • General Engineering & Computer Science (AREA)
  • Optics & Photonics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

극자외선을 투과하고 제2 유형의 방사선의 투과를 억제하도록 구성된 복수의 개구들을 가지는 스펙트럼 퓨리티 필터를 형성하는 방법으로서, 이 방법에서는 개구들 사이에 형성될 벽들에 대응하는 패턴으로 트렌치들이 형성된다. 그리드 물질의 벽들을 형성하기 위해 트렌치들을 그리드 물질로 채우며, 그리드 물질이 노출되어 개구들을 위한 그리드 물질 벽들 사이에 공간들이 형성될 때까지, 기저 물질을 선택적으로 제거한다.

Description

스펙트럼 퓨리티 필터, 리소그래피 장치, 및 스펙트럼 퓨리티 필터 제조 방법{SPECTRAL PURITY FILTER, LITHOGRAPHIC APPARATUS, AND METHOD FOR MANUFACTURING A SPECTRAL PURITY FILTER}
관련 출원에 대한 상호 참조
본 출원은 2009년 8월 27일에 출원된 미국 가출원 제61/237,610호의 이익을 주장하며, 상기 출원은 원용에 의해 그 전체가 본 출원에 포함된다.
본 발명은 스펙트럼 퓨리티 필터, 스펙트럼 퓨리티 필터를 포함하는 리소그래피 장치, 및 스펙트럼 퓨리티 필터 제조방법에 관한 것이다.
리소그래피 장치는 기판상에, 통상적으로는 기판의 타겟 영역 상에 원하는 패턴을 부여하는 장치이다. 리소그래피 장치는 예컨대 집적회로(IC)의 제조시에 사용될 수 있다. 그 경우, 마스크 또는 레티클(reticle)로도 지칭되는 패터닝 장치가 집적회로의 개개의 층 상에 형성될 회로 패턴을 생성하기 위해 사용될 수 있다. 이 패턴은 기판(예컨대, 실리콘 웨이퍼) 상의 타겟 영역(예컨대, 하나의 다이(die)의 일부분, 하나의 다이, 또는 여러 개의 다이를 포함) 상으로 전사(transfer)될 수 있다. 패턴의 전사는 통상적으로 기판 상에 제공된 방사선-감응재(레지스트)층 위에의 이미징(imaging)을 통해 수행된다. 일반적으로, 단일 기판은 연속적으로 패터닝되는 인접한 타겟 영역들의 네트워크를 포함할 것이다. 공지된 리소그래피 장치는, 타겟 영역 상에 패턴 전체를 한번에 노광함으로써 각각의 타겟 영역을 조사(照射)하는 소위 스테퍼(stepper), 및 소정의 방향("스캐닝"-방향)의 방사선 빔을 통해 패턴을 스캐닝하는 동시에, 이 방향과 평행한 방향(동일 방향으로 평행한 방향) 또는 역-평행 방향(반대 방향으로 평행한 방향)으로 기판을 스캐닝함으로써 각각의 타겟 영역을 조사하는 소위 스캐너를 포함한다. 또한, 기판상에 패턴을 임프린트(imprint)함으로써 패터닝 장치로부터 기판으로 패턴을 전사할 수도 있다.
프린팅 패턴을 제한하는 키 팩터(key factor)는 사용된 방사선의 파장(λ)이다. 기판상에 보다 작은 구조물들을 투영할 수 있도록 하기 위해, 10-20 nm의 범위, 예컨대 13-14 nm 범위 내의 파장을 갖는 전자기 방사선인 극자외(EUV: Extreme Ultraviolet)선을 사용하는 것이 제안되었다. 10 nm 미만의 파장을 갖는 EUV선이 예컨대 6.7 nm 또는 6.8 nm와 같은 5-10 nm의 범위 내에서 사용될 수 있다. 그러한 EUV 선은 종종 소프트 X-선으로 지칭된다. 가능한 소스들은, 예컨대 전자 스토리지 링(electron storage ring)들로부터의 싱크로트론(synchrotron) 방사선, 레이저-생성 플라즈마 소스, 또는 방전 플라즈마 소스를 포함한다.
주석(Sn) 플라즈마에 기반을 둔 EUV 소스는 원하는 대역 내 EUV 선(In-band EUV radiation)뿐 아니라 대역 외 방사선(Out-of-band radiation), 특히 DUV(Deep UV) 범위(100-400 mn)의 방사선을 방출한다. 게다가, 레이저 생성 플라즈마(LPP: Laser Produced Plasma) EUV 소스들의 경우에, 통상적으로 10.6 ㎛ 의 레이저로부터의 적외선은 상당한 양의 원치않는 방사선을 제공한다. EUV 리소그래피 시스템의 광학은 일반적으로 이 파장들에서 실질적인 반사율을 가지기 때문에, 조치가 취해지지 않을 경우 그 원치 않는 방사선은 상당한 힘으로 리소그래피 기구에 전파된다.
리소그래피 장치에서, 대역 외 방사선은 여러가지 이유로 최소화되어야 한다. 첫째로, 레지스트는 대역 외 파장들에 민감하고, 따라서 이미지 품질이 악화될 수 있다. 둘째로, 원치 않는 방사선, 특히 LPP 소스의 10.6 ㎛ 방사선은 마스크, 웨이퍼 및 광학장치의 원치않는 가열을 야기한다. 원치 않는 방사선을 특정 한계 내로 있도록 하기 위해, 스펙트럼 퓨리티 필터(SPF: Spectral purity filter)들을 개발하고 있다.
스펙트럼 퓨리티 필터들은 EUV 선에 대해 반사형(reflective)이거나 투과형(transmissive)일 수 있다. 반사형 SPF를 실행하기 위해서는 기존 미러의 변형 또는 추가적인 반사성 구성요소의 삽입이 필요하다. 투과형 SPF는 통상적으로 콜렉터(collector)와 조명기(illuminator) 사이에 위치되고, 원칙적으로는 적어도 방사선 경로에 영향을 미치지 않는다. 이것은 유연성과 다른 SPF들과의 호환성을 초래하기 때문에 이점이 될 수 있다.
그리드 SPF들은, 원치않는 방사선이 EUV 선보다 훨씬 더 큰 파장을 가질 때, 예컨대 LPP 소스들의 10.6 ㎛ 방사선의 경우에, 사용될 수도 있는 투과성 SPF들의 클래스를 형성한다. 그리드 SPF는 억제될 파장 차수의 크기를 가지는 개구들을 포함한다. 억제 메커니즘은 본 발명의 종래 기술 및 발명의 상세한 실시에들에서 서술된 상이한 유형의 그리드 SPF들 마다 다양하다. EUV 선의 파장(13.5 nm)은 개구들의 사이즈(통상적으로 3 ㎛보다 작음)보다 훨씬 작기 때문에, EUV 선은 실질적인 회절없이 개구들을 통해 투과된다.
여러 종래 기술 스펙트럼 퓨리티 필터(SPF)들은 원치않는 방사선을 억제하기 위해 마이크론 크기의 개구들을 가진 그리드에 의존한다. 미국 출원공개 공보 제2006/0146413호는 20 ㎛에 이르는 직경을 가진 개구들의 어레이를 포함하는 스펙트럼 퓨리티 필터(SPF)를 개시한다.
방사선 파장에 비교된 개구들의 사이즈에 따라, SPF는 상이한 메커니즘에 의해 원치않는 방사선을 억제할 수도 있다. 개구 사이즈가 (원치않는) 파장의 대략 반보다 작으면, SPF는 그 파장의 거의 모든 방사선을 반사한다. 개구 사이즈가 그 파장보다 크지만 여전히 그 파장의 차수이면, 방사선은 적어도 부분적으로 회절되고 개구 내의 도파관에 흡수될 수도 있다.
이 SPF 들에 대한 대락적인 물질 파라미터들 및 사양들은 공지되어 있다. 그러나, 제조는 이 사양들에서 단순하지 않다. 가장 어려운 사양들은: 통상적으로 4 ㎛의 직경의 개구; 통상적으로 5-10 ㎛의 그리드 두께; 최대 EUV 투과를 보장하기 위해 개구들 사이의 매우 얇고(통상적으로 1 ㎛보다 작음) 평행한(테이퍼링 되지 않음) 벽들이다.
실리콘은 반도체 제조로부터 잘 이해되는 이방성 에칭 프로세스들 및 포토리소그래피 패터닝을 사용하여, 그러한 그리드들의 제조를 위한 전망있는 물질로서 부상해 왔다. 비록 원하는 사양을 가진 EUV 스펙트럼 퓨리티 필터를 제조하는 방법을 제공하는 데 여전히 어려움이 있지만, 잘 제어된 단면을 가지는 깊은 개구(deep aperture)들을 위해 딥 반응성 이온 에칭(DRIE: Deep reactive ion etching)이 전망이 있다는 것이 발견되었다.
본 발명의 한 관점은 실행하기에 상대적으로 간단하고 EUV 스펙트럼 퓨리티 필터에 원하는 사양을 제공하는, EUV 스펙트럼 퓨리티 필터를 제조하는 방법을 제공하는 것이다.
본 발명의 한 관점에 따르면, 극자외선은 투과하고 제2 유형의 방사선의 투과는 억제하도록 구성된 복수의 개구들을 포함하는 스펙트럼 퓨리티 필터를 제조하는 방법이 제공되며, 이 방법은: 제1 주표면 및 제2 주표면을 갖는 기저 물질을 제공하는 것; 상기 스펙트럼 퓨리티 필터의 개구들 사이에 형성될 벽들에 대응하는 패턴으로 상기 기저 물질의 제1 표면에 트렌치들을 형성하는 것; 그리드 물질로 상기 트렌치들을 채워 그리드 물질의 벽들을 형성하는 것; 및 상기 그리드 물질이 노출되어 상기 그리드 물질의 벽들 사이에 공간들이 형성될 때까지 상기 기저 물질의 적어도 일부를 선택적으로 제거하여 상기 개구들을 형성하는 것을 포함한다.
트렌치들을 전기전도성 물질로 채울 수도 있다. 트렌치들을 채우는 프로세스는 전기도금 프로세스를 포함할 수도 있다. 트렌치들을 다결정 실리콘으로 채울 수도 있다. 다결정 실리콘으로 트렌치들을 채우는 프로세스는 저압 화학 기상증착법(LPCVD: Low-pressure chemical vapor deposition) 또는 플라즈마-강화 화학 기상증착법(PECVD: Plasma-enhanced chemical vapor deposition) 프로세스를 포함할 수도 있다. 트렌치들을 형성하는 것은, 트렌치들이 2 ㎛ 내지 10 ㎛ 범위의 깊이 및/또는 1 ㎛보다 작은 폭을 갖도록 구성될 수도 있다. 바람직하게, 그러나 필수적이지는 않게, 트렌치들은 5:1 및 20:1 범위의 깊이 대 폭의 비율을 가진다.
본 발명의 한 관점에 따르면, 이상의 방법에 따라 제조된 스펙트럼 퓨리티 필터가 제공된다.
본 발명의 한 관점에 따르면, 스펙트럼 퓨리티 필터의 제1 영역 내의 복수의 상호연결된 벽들을 포함하는 스펙트럼 퓨리티 필터로서, 상기 벽들은 스펙트럼 퓨리티 필터를 통해 복수의 개구들을 정의하고, 복수의 개구들은 극자외선은 투과하고 제2 유형의 방사선의 투과는 억제하도록 구성되는, 스펙트럼 퓨리티 필터; 및 제1 영역에 근접한 스펙트럼 퓨리티 필터의 제2 영역 내에 존재하고 복수의 상호연결된 벽들을 지지하도록 구성된 지지 프레임을 포함하고, 상호연결된 벽들이 형성되는 물질은, 상호연결된 벽들과 지지 프레임 사이의 기계적 연결을 제공하기 위해 지지 프레임 내의 하나 이상의 개구들 내로 연장된다. 지지 프레임은 단결정 실리콘과 같은 제1 물질로 형성될 수도 있고, 상호연결된 벽들은 제1 물질과 상이한 제2 물질로 형성될 수도 있다. 제2 물질은, 예컨대, 금속 또는 다결정 실리콘일 수도 있다. 상호연결된 벽들의 제2 물질이 연장되는 지지 프레임 내의 개구들에서, 제1 물질 및 제2 물질와 상이한 제3 물질의 층이 지지 프레임의 제1 물질을 제2 물질로부터 분리할 수도 있다.
본 발명의 한 관점에 따르면, 방사선 소스(radiation source) 및 이상에서와 같은 스펙트럼 퓨리티 필터를 포함하는 리소그래피 장치가 제공된다.
본 발명의 한 관점에 따르면, 극자외선은 투과하고 제2 유형의 방사선의 투과는 억제하도록 구성된 복수의 개구들; 스펙트럼 퓨리티 필터의 제1 영역 내의 복수의 상호연결된 벽들로서 상기 벽들은 상기 스펙트럼 퓨리티 필터를 통해 복수의 개구들을 정의하는, 복수의 상호연결된 벽들; 및 제1 영역에 근접한 스펙트럼 퓨리티 필터의 제2 영역 내에 존재하고 복수의 상호연결된 벽들을 지지하도록 구성된 지지 프레임을 포함하는 스펙트럼 퓨리티 필터를 포함하는 방사선 소스가 제공된다. 상호연결된 벽들이 형성되는 물질은, 상호연결된 벽들과 지지 프레임 간의 기계적 연결을 제공하기 위해 지지 프레임 내의 하나 이상의 개구들 내로 연장된다.
본 발명의 한 관점에 따르면, 스펙트럼 퓨리티 필터의 제1 영역 내에 복수의 상호연결된 벽들로서 상기 벽들은 스펙트럼 퓨리티 필터에 걸쳐 복수의 개구들을 정의하고, 상기 복수의 개구들은 극자외선은 투과하고 제2 유형의 방사선의 투과는 억제하도록 구성되는, 복수의 상호연결된 벽들; 및 제1 영역에 근접한 스펙트럼 퓨리티 필터의 제2 영역 내에 존재하고 복수의 상호연결된 벽들을 지지하도록 구성된 지지 프레임을 포함하는 스펙트럼 퓨리티 필터와, 극자외선 및 제2 유형의 방사선을 생성하도록 구성된 방사선 소스를 포함하는 리소그래피 장치가 제공된다. 상호연결된 벽들이 형성되는 물질은 상호연결된 벽들과 지지 프레임 간의 기계적 연결을 제공하기 위해 지지 프레임 내의 하나 이상의 개구들 내로 연장된다. 리소그래픽 장치는 또한 극자외선을 패터닝하도록 구성된 패터닝 디바이스를 지지하도록 구성된 지지대와 기판상에 패터닝된 방사선을 투영하도록 구성된 투영 시스템을 포함한다.
본 발명에 따르면 상대적으로 간단하고 EUV 스펙트럼 퓨리티 필터에 원하는 사양을 제공하는 EUV 스펙트럼 퓨리티 필터를 제조하는 방법을 제공된다.
이하에서는, 단지 예시를 목적으로 하는 본 발명의 실시예를 대응하는 부분에 대응하는 도면 부호가 부여되어 있는 첨부된 개략 도면을 참조하여 설명할 것이다.
도 1은 본 발명의 일실시예에 따른 리소그래피 장치를 도시하고 있다.
도 2는 본 발명의 일실시예에 따른 리소그래피 장치의 레이아웃을 도시하고 있다.
도 3은 본 발명의 일실시예에 따른 스펙트럼 퓨리티 필터의 정면도를 도시하고 있다.
도 4는 본 발명의 일실시예에 따른 스펙트럼 퓨리티 필터의 변형예의 세부사항을 도시하고 있다.
도 5 내지 도 13은 본 발명의 일실시예에 따른 스펙트럼 퓨리티 필터의 제조 단계들을 도시하고 있다.
도 14는 본 발명의 일실시예에 따른 스펙트럼 퓨리티 필터의 부품의 세부사항을 도시하고 있다.
도 1은 본 발명의 일실시예에 따른 리소그래피 장치를 개략적으로 도시하고 있다. 본 리소그래피 장치는 이하의 구성요소를 포함한다: 방사선 빔(B, 예컨대 UV 방사선 또는 EUV 방사선)을 조절하도록 구성된 조명 시스템(조명기)(IL); 패터닝 장치(예컨대, 마스크)(MA)를 지지하도록 구성되고, 또한 특정의 파라미터에 따라 패터닝 장치를 정확히 위치시키도록 구성된 제1 위치 설정기(PM)에 연결된 지지 구조체(예컨대, 마스크 테이블)(MT); 기판(예컨대, 레지스트가 코팅된 웨이퍼)(W)을 유지하도록 구성되고, 특정의 파라미터에 따라 기판(W)을 정확히 위치시키도록 구성된 제2 위치 설정기(PW)에 연결된 기판 테이블(예컨대, 웨이퍼 테이블)(WT); 및 패터닝 장치(MA)에 의해 방사선 빔(B)에 부여한 패턴을, 기판(W)의 타겟 영역(C)(예컨대, 하나 이상의 다이를 포함하는) 상에 투영하도록 구성된 투영 시스템(예컨대, 굴절 투영 렌즈 시스템)(PS).
조명 시스템은 방사선을 지향, 성형 또는 제어하기 위한 굴절식, 반사형, 자기식, 전자기식, 정전식, 또는 다른 형태의 광학 요소들 또는 이들의 임의의 조합과 같은 다양한 형태의 광학 요소들을 포함할 수 있다.
지지 구조체(MT)는 패터닝 장치를 유지(즉, 패터닝 장치의 무게를 견딤)한다. 지지 구조체(MT)는 패터닝 장치의 배향, 리소그래피 장치의 설계, 및 예컨대 패터닝 장치가 진공 분위기에서 유지되는지의 여부와 같은 기타 조건들에 좌우되는 방식으로 패터닝 장치를 유지한다. 지지 구조체는 패터닝 장치를 유지하기 위해 기계식, 진공식, 정전식, 또는 기타 클램핑 기술들을 이용할 수 있다. 지지 구조체는 예컨대 필요에 따라 고정되거나 이동시킬 수 있는 프레임(frame) 또는 테이블일 수도 있다. 지지 구조체는 패터닝 장치가 예컨대 투영 시스템에 대하여 요구된 위치에 있도록 할 수 있다. 본 명세서의 "레티클(reticle)" 또는 "마스크(mask)"라는 용어의 어떠한 사용도 "패터닝 장치"라는 좀 더 일반적인 용어와 동의어로 간주될 수 있다.
본 명세서에서 사용되는 "패터닝 장치"라는 용어는, 기판의 타겟 영역에 패턴을 생성하기 위하여 방사선 빔의 단면에 패턴을 부여하기 위해 사용될 수 있는 모든 디바이스를 지칭하는 것으로 폭넓게 해석되어야 한다. 방사선 빔에 부여된 패턴은, 예컨대 그 패턴이 위상 반전 피처(phase shifting feature) 또는 이른바 어시스트 피처(assist feature)를 포함하는 경우, 기판의 타겟 영역 내의 요구된 패턴과 정확히 일치하지 않을 수도 있다는 것에 유의하여야 한다. 일반적으로, 방사선 빔에 부여된 패턴은 집적회로와 같은 타겟 영역 내에 생성되는 디바이스에서의 특정 기능층에 대응할 것이다.
패터닝 장치는 투과형 또는 반사형일 수도 있다. EUV 리소그래피에 대해 현재 제안된 것들은 도 1에 도시된 반사형 패터닝 장치를 이용한다. 패터닝 장치의 예는 마스크, 프로그래머블 미러 어레이, 및 프로그래머블 LCD 패널을 포함한다. 마스크는 리소그래피 분야에서 잘 알려져 있으며, 바이너리형, 교번 위상 반전형 및 감쇠 위상 반전형과 같은 마스크 타입뿐만 아니라 다양한 하이브리드 마스크 타입들을 포함한다. 프로그래머블 미러 어레이의 예는 소형 미러들의 매트릭스 배열을 채용하며, 그 각각의 미러들은 입사하는 방사선 빔을 상이한 방향으로 반사시키도록 개별적으로 경사지는 것이 가능하다. 경사진 미러들은 미러 매트릭스에 의해 반사되는 방사선 빔에 패턴을 부여한다.
본 명세서에서 사용되는 "투영 시스템"이라는 용어는, 이용되고 있는 노광 방사에 대하여 적합하거나 또는 액침액의 사용 또는 진공의 사용과 같은 다른 요인들에 대하여 적합한, 굴절형, 반사형, 반사 굴절형(catadioptric), 자기형, 전자기형, 및 정전형 광학 시스템, 또는 이들의 임의의 조합을 포함하는 어떠한 타입의 투영 시스템도 포함하는 것으로서 폭넓게 해석되어야 한다.
본 명세서에서 사용되는 "투영 렌즈"라는 용어는 "투영 시스템"이라는 좀더 일반적인 용어의 동의어로 간주할 수 있다. EUV 파장들에 대해, 투과형 물질들은 쉽게 이용할 수 없다. 그러므로 EUV 시스템에서 조명 및 투영을 위한 "렌즈들"은 일반적으로 반사형, 즉 굽은 미러(curved mirror)들로 이루어질 것이다.
리소그래피 장치는 2개(듀얼 스테이지) 이상의 기판 테이블(및/또는 2개 이상의 패터닝 장치 테이블)를 갖는 형태로 구성될 수 있다. 이러한 "다수 스테이지" 기계에서는, 추가의 테이블이 병행하여 사용될 수 있으며, 또는 하나 이상의 테이블 상에서 예비 공정을 수행하면서 다른 하나 이상의 테이블을 노광용으로 사용하는 것이 가능하다.
리소그래피 장치는, 기판과 투영 시스템 간에 공간을 채우기 위해 기판의 적어도 일부가, 예컨대 물과 같은 상대적으로 높은 굴절률을 가지는 액체에 의해 덮힐 수 있는 유형일 것일 수 있다. 액침액(immersion liquid)은 또한, 예컨대 마스크와 투영 시스템 사이에 리소그래피 장치 내의 다른 공간에 가해질 수도 있다. 액침 기술들은 투영 시스템들의 개구수(numerical aperture)를 증가시키기 위해서 본 기술분야에서 주지되어 있다. 본 명세서에서 사용된 "액침(immersion)"이라는 용어는 기판과 같은 구조체가 액체에 잠기어져야 하는 것을 의미하는 것은 아니며, 오히려 액체가 노광(exposure) 동안 단지 투영 시스템과 기판 사이에 위치되는 것을 의미한다.
도 1을 참조하면, 조명기(IL)는 방사선 소스(SO)로부터 방사선 빔을 수광한다. 예컨대, 방사선 소스(SO)가 엑시머 레이저인 경우, 방사선 소스(SO) 및 리소그래피 장치는 별도의 구성요소일 수도 있다. 이러한 경우, 방사선 소스(SO)는 리소그래피 장치의 일부를 형성하는 것으로 간주되지 않으며, 방사선 빔은 예컨대 적합한 지향 미러 및/또는 빔 확장기(beam expander)를 포함하는 빔 전달 시스템(BD)을 이용하여 방사선 소스(SO)로부터 조명기(IL)로 전달된다. 다른 경우에, 예컨대 방사선 소스(SO)가 수은 램프인 경우에, 이 방사선 소스(SO)는 리소그래피 장치에 통합된 부품일 수도 있다. 방사선 소스(SO) 및 조명기(IL)는 필요에 따라 빔 전달 시스템(BD)과 함께 방사 시스템으로 지칭될 수도 있다.
조명기(IL)는 방사선 빔의 각 강도 분포(angular intensity distribution)를 조정하는 조정기(AD)를 포함할 수 있다. 일반적으로, 조명기의 퓨필 평면(pupil plane) 내의 세기 분포의 적어도 외측 반경 및/또는 내측 반경 범위(통상적으로, 각각 외측-σ 및 내측-σ라 함)가 조정될 수 있다. 또한, 조명기(IL)는 집속기(integrator)(IN) 및 집광기(condenser)(CO)와 같은 다양한 다른 구성요소들을 포함할 수 있다. 조명기(IL)는 방사선 빔의 단면에서 요구된 균일성 및 세기 분포를 갖도록 방사선 빔을 조절하는데 사용될 수 있다.
방사선 빔(B)은 지지 구조체(예컨대, 마스크 테이블)(MT) 상에 유지되어 있는 패터닝 장치(예컨대, 마스크)(MA) 상에 입사되며, 패터닝 장치(MA)에 의해 패터닝된다. 마스크(MA)를 종단한 후, 방사선 빔(B)은 투영 시스템(PS)을 통과하고, 투영 시스템(PS)은 방사선 빔을 기판(W)의 타겟 영역(C) 상에 집속시킨다. 제2 위치 설정기(PW) 및 위치 센서(IF2)(예컨대, 간섭계 디바이스, 선형 인코더, 또는 용량형 센서)를 이용하여, 예컨대 상이한 타겟 영역(C)을 방사선 빔(B)의 경로 내에 위치시키도록 기판 테이블(WT)을 정확하게 이동시킬 수 있다. 마찬가지로, 제1 위치 설정기(PM) 및 다른 위치 센서(IF1)(도 1에 명시되어 도시되어 있지는 않음)를, 예컨대 마스크 라이브러리(mask library)로부터의 기계적 인출 후에 또는 스캔하는 동안에, 방사선 빔(B)의 경로에 대하여 마스크(MA)를 정확히 위치시키는 데 사용할 수 있다.
일반적으로, 마스크 테이블(MT)의 이동은, 제1 위치 설정기(PM)의 일부를 형성하는 롱-스트로크 모듈(long-stroke module; 개략적 위치 설정) 및 숏-스트로크 모듈(short-stroke module; 미세 위치 설정)을 이용하여 실현될 수 있다. 마찬가지로, 기판 테이블(WT)의 이동은 제2 위치 설정기(PW)의 일부를 형성하는 롱-스트로크 모듈 및 숏-스트로크 모듈을 이용하여 실현될 수 있다. 스테퍼의 경우(스캐너와는 달리), 마스크 테이블(MT)은 숏-스트로크 액추에이터에만 연결될 수도 있고, 그렇지 않으면 고정될 것이다. 마스크(MA) 및 기판(W)은 패터닝 장치 정렬 마크(M1, M2) 및 기판 정렬 마크(P1, P2)를 이용하여 정렬될 수 있다. 도시된 바와 같이 기판 정렬 마크들이 전용의 타겟 영역에 위치하고 있지만, 이들 마크들은 타겟 영역들 사이의 공간들 내에 위치될 수도 있다(이들은 스크라이브-레인(scribe-lane) 정렬 마크로 알려져 있다). 마찬가지로, 마스크(MA) 상에 하나보다 많은 다이가 제공되는 상황에서는, 패터닝 장치 정렬 마크들은 다이들 사이에 위치될 수도 있다.
도시된 장치는 다음 모드들 중 하나 이상의 모드로 사용될 수 있다:
1. 스텝 모드에서는, 마스크 테이블(MT) 및 기판 테이블(WT)을 기본적으로 정지 상태로 유지한 채로, 방사선 빔에 부여한 패턴 전체를 한 번에 타겟 영역(C) 상에 투영한다(즉, 단일 정지 노광). 그리고나서, 상이한 타겟 영역(C)이 노광될 수 있도록 기판 테이블(WT)을 X 방향 및/또는 Y 방향으로 이동시킨다. 스텝 모드에서는, 노광 필드의 최대 크기에 의해, 단일 정지 노광시에 이미징되는 타겟 영역(C)의 크기가 한정된다.
2. 스캔 모드에서는, 마스크 테이블(MT) 및 기판 테이블(WT)을 동기적으로 스캐닝하면서, 방사선 빔에 부여한 패턴을 타겟 영역(C) 상에 투영한다(즉, 단일 동적 노광). 마스크 테이블(MT)에 대한 기판 테이블(WT)의 속도 및 방향은 투영 시스템(PS)의 확대율(축소율) 및 상 반전 특성에 의하여 결정될 수 있다. 스캔 모드에서는, 노광 필드의 최대 크기에 의해, 단일 동적 노광시의 타겟 영역의 폭(스캐닝되지 않는 방향에서의 폭)이 한정되는 한편, 스캐닝 동작의 길이에 의해 타겟 영역의 높이(스캐닝 방향에서의 높이)가 결정된다.
3. 또 다른 모드에서는, 프로그래머블 패터닝 장치를 유지한 채로 마스크 테이블(MT)을 기본적으로 정지 상태로 하고, 또한 기판 테이블(WT)을 이동시키거나 스캐닝하면서, 방사선 빔에 부여한 패턴을 타겟 영역(C) 상에 투영한다. 이 모드에서는, 일반적으로 펄스 방사선 소스가 채용되며, 프로그래머블 패터닝 장치는 기판 테이블(WT)의 각각의 이동 후에 또는 스캔 동안의 연속적인 방사 펄스의 사이에서 필요에 따라 업데이트된다. 이 작동 모드는 앞서 언급한 바와 같은 타입의 프로그래머블 미러 어레이와 같은 프로그래머블 패터닝 장치를 이용하는 마스크 없는 리소그래피(maskless lithography)에 용이하게 적용될 수 있다.
또한, 전술한 사용 모드들의 조합 및/또는 변형, 또는 전혀 다른 사용 모드들이 채용될 수도 있다.
도 2는 실제적인 EUV 리소그래피 장치의 개략적인 측면도를 도시하고 있다. 비록 물리적인 배열이 도 1에 도시된 장치의 배열과 상이하다 하여도, 동작의 원칙은 유사함을 알게 될 것이다. EUV 리소그래피 장치는 소스-콜렉터-모듈(souce-collector-module) 또는 방사선 유닛(3), 조명 시스템(IL), 및 투영 시스템(PS)을 포함한다. 방사선 유닛(3)은, 전자기 방사선 스펙트럼의 EUV 범위에서 방사선을 방출하기 위해 매우 뜨거운 방전 플라즈마가 생성되는 예컨대 Xe 기체 또는 Li, Gd 또는 Sn의 증기와 같은 기체 또는 증기를 채용할 수 있는 방사선 소스(7)(SO)가 제공된다. 그러한 방전 플라즈마는 전기적 방전의 부분 이온화된 플라즈마가 광학축(O)상으로 붕괴하도록 야기함으로써 생성된다. 방사선의 효율적인 생성에 대하여 예컨대 Xe, Li, Gd, Sn 증기 또는 다른 적절한 기체 또는 증기의 10 Pa 0.1 mbar와 같은 부분압이 소망된다. 실시예에서, EUV 소스와 같은 Sn 소스가 이용된다.
도 2의 주된 부분은 방전-생성 플라즈마(DPP: Discharge-produced plasma)의 형태인 방사선 소스(7)를 예시한다. 도면에서 좌측 하부의 대안적인 세부사항은, 레이저-생성 플라즈마(LPP: Laser-produced plasma)를 사용하는, 소스의 대안적인 형태를 예시한다. LPP 유형의 소스에서, 점화 영역(7a)은 연료 전달 시스템(7b)으로부터, 예컨대 용해된 Sn의 방울들과 같은 플라즈마 연료가 공급된다. 레이저 빔 생성기(7c) 및 관련 광학 시스템은 점화 영역으로 방사선 빔을 전달한다. 생성기(7c)는 예컨대 10.6 ㎛ 또는 9.4 ㎛인 적외선 파장을 가지는 CO2 레이저일 수도 있다. 대안적으로, 다른 적절한 레이저들, 예컨대 1 - 11 ㎛ 범위의 각각의 파장을 가지는 레이저들이 사용될 수도 있다. 레이저 빔과의 상호작용시, 연료 방울들은 예컨대 6.7 nm 방사선 또는 5 - 20 nm 범위로부터 선택된 다른 EUV 선을 방출할 수 있는 플라즈마 상태로 전이된다. 비록 다른 유형의 방사선이 다른 애플리케이션에서 생성될 수도 있지만, 여기서는 EUV가 관심의 예시이다. 중간 초점(intermediate focus)(12)을 갖는 소스 방사선 빔을 생성하기 위해, 플라즈마에서 생성된 방사선이 타원형 콜렉터 또는 다른 적절한 콜렉터(7d)에 의해 집속된다.
도 2의 주요 부분으로 돌아가면, 방사선 소스(SO)에 의해 방출된 방사선은 기체 장벽 또는 "포일 트랩(foil trap)"의 형태로 오염물질 트랩(contaminant trap)(9)을 통해 DPP 소스 챔버(7)에서 콜렉터 챔버(8)로 전달된다. 이것은 이하에서 추가적으로 설명될 것이다. 콜렉터 챔버(8)는, 예컨대 소위 그레이징 입사 반사기(grazing incidence reflector)들의 네스티드 어레이(nested array)를 포함하는 그레이징 입사 콜렉터인 방사선 콜렉터(10)를 포함할 수도 있다. 이러한 용도에 적절한 방사선 콜렉터들은 종래기술로부터 알려져 있다. 콜렉터(10)로부터 방사된 EUV 선 빔은, 아마도 광학축(O)의 어느 한 측에 10 도만큼의 특정 각 스프레드(angular spread)를 가질 것이다. 좌측 하부에 도시된 LPP 소스에서, 방사선 소스로부터 방사선을 모으기 위해 법선 입사 콜렉터(normal incidence collector)(7d)가 제공된다.
콜렉터(10)에 의해 전달된 방사선은 본 발명의 실시예들에 따라 스펙트럼 퓨리티 필터(11)를 통해 투과한다. 반사형 격자(refective grating) 스펙트럼 퓨리티 필터들과 대조적으로, 투과형 스펙트럼 퓨리티 필터(11)는 방사선 빔의 방향을 변화시키지 않는다는 것을 주의해야 한다. 이하에서 필터(11)의 실시예들이 설명된다. 방사선은, 콜렉터 챔버(8)의 개구로부터 가상 소스 포인트(12)(즉, 중간 초점)에 초점이 맞추어진다. 콜렉터 챔버(8)로부터, 방사선 빔(16)은 레티클 또는 마스크 테이블(MT) 상에 위치된 레티클 또는 마스크 상으로의 법선 입사 반사기들(13, 14)을 통해 조명 시스템(IL)에서 반사된다. 투영 시스템(PS)에 의해 반사 요소들(18, 19)을 통해 웨이퍼 스테이지 또는 기판 테이블(WT)에 장착된 웨이퍼(W)상으로 이미징된 패터닝된 빔(17)이 형성된다. 도시된 것보다 많은 요소들이 일반적으로 조명 시스템(IL) 및 투영 시스템(PS)에 존재할 수도 있다. 반사 요소들(19) 중 하나는 그 앞에 개구(21)를 갖는 NA 디스크(20)를 구비한다. 개구(21)의 사이즈는 패터닝된 방사선 빔(17)이 기판 테이블(WT)을 타격할 때 패터닝된 방사선 빔에 의해 마주 대해지는 각(αi)을 결정한다.
도 2는 가상 소스 포인트(12)의 업스트림에 밀접하게 위치된 스펙트럼 퓨리티 필터(11)를 도시한다. 도시되지는 않았지만, 대안적인 실시예들에서, 스펙트럼 퓨리티 필터(11)는 가상 소스 포인트(12)에 위치되거나, 콜렉터(10)와 가상 소스 포인트(12) 사이의 임의의 포인트에 위치될 수도 있다. 스펙트럼 퓨리티 필터는, 예컨대 가상 소스 포인트(12)의 다운스트림과 같은 방사선 경로의 다른 위치들에 위치될 수도 있다. 복수의 필터들이 배치될 수도 있다.
기체 장벽은, 예컨대 참조로 본 명세서에 결합된 미국 특허 제6,614,505호 및 제6,359,969호에서 상세히 설명된 바와 같은 채널 구조를 포함한다. 이러한 오염물질 트랩의 목적은 광학 시스템의 구성요소들에 충돌하여 시간이 흐르면서 이들의 성능을 저하시키는 연료 물질 또는 부산물들의 입사를 방지하거나 적어도 감소시키는 것이다. 이러한 구성요소들에는 콜렉터(10) 및 스펙트럼 퓨리티 필터(11)가 포함된다. 도 2의 왼쪽 하부에 상세히 도시된 LPP 소스의 경우, 오염물질 트랩은 타원형 콜렉터(7d)를 보호하는 제1 트랩 배열(9a), 및 9b에서 도시된 바와 같은 추가의 광학적인 트랩 배열들을 포함한다. 기체 장벽은 오염물질과의 화학적 상호작용 및/또는 대전된 입자들의 정전(electrostatic) 또는 전자기(electromagnetic) 굴절에 의해 (유체의 반대 흐름에 의해) 물리적 장벽으로서 작용할 수도 있다. 실제적으로, 플라즈마 물질을 가능한 최대한으로 봉쇄하면서 조명 시스템으로 방사선의 전달을 허용하도록 이러한 방법들의 결합이 이용된다. 이상에서 언급한 미국 특허들에서 설명된 바와 같이, Sn 또는 다른 플라즈마 물질들을 화학적으로 변형하기 위해 특히 수소 라디칼들이 주입될 수도 있다.
수소 라디칼들은 또한 광학 표면들 상에 이미 증착되었을 수도 있는 Sn 또는 다른 오염물질들의 세척에 적용될 수 있다. 또한, 웨이퍼로부터 조명 시스템 내의 더 큰 진공 공간들에 진입하는 오염 물질에 대한 버퍼로서, 수소 기체가 웨이퍼 지지대(WT)의 주변에 배치될 수도 있다. 진공 환경에서, 지지대들 및 포지셔닝 시스템의 구성요소들은 말할 것도 없고, 통상적인 포토레지스트 물질들은 시간이 흐르면서 광학 구성요소들을 오염시킬 수 있는 유기 또는 다른 기체 물질들을 방출하는 경향이 있다.
이러한 모든 목적들을 위해, 수소 기체를 각각의 오염물질 트랩 배열(9a, 9b)로 그리고 포트들에서 조명 시스템(IL) 및 투영 시스템(PS)의 챔버들로 공급하기 위해 수소 소스들(HS)이 배치되도록 도시된다. 몇몇의 소스들은 다른 소스들이 H 라디칼들을 생성하는 동안 단순한 버퍼로서 분자 수소 기체(H2)를 공급할 수도 있다. 진공 환경에 침투한 분자 수소는 그러한 환경에서 방사선 및 전기 방전 등에 의해 라디칼화될 수 있다.
도 3은, 예컨대 이상에서 언급된 리소그래피 장치의 필터(11)로서 적용될 수도 있는, 스펙트럼 퓨리티 필터(100)의 실시예의 개략적인 정면도이다. 현재의 필터(100)는 극자외(EUV) 선을 투과하도록 구성된다. 부가적인 실시예에서, 필터(100)는 방사선 소스에 의해 생성된 제2 유형의 방사선, 예컨대 적외(IR)선, 예컨대 1 ㎛보다 큰 파장(특히 10 ㎛보다 큰 파장)의 적외선 방사선을 실질적으로 봉쇄한다. 특히, 투과될 EUV 선 및 (봉쇄될) 제2 유형의 방사선은 동일한 방사선 소스, 예컨대 리소그래피 장치의 LPP 소스(SO)로부터 방출할 수도 있다.
설명될 실시예들에서 스펙트럼 퓨리티 필터(100)는 스펙트럼 퓨리티 필터의 제1 영역에서 실질적으로 평면인 필터부(102)(예컨대, 필터 필름 또는 필터층)을 포함한다. 그러한 필터부(102)는 '필터 기판'으로 지칭될 수 있다. 필터부(102)는 극자외선은 투과하고 제2 유형의 방사선의 투과는 억제하는 복수의 (바람직하게는 평행한) 개구들(104)을 구비한다. LPP 소스(SO)로부터 방사선이 충돌하는 면은 정면으로 지칭될 수 있는 한편, 방사선이 조명 시스템(IL)으로 나가는 면은 후면으로 지칭될 수 있다. 이상에서 언급된 바와 같이, 예컨대 EUV 선은 방사선의 방향의 변화 없이 스펙트럼 퓨리티 필터에 의해 투과될 수 있다. 실시예에서, 각 개구(104)는, 개구들(104)을 규정하고 완전히 면으로부터 후면으로 연장되는 평행한 측면들을 구비한다.
스펙트럼 퓨리티 필터(100)는 제1 영역에 근접한 스펙트럼 퓨리티 필터의 제2 영역에서 지지 프레임(108)을 포함할 수도 있다. 지지 프레임(108)은 필터부(102)를 위한 구조 지지대(structural support)를 제공하도록 구성될 수도 있다. 지지 프레임(108)은 사용될 장치에 스펙트럼 퓨리티 필터(100)를 장착하기 위한 부재들을 포함한다. 특정 배열에서, 지지 프레임(108)은 필터부(100)를 둘러쌀 수도 있다.
스펙트럼 퓨리티 필터(100)는 실리콘(Si)의 독립형 박막(freestanding thin film)(102), 및 실질적으로 수직인(즉, 박막 표면에 수직인) 측벽들(106)을 가진 개구들의 어레이(104)를 포함할 수 있다. 개구들(104)의 직경은, EUV 선이 실질적인 굴절 없이 스펙트럼 퓨리티 필터(100)를 통과할 수 있도록 하기 위해 바람직하게는 약 100 nm보다 크고, 보다 바람직하게는 약 1 ㎛보다 크다. 비록 개구들(104)이 원형 단면(도 3)을 가지는 것으로서 개략적으로 도시되었지만, 다른 형상들 또한 가능하며, 선호될 수 있다. 예컨대, 도 4에서 도시된 바와 같은 6각형 개구들이 기계적 안정성의 관점에서 이점이 될 수 있다. 필터(100)에 의해 억제되는 파장은 투과될 EUV 파장의 적어도 10배가 될 수 있다. 특히, 필터(100)는 (약 100 - 400 nm의 범위의 파장을 가지는) DUV 선, 및/또는 1 ㎛보다 큰 파장을 가지는 적외선(예컨대, 1 - 11 마이크론의 범위)의 투과를 억제하도록 구성될 수도 있다.
본 발명의 실시예에 따르면, 스펙트럼 퓨리티 필터(100)의 제조는, 적절한 예가 이하에서 간략히 설명되는 DRIE(Deep reactive ion etching) 기술인, 이방성 에칭 방법을 포함할 수도 있다. DRIE는 고도의 이방성 에칭율을 갖는 에칭 방법으로서, 소위 보쉐(Bosch) 프로세스를 사용하여 Si 내의 수직 에칭 프로파일들의 제조를 가능하게 한다. 이는 예컨대 S. Tachi, K. Tsujimoto, S. Okudaira, 실리콘의 저온 반응성 이온 에칭 및 마이크로웨이브 플라즈마 에칭(Low-temperature reactive ion etching and microwave plasma etching of silicon), Appl.Phys.Lett. 52 (1988),616,에서 설명된다. 보쉐 프로세스는 SF6 플라즈마 및 탄화 플루오르(예컨대, C4F8) 플라즈마에 Si 기판을 교대로 노출하는 것으로 구성된다. 제1 단계에서, 실리콘은 다소 등방성 방식으로 에칭되는 반면에, 제2 단계에서 에칭된 프로파일은 패시베이션(passivation) 층에 의해 덮혀 진다. 다음 에칭에서, 이러한 패시베이션 층은, 주로 이온 폭격(ion bombardment)에 의해 우선적으로 최저부에서 개방되고, 에칭이 다시 시작된다. 에칭/패시베이션 주기의 반복에 의해, 에칭은 측방 스프레딩(lateral spreading)없이 실리콘 표면을 향해 아래 방향으로 층마다 진행된다.
실시예에 따르면, EUV 선은, 개구들의 종횡비를 상당한 각 스프레드를 가지는 EUV 투과를 허용할 만큼 낮도록 유지하기 위해, 바람직하게는 상대적으로 박막 필터(100)를 사용하여, 개구들(104)를 통해 직접적으로 투과된다. 필터부(102)의 두께(즉, 개구들(104) 각각의 길이)는, 예컨대 약 20 ㎛보다 작고, 예컨대 약 2 ㎛ 내지 약 10 ㎛ 범위, 예컨대, 약 5 ㎛내지 약 10 ㎛의 범위에 있다. 또한, 한 실시예에 따르면, 개구들(104) 각각은 약 100 nm 내지 약 10 ㎛ 범위의 직경을 가질 수도 있다. 개구들(104)은, 예컨대 각각 약 1.5 ㎛ 내지 약 6 ㎛ 범위, 예컨대 약 2 ㎛ 내지 약 4 ㎛ 범위의 직경을 가질 수도 있다.
필터 개구들(104) 간의 벽들(105)의 두께(QI)는 1 ㎛보다 작을 수도 있으며, 예컨대, 약 0.4 ㎛ 내지 약 0.6 ㎛ 간의 범위, 특히 약 0.5 ㎛가 될 수도 있다. 일반적으로, 개구들의 종횡비, 즉 필터부(102) 대, 필터 개구들(104) 간의 벽들의 두께의 비율은 5:1 내지 20: 1의 범위에 있을 수도 있다. EUV 투과 필터(100)의 개구들은 약 3 ㎛ 내지 약 6 ㎛의 범위, 특히 약 3 ㎛ 내지 약 4 ㎛, 예컨대 약 4 ㎛의 주기(Q2)(도 4에 도시됨)를 가질 수도 있다. 결과적으로, 개구들은 전체 필터 정면의 약 70 - 80 %의 열린 영역을 제공할 수도 있다.
필터(100)는 최대 5%의 적외선광(IR) 투과를 제공하도록 구성될 수도 있다. 또한, 필터(100)는 법선 입사(normal incidence)로 적어도 60%의 진입하는 EUV 선을 투과하도록 구성될 수도 있다. 게다가, 필터(100)는 약 10°의 (법선 방향에 관한) 입사각을 갖는 EUV 방사선이 적어도 약 40% 투과되도록 제공할 수도 있다.
한 실시예에서, 이상에서 설명된 바와 같은 스펙트럼 퓨리티 필터의 제조 방법이 제공된다. 일반적으로, 이 제조방법은 기저 물질에 트렌치들을 형성하는 단계, 예컨대 단결정 실리콘의 웨이퍼에 트렌치들을 에칭하는 단계와, 스펙트럼 퓨리티 필터의 벽들의 그리드를 형성하는 몰드로서 트렌치들을 사용하는 단계를 포함한다. 예컨대, 일단 트렌치들을 형성하면, 원래 트렌치들에 정의된 모양을 가진 그리드 물질로 형성되었던 벽들만 남기고 기저 물질의 잔여물이 제거되기 전에 트렌치들은 다결정 실리콘과 같은 그리드 물질로 채워질 수도 있다. 따라서, 스펙트럼 퓨리티 필터의 벽들, 즉 스펙트럼 퓨리티 필터의 개구들을 분리하는 벽들(105)의 그리드 형성의 정확성은 기저 물질 내의 트렌치들의 형성의 정확성에 의해 결정된다. 에칭에 의해 단결정 실리콘 내에 잘 정의된 트렌치들을 형성하기 위한 기술들은 주지되어 있으며, 위에서 논의된 스펙트럼 퓨리티 필터 그리드의 벽들의 종횡비와 원하는 디멘젼을 가지는 그러한 트렌치들의 원하는 배열을 정확히 제공하는 것이 가능하다.
도 5 내지 도 13은 이상에서 설명된 스펙트럼 퓨리티 필터(100)를 형성하기 위해 본 발명의 한 실시예에 따라 수행될 수 있는 단계들의 시리즈의 예를 도시한다. 도시된 바와 같이, 프로세스는 적어도 형성될 스펙트럼 퓨리티 필터(100)의 사이즈인 기저 물질(120)의 섹션을 가지고 개시한다. 예컨대, 기저 물질은 이상에서 논의된 바와 같이 단결정 실리콘의 웨이퍼일 수 있다. 기저 물질(120)은 제1 및 제2 주표면들(121, 122)을 구비한다.
제1 단계에서, 기저 물질(120)의 제1 표면(121)에 트렌치들(125)이 형성된다. 도시된 바와 같이, 기저 물질(120)의 제1 표면(121)에 형성된 트렌치들(125)은 기저 물질(120)을 통해 제2 표면(122)으로 연장되지 않는다. 트렌치들(125)은 스펙트럼 퓨리티 필터 내의 개구들(104) 사이에 형성될 벽들에 대응한다. 따라서, 트렌치들(125)은 형성될 스펙트럼 퓨리티 필터(100)의 개구들(104) 사이의 벽들과 실질적으로 동일한 패턴으로 배열되고 실질적으로 동일한 디멘젼들을 가질 수도 있다. 특히, 트렌치들(125)의 폭은 필터 개구들(104) 사이의 벽들의 두께에 실질적으로 대응하고, 트렌치들(125)의 깊이는 스펙트럼 퓨리티 필터(100)의 필터부(102)의 두께에 실질적으로 대응한다.
기저 물질(120)의 제1 표면(121)내의 트렌치들(125)은, 예컨대 트렌치들이 형성되지 않을 영역들 내의 기저 물질(120)의 제1 표면(121) 상에 보호 마스크를 형성함으로써, 예컨대 리소그래피 패터닝에 의해 형성될 수도 있다. 그 후, 트렌치들(125)는 이상에서 논의된 바와 같이, 딥 반응성-이온 에칭(Deep reactive-ion etching)과 같은 프로세스에 의해 마스크에 의해 보호되는 영역에 형성될 수도 있다. 그러나, 다른 에칭 프로세스들, 특히 다른 이방성 에칭 프로세스들이 사용될 수도 있음이 이해될 것이다. [110] 방향으로 배향된 실리콘 웨이퍼 내에 트렌치들(125)을 에칭하기 위하여, 예컨대 KOH 에칭액을 사용하여, 습식 화학적 에칭을 사용할 수도 있다.
도 7에서 도시된 바와 같이, 그 후 트렌치들의 표면은 에칭 정지 층(etch stop layer)(130), 즉 특정 에칭 프로세스에 저항하는 물질층으로 코팅될 수 있다. 이 경우에, 에칭 정지 층(130)은, 이하에서 설명된 바와 같이, 그 후에 기저 물질(120)의 부분들을 제거하는 데 사용되는 에칭 프로세스에 대해 저항력 있도록 선택되어져야 한다. 예컨대, 에칭 정지 층(130)은 SiO2일 수도 있다. 에칭 정지 층은 특히 기저 물질(120)의 제1 표면(121) 내의 트렌치들(125)의 표면상에 커버링(130a)을 제공할 수도 있다. 부가적으로, 에칭 정지 층(130)은 트렌치들(125)의 사이 또는 주변의 기저 물질(120)의 제1 표면(121)의 부분들 상에 코팅(130b)을 제공할 수도 있다.
에칭 정지 층(130)은, LPCVD (Low-Pressure Chemical Vapour Deposition) 프프로세스 또는 PECVD (Plasma-Enhanced Chemical Vapour Deposition) 프로세스를 사용하여 증착될 수 있는, 열적으로 성장된 산화물, 또는 예컨대 질화 실리콘일 수도 있다. 에칭 정지 층(130)을 형성하기 위한 그러한 방법들은, 그 방법들이 양호한 스텝 커버리지, 즉 측벽들에 대한 그리고 트렌치들에 대한 적절한 커버링을 제공하기 때문에, 이익이 될 수 있다. 대안적으로, 예컨대 다른 물질을 에칭 정지 층(130)으로 사용하기 원하는 경우, 스퍼터링 증착(sputtering deposition)과 같은 다른 증착 프로세스들이 사용될 수도 있다.
도 8에서 도시된 바와 같이, 그 후, 스펙트럼 퓨리티 필터(100)의 개구들(104) 사이의 벽들을 형성하기 위해 트렌치들(125)이 적절한 물질(135)로 채워진다. 예컨대 트렌치들(125)은, 예컨대 LPCVD 또는 PECVD 프로세스를 사용하여 다결정 실리콘으로 채워질 수 있다. 스펙트럼 퓨리티 필터(100)의 개구들(104) 사이의 벽들의 최종 디멘젼은, 사용시 에칭 정지 층(130)의 코팅(130a)을 포함하는 트렌치들(125)의 디멘젼들에 대응할 것이라는 것이 이해되어질 것이다. 이 경우에, 기저 물질(120)의 제1 표면(121) 내에 초기에 형성된 트렌치들(125)은, 에칭 정지 층의 코팅(130a)에 의해 일단 덮혀진 트렌치들의 결과적인 디멘젼들이 정확하게 개구들(104) 사이의 벽들의 원하는 디멘젼을 갖도록 하는 디멘젼들로 형성될 수 있다.
그리드 물질(135)로 트렌치들을 채우는 프로세스 동안에, 그리드 물질의 층(136)을 기저 물질(120)의 제1 표면(121)의 전체에 걸쳐 형성할 수 있다. 이 과잉 그리드 물질 층(136)은, 예컨대 도 9에 도시된 반응성 이온 에칭 또는 다른 적절한 프로세스에 의해 제거될 수도 있다.
도 10에 도시된 바와 같이, 그 후, 후속 프로세싱 단계 동안 그리드 물질(135)에 대한 손상을 방지하기 위해 그 기저 물질(120)의 제1 표면에 보호 층(140)이 가해질 수도 있다. 보호층(140)은, 예컨대 폴리이미드(polyimide)일 수 있다. 그러나, 또한 다른 적절한 물질들이 사용될 수 있다는 것이 이해되어질 것이다.
도 11에서 도시된 바와 같이, 그 후, 기저 물질(120)은 제2 표면(122)으로부터 제거될 수 있다. 특히, 기저 물질(120)은, 스펙트럼 퓨리티 필터(100)의 개구들(104)이 형성될 기저 물질의 제1 표면(121)의 제1 영역(121a)에 대응하는, 제2 표면(122)의 제1 영역(122a)로부터 선택적으로 제거될 수도 있다.
기저 물질(120)은 제1 영역(122a)에 근접한 제2 표면(122)의 제2 영역(122b)으로부터 제거되지 않을 수도 있다. 제거되지 않은 기저 물질(120)은 이상에서 논의된 지지대 프레임(108)을 제공할 수 있다.
도 11에 도시된 바와 같이, 제2 표면(122)로부터 기저 물질(120)을 제거하는 데 사용된 프로세스는, 그리드 물질(135)을 둘러싸고 그리드 물질(135)을 제거되지 않도록 방지하는 에칭 정지 층(130)에 의해 정지된다. 그러한 프로세스는, 예컨대 이상에서 논의된 바와 같은 리소그래피 프로세스들을 사용하여, 기저 물질(120)의 제2 표면(122)의 제2 영역(122b) 상에 마스크를 형성하고, 그 후 제2 표면(122)의 제1 영역(122a)으로부터 기저물질을 제거하기 위해 반응성-이온 에칭 프로세스를 사용하는 것에 의해 수행될 수 있다. 다른 에칭 프로세스들이 또한 사용될 수 있지만, 이상에서 논의된 바와 같이, 그 경우 다른 에칭 정지 층(130)이 요구될 것이라는 것이 이해되어 질 것이다. 기저 물질(120)은 예컨대 KOH 에칭액을 사용하는 것과 같은 습식 화학적 에칭을 사용하여 제거될 수 있다. 이 경우에, 에칭 정지 층(130)은 질화 실리콘일 수 있다.
마지막으로, 도 12에 도시된 바와 같이, 에칭 정지 층(130) 및 보호층(140)이 사용되는 경우 이 층들은, 스펙트럼 퓨리티 필터(100) 내의 개구들(104)과 지지 프레임(108) 사이에 원하는 벽들(105)을 형성하는 그리드 물질(135)을 포함하는 스펙트럼 퓨리티 필터(100)를 남기고, 예컨대 플라즈마 에칭 또는 다른 적절한 프로세스들에 의해 제거될 수 있다. 에칭 정지 층(130) 및 보호층(140)이 사용되는 경우, 이들은 습식 화학적 에칭에 의해 제거될 수 있다. 질화 실리콘이 사용되는 경우, 예컨대 HF이 질화 실리콘을 제거하는 데 사용될 수 있다.
본 발명에 따른 스펙트럼 퓨리티 필터를 형성하기 위한 프로세스들의 이상에서 설명된 실시예는 변형되거나 및/또는 부가적인 단계들을 포함할 수 있다는 것이 이해되어질 것이다.
예컨대, 도 13에서 도시된 바와 같이, 스펙트럼 퓨리티 필터(100)의 적어도 한 표면은, 제2 유형의 방사선, 즉 투과가 최소화되어질 방사선에 대한, 방사선이 입사될 표면의 반사율을 향상시키도록 선택되는 부가 층(150)으로 코팅될 수도 있다. 예컨대, 적외선에 대한 반사율을 증가시키기 위해 얇은 금속층(150)이 적용될 수도 있다.
대안적 또는 추가적인 변형예에서, 그리드 물질(135)은 금속(예컨대 몰리브덴) 또는 다른 전도 물질(예컨대, TiN)로 이루어질 수도 있다. 이 경우, 개구들(104) 사이의 스펙트럼 퓨리티 필터(100)의 벽들(105)은 적외선과 같은 방사선에 본질적으로 반사성일 수 있다. 그러한 경우, 스펙트럼 퓨리티 필터상에 부가적인 반사층을 형성할 필요가 없을 수도 있다. 바람직하게는, 그리드 물질이 지지 프레임(108)을 형성하는 실리콘 물질에 가까운 열팽창을 갖도록 선택된다.
그리드 물질이 전기적으로 전도성 물질인 경우, 트렌치들(135)은 전기도금 프로세스를 사용하여 그리드 물질을 증착함으로써 채워질 수도 있다. 이러한 프로세스를 수행하기 위해, 먼저 트렌치들의 기저에 도금 기반 코팅(plating base coating)이 가해질 수도 있다. 대안적으로, 도금 기반 코팅이 사용되지 않는 경우, 기저 물질(120)은 고도로 도핑된(highly-doped) 실리콘일 수도 있다.
대안적으로 또는 추가적으로, 트렌치들(125)은 화학적 기상 증착(Chemical vapor deposition) 프로세스, 물리적 기상 증착(Physical vapor deposition) 프로세스, 스퍼터링 증착(Sputtering deposition) 프로세스 또는 원자층 증착(Atomic layer deposition) 프로세스에 의해 그리드 물질(135)로 채워질 수 있다.
대안적으로 또는 추가적으로, 그리드 물질(125)은, 그것이 기저 물질(120)을 제거하는 데 사용된 에칭 프로세스에 저항성이 있도록 선택될 수 있다. 이 경우에, 에칭 정지 층(130)은 사용되지 않을 수도 있으며, 그에 따라 에칭 정지 층(130)을 적용하고 제거하는 프로세스들은 생략될 수 있다. 마찬가지로, 사용되는 다른 프로세스들에 따라, 보호층(140)도 사용되지 않거나 생략될 수 있다.
대안적 또는 추가적인 변형예에서, 개구들(104)을 형성하기 위해 그리드 물질(135)을 노출하도록 제거되는 기저 물질(120)이, 제2 표면(122)으로부터 기저 물질(120)을 제거하는 대신에 또는 그에 부가하여, 기저 물질(120)의 제1 표면(121)으로부터 제거될 수 있다. 예컨대, 도 9에서 도시된 바와 같이 과잉 그리드 물질 층(136)이 제거된 후, 노출된 에칭 정지 층(130), 즉 트렌치들(125) 사이 또는 주변의 기저 물질(120)의 제1 표면의 부분들 상의 코팅(130b)이 제거될 수 있다. 따라서, 그리드 물질(135)로 채워진 트렌치들(125) 사이의 기저 물질(120)의 제1 표면(121)은 노출된 후 에칭될 수 있다. 에칭 정지 층(130)의 상부 표면은, 예컨대 플라즈마 에칭 또는 다른 적절한 프로세스에 의해 제거될 수 있다. 마찬가지로, 그리드 물질(135)이 기저 물질(120)을 제거하는데 사용되는 에칭 프로세스에 저항성이 있도록 선택되는 이상의 실시예의 경우에, 기저 물질(120)은 기저 물질(120)의 제1 표면 및 제2 표면(121, 122)의 한쪽 또는 양쪽 모두로부터 제거될 수 있다는 것이 이해되어 질 것이다.
도 14는 본 발명의 실시예에 따라 형성된 스펙트럼 퓨리티 필터(100)의 지지 프레임의 부분을 상세하게 도시한다. 도시된 바와 같이, 스펙트럼 퓨리티 필터의 개구들(104) 사이의 벽들(135)을 형성하는 그리드 물질(135)의 부분(135a)에 부가하여, 그리드 물질(135)의 제2 부분(135b)은 지지 프레임(108)을 형성하는 기저 물질(120), 즉 기저 물질(120)의 제2 표면(122)의 제2 영역(122b)에 대응하는 기저 물질(120)로 연장된다. 따라서, 그리드 물질(135)의 제2 부분(135b)은, 개구들(104) 사이의 벽들을 형성하는 그리드 물질(135)의 부분(135a)을 지지 프레임(108)에 연결한다.
도 14에서 도시된 바와 같이, 에칭 정지 층(130)이 이상에서 논의된 바와 같이 스펙트럼 퓨리티 필터의 형성에 사용되었던 경우, 에칭 정지 물질의 층(130)은, 지지 프레임(108)로 연장되는 그리드 물질의 부분(135b)과 지지 프레임이 형성되는 기저 물질(120) 사이의 경계에서 유지될 수도 있다. 그에 따라, 에칭 정지 물질(130)은 기저 물질(120)을 그리드 물질(135)로부터 분리할 수도 있다.
스펙트럼 퓨리티 필터를 결합한 도 1 및 도 2의 장치가 리소그래피 제조 프로세스에 사용될 수 있다는 것이 이해되어 질 것이다. 그러한 리소그래피 장치는 집적 회로(IC)들의 제조, 집적 광학 시스템들, 자기 도메인 메모리들을 위한 가이드 및 검출 패턴(guidance and detection pattern)들, 평판 디스플레이들, LCD(Liguid Crystal Display)들, 박막 자기 헤드들 등의 제조에 사용될 수도 있다. 그러한 대안적인 애플리케이션들의 문맥에서, 본 명세서에서 "웨이퍼" 또는 "다이"와 같은 용어의 사용은, 각각 "기판" 또는 "타겟 부분"과 같은 보다 일반적인 용어들과 동의어로서 고려될 수 있다. 본 명세서 지칭된 기판은, 예컨대 트랙(통상적으로 기판에 레지스트 층을 인가하고 노출된 레지스트를 현상하는 기구), 계측 기구 및/또는 검사 기구에서 노광 전 또는 후에 처리될 수도 있다. 적용가능한 경우, 본 명세서의 개시는 그러한 기판 처리 기구들 또는 다른 기판 처리 기구들에 적용될 수 있다. 또한, 기판은, 본 명세서에 사용된 용어 '기판'이 또한 이미 복수의 처리된 층들을 포함하는 기판을 지칭할 수 있도록, 예컨대 다층 IC를 생성하기 위해, 한번 이상 처리될 수도 있다.
전술한 내용은 예시를 위한 것으로, 본 발명을 제한하려는 것이 아니다. 따라서, 당업자라면 하기 청구항들의 범위를 벗어나지 않고서도 전술한 본 발명에 대한 변형예가 이루어질 수도 있음을 이해할 수 있을 것이다. 본 발명의 실시예들이, DPP 소스 또는 LPP 소스를 포함하지만 그에 한정되지는 않는, 임의의 유형의 EUV 소스에 사용될 수도 있다는 것이 이해되어질 것이다. 그러나, 본 발명의 실시예는, 통상적으로 LPP 소스의 일부를 형성하는, 레이저 소스로부터의 방사선을 억제하는 데 특히 적절할 수 있다. 이는 그러한 플라즈마 소스가 종종 레이저로부터 두번째 방사선을 출력하기 때문이다.
스펙트럼 퓨리티 필터는 실제적으로 방사선 경로 내의 어디에나 위치될 수 있다. 한 실시예에서, 스펙트럼 퓨리티 필터는, EUV 선 소스로부터 방사선을 포함하는 EUV를 수신하고 적절한 다운스트림 EUV 선 광학 시스템에 EUV 선을 전달하는 영역에 위치되고, EUV 선 소스로부터의 방사선은 광학 시스템에 진입하기 전에 스펙트럼 퓨리티 필터를 통해 지나가도록 배열된다. 한 실시예에서, 스펙트럼 퓨리티 필터는 EUV 선 소스 내에 있다. 한 실시예에서, 스펙트럼 퓨리티 필터는, 조명 시스템 또는 투영 시스템 내와 같이 EUV 리소그래피 장치 내에 있다. 한 실시예에서, 스펙트럼 퓨리티 필터는 방사선 경로에서 플라즈마 이후 그러나 콜렉터의 전에 위치된다.
이상에서 본 발명의 특정 실시예들을 설명하였지만, 본 발명은 설명된 것 이외의 것으로도 수행될 수 있음을 이해해야 한다.

Claims (15)

  1. 극자외선을 투과하고 제2 유형의 방사선의 투과를 억제하도록 구성된 복수의 개구들을 포함하는 스펙트럼 퓨리티 필터를 제조하는 방법으로서,
    제1 주표면 및 제2 주표면을 갖는 기저 물질을 제공하는 것;
    상기 스펙트럼 퓨리티 필터의 개구들 사이에 형성될 벽들에 대응하는 패턴으로 상기 기저 물질의 제1 표면에 트렌치들을 형성하는 것;
    그리드 물질로 상기 트렌치들을 채워 그리드 물질의 벽들을 형성하는 것; 및
    상기 그리드 물질이 노출되어 상기 그리드 물질의 벽들 사이에 공간들이 형성될 때까지 상기 기저 물질의 적어도 일부를 선택적으로 제거하여 상기 개구들을 형성하는 것을 포함하는, 스펙트럼 퓨리티 필터 제조 방법.
  2. 제1항에 있어서,
    상기 트렌치들을 그리드 물질로 채우기 전에, 에칭 프로세스에 저항성 있는 물질의 층으로 상기 트렌치들의 적어도 표면을 코팅하는 것; 및
    상기 기저 물질의 적어도 일부를 선택적으로 제거하기 위해 제1 에칭 프로세스를 사용하는 것을 더 포함하는, 스펙트럼 퓨리티 필터 제조 방법.
  3. 제2항에 있어서,
    상기 기저 물질의 적어도 일부를 선택적으로 제거하는 것 이후에 상기 제1 에칭 프로세스에 저항성 있는 물질을 제거하기 위해, 상기 기저 물질의 제2 표면으로부터 상기 기저 물질의 일부를 선택적으로 제거하는 데 사용되는, 상기 제1 에칭 프로세스와 상이한 제2 에칭 프로세스를 사용하는 것을 더 포함하는, 스펙트럼 퓨리티 필터 제조 방법.
  4. 제1항, 제2항, 또는 제3항 중 어느 한 항에 있어서,
    상기 그리드 물질로 상기 트렌치들을 채우는 것은:
    상기 그리드 물질이 상기 트렌치에 진입하도록 상기 기저 물질의 제1 표면상에 상기 그리드 물질의 층을 증착하는 것; 및
    그리드 물질이 상기 트렌치 내부에만 남아있도록 상기 기저 물질의 제1 표면을 선택적으로 에칭하는 것을 포함하는, 스펙트럼 퓨리티 필터 제조 방법.
  5. 제1항 내지 제4항 중 어느 한 항에 있어서,
    상기 트렌치들이 채워진 후에 상기 기저 물질의 제1 표면상에 보호 커버 층이 형성되는, 스펙트럼 퓨리티 필터 제조 방법.
  6. 제3항을 인용하는 제5항에 있어서,
    상기 제2 에칭 프로세스를 사용하는 것은 상기 보호 커버 층을 제거하는 데 사용되는, 스펙트럼 퓨리티 필터 제조 방법.
  7. 제1항 내지 제6항 중 어느 한 항에 있어서,
    상기 기저 물질은 다결정 실리콘을 포함하고, 상기 기저 물질의 제1 표면에 상기 트렌치들을 형성하는 것은 반응성-이온 에칭인, 스펙트럼 퓨리티 필터 제조 방법.
  8. 제2항, 또는 제2항을 인용하는 항에 있어서,
    상기 트렌치들의 표면을 코팅하기 위해 사용된 물질은 산화 실리콘을 포함하고, 상기 기저 물질의 적어도 일부를 선택적으로 제거하는 것은 반응성-이온 에칭을 포함하는, 스펙트럼 퓨리티 필터 제조 방법.
  9. 제3항, 또는 제3항을 인용하는 항에 있어서,
    상기 제2 에칭 프로세스는 플라즈마 에칭을 포함하는, 스펙트럼 퓨리티 필터 제조 방법.
  10. 제1항 내지 제9항 중 어느 한 항에 있어서,
    상기 개구들이 상기 제2 유형의 방사선에 반사성이 있는 물질로 형성된 후 상기 그리드 물질의 적어도 한 표면에 코팅하는 것을 더 포함하는, 스펙트럼 퓨리티 필터 제조 방법.
  11. 제1항 내지 제10항 중 어느 한 항에 있어서,
    상기 기저 물질의 제1 표면에 형성되는 트렌치들은, 상기 기저 물질의 제1 표면의 제1 영역에 있는 상기 스펙트럼 퓨리티 필터의 개구들 사이에 형성될 상기 벽들에 대응하는 트렌치들을 포함하고, 상기 제1 영역에 근접한 상기 기저 물질의 제1 표면의 제2 영역으로 연장되는 트렌치들을 포함하며;
    상기 기저 물질의 적어도 일부를 선택적으로 제거하는 것은, 상기 제1 표면의 제1 영역에 대응하는 상기 기저 물질의 제2 표면의 영역으로부터 상기 기저 물질이 제거되고 상기 제1 표면의 제2 영역에 대응하는 상기 기저 물질의 제2 표면의 영역으로부터는 상기 기저 물질이 제거되지 않도록 구성되는, 스펙트럼 퓨리티 필터 제조 방법.
  12. 제1항 내지 제11항 중 어느 한 항의 방법에 따라 제조된 스펙트럼 퓨리티 필터.
  13. 스펙트럼 퓨리티 필터의 제1 영역 내의 복수의 상호연결된 벽들로서, 상기 벽들은 상기 스펙트럼 퓨리티 필터를 통해 복수의 개구들을 정의하고, 상기 복수의 개구들은 극자외선은 투과하고 제2 유형의 방사선의 투과는 억제하도록 구성되는, 복수의 상호연결된 벽들; 및
    상기 제1 영역에 근접한 상기 스펙트럼 퓨리티 필터의 제2 영역에 존재하고, 상기 벽들을 지지하도록 구성되는 지지 프레임
    을 포함하고,
    상기 상호연결된 벽들이 형성되는 물질은, 상기 지지 프레임과 상호 연결된 벽들 사이의 기계적 연결을 제공하기 위해, 상기 지지 프레임 내의 하나 이상의 개구들로 연장되는, 스펙트럼 퓨리티 필터.
  14. 제12항 또는 제13항에 따른 스펙트럼 퓨리티 필터를 포함하는 방사선 소스.
  15. 제12항 또는 제13항에 따른 스펙트럼 퓨리티 필터를 포함하는 리소그래피 장치.
KR1020127005513A 2009-08-27 2010-07-21 스펙트럼 퓨리티 필터, 리소그래피 장치, 및 스펙트럼 퓨리티 필터 제조 방법 KR20120069674A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US23761009P 2009-08-27 2009-08-27
US61/237,610 2009-08-27

Publications (1)

Publication Number Publication Date
KR20120069674A true KR20120069674A (ko) 2012-06-28

Family

ID=42989302

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020127005513A KR20120069674A (ko) 2009-08-27 2010-07-21 스펙트럼 퓨리티 필터, 리소그래피 장치, 및 스펙트럼 퓨리티 필터 제조 방법

Country Status (8)

Country Link
US (1) US8817237B2 (ko)
EP (1) EP2443517B1 (ko)
JP (1) JP5715134B2 (ko)
KR (1) KR20120069674A (ko)
CN (1) CN102483585B (ko)
NL (1) NL2005119A (ko)
TW (1) TW201118433A (ko)
WO (1) WO2011023470A1 (ko)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101776829B1 (ko) * 2010-04-27 2017-09-08 에이에스엠엘 네델란즈 비.브이. 스펙트럼 퓨리티 필터
NL2013700A (en) 2013-11-25 2015-05-27 Asml Netherlands Bv An apparatus, a device and a device manufacturing method.
JP6869242B2 (ja) * 2015-11-19 2021-05-12 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ装置のためのeuvソースチャンバーおよびガス流れ様式、多層ミラー、およびリソグラフィ装置
JP6991121B2 (ja) 2018-10-23 2022-01-12 株式会社クボタ コンバイン
CN115252839B (zh) * 2022-07-19 2023-10-20 星际光(上海)实业有限公司 杀菌灯具及其光源结构

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3936329A (en) * 1975-02-03 1976-02-03 Texas Instruments Incorporated Integral honeycomb-like support of very thin single crystal slices
US5645684A (en) * 1994-03-07 1997-07-08 The Regents Of The University Of California Multilayer high vertical aspect ratio thin film structures
US5798042A (en) * 1994-03-07 1998-08-25 Regents Of The University Of California Microfabricated filter with specially constructed channel walls, and containment well and capsule constructed with such filters
JPH10340843A (ja) * 1997-06-06 1998-12-22 Nikon Corp 照明装置および露光装置
NL1008352C2 (nl) 1998-02-19 1999-08-20 Stichting Tech Wetenschapp Inrichting, geschikt voor extreem ultraviolet lithografie, omvattende een stralingsbron en een verwerkingsorgaan voor het verwerken van de van de stralingsbron afkomstige straling, alsmede een filter voor het onderdrukken van ongewenste atomaire en microscopische deeltjes welke door een stralingsbron zijn uitgezonden.
US6614505B2 (en) 2001-01-10 2003-09-02 Asml Netherlands B.V. Lithographic projection apparatus, device manufacturing method, and device manufactured thereby
US6566251B2 (en) * 2001-03-29 2003-05-20 Georgia Tech Research Corporation Method for selective deposition of materials in micromachined molds
US7453645B2 (en) 2004-12-30 2008-11-18 Asml Netherlands B.V. Spectral purity filter, lithographic apparatus including such a spectral purity filter, device manufacturing method, and device manufactured thereby
US7250620B2 (en) * 2005-01-20 2007-07-31 Infineon Technologies Ag EUV lithography filter
US7372623B2 (en) 2005-03-29 2008-05-13 Asml Netherlands B.V. Multi-layer spectral purity filter, lithographic apparatus including such a spectral purity filter, device manufacturing method, and device manufactured thereby
JP2007027212A (ja) * 2005-07-12 2007-02-01 Canon Inc フィルター、露光装置及びデバイス製造方法
US20070170379A1 (en) 2006-01-24 2007-07-26 Nikon Corporation Cooled optical filters and optical systems comprising same
JP2008261650A (ja) * 2007-04-10 2008-10-30 Ntt Advanced Technology Corp 軟x線フィルタ及びその製造方法

Also Published As

Publication number Publication date
CN102483585B (zh) 2015-06-24
TW201118433A (en) 2011-06-01
US8817237B2 (en) 2014-08-26
JP2013503463A (ja) 2013-01-31
WO2011023470A1 (en) 2011-03-03
JP5715134B2 (ja) 2015-05-07
EP2443517B1 (en) 2013-01-16
CN102483585A (zh) 2012-05-30
US20120154778A1 (en) 2012-06-21
EP2443517A1 (en) 2012-04-25
NL2005119A (en) 2011-03-01

Similar Documents

Publication Publication Date Title
US20120147350A1 (en) Spectral purity filter, lithographic apparatus, and method for manufacturing a spectral purity filter
TWI400580B (zh) 微影裝置之光學元件、包含此光學元件之微影裝置及製造此光學元件之方法
TWI394012B (zh) 微影裝置及元件製造方法
JP2011530819A (ja) 放射源、リソグラフィ装置、およびデバイス製造方法
TWI490663B (zh) 微影裝置及器件製造方法
US20100328639A1 (en) Spectral purity filter, lithographic apparatus, and method for manufacturing a spectral purity filter
KR20110083609A (ko) 스펙트럼 퓨리티 필터 및 리소그래피 장치
TWI504941B (zh) 多層鏡、微影裝置或輻射源及改良一多層鏡之強健度的方法
US20120170015A1 (en) Spectral purity filter, lithographic apparatus, method for manufacturing a spectral purity filter and method of manufacturing a device using lithographic apparatus
US8817237B2 (en) Spectral purity filter, lithographic apparatus, and method for manufacturing a spectral purity filter
JP2012501074A (ja) スペクトル純度フィルタ、このスペクトル純度フィルタを備えたリソグラフィ装置、およびデバイス製造方法
US8232537B2 (en) Radiation source, lithographic apparatus and device manufacturing method
US20120154779A1 (en) Spectral purity filter, lithographic apparatus, and method for manufacturing a spectral purity filter
US20120182537A1 (en) Spectral purity filter, lithographic apparatus, and device manufacturing method
JP2011512688A (ja) 磁石を含むリソグラフィ装置、リソグラフィ装置における磁石を保護する方法およびデバイス製造方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application