KR20090076938A - 웨이퍼 재작업 적용을 위한 포토레지스트의 제거를 위한 조성물 및 방법 - Google Patents

웨이퍼 재작업 적용을 위한 포토레지스트의 제거를 위한 조성물 및 방법 Download PDF

Info

Publication number
KR20090076938A
KR20090076938A KR1020097008641A KR20097008641A KR20090076938A KR 20090076938 A KR20090076938 A KR 20090076938A KR 1020097008641 A KR1020097008641 A KR 1020097008641A KR 20097008641 A KR20097008641 A KR 20097008641A KR 20090076938 A KR20090076938 A KR 20090076938A
Authority
KR
South Korea
Prior art keywords
composition
optionally
ether
basic salt
group
Prior art date
Application number
KR1020097008641A
Other languages
English (en)
Inventor
파멜라 엠. 비진틴
마이클 비. 코르젠스키
Original Assignee
어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 filed Critical 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드
Publication of KR20090076938A publication Critical patent/KR20090076938A/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D1/00Detergent compositions based essentially on surface-active compounds; Use of these compounds as a detergent
    • C11D1/008Polymeric surface-active agents
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/02Inorganic compounds ; Elemental compounds
    • C11D3/04Water-soluble compounds
    • C11D3/044Hydroxides or bases
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/2068Ethers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • C11D3/30Amines; Substituted amines ; Quaternized amines
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/425Stripping or agents therefor using liquids only containing mineral alkaline compounds; containing organic basic compounds, e.g. quaternary ammonium compounds; containing heterocyclic basic compounds containing nitrogen
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors

Landscapes

  • Chemical & Material Sciences (AREA)
  • Wood Science & Technology (AREA)
  • Organic Chemistry (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Emergency Medicine (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

본 발명은 밑에 있는 층 및 구조물, 예를 들면 캡 층, 층간 유전 층, 에칭 정지층 및 금속 배선 물질을 손상시키지 않으면서 마이크로전자 장치 웨이퍼를 재작업하기에, 즉 포토레지스트를 불합격 웨이퍼로부터 제거하기에 유용한 조성물을 제공한다. 준수계 조성물은 하나 이상의 알칼리 금속 및/또는 알칼리 토금속 염기성 염, 하나 이상의 유기 용매, 물, 임의로 하나 이상의 4차 암모늄 염기성 염, 임의로 하나 이상의 금속 부식 억제제 및 임의로 하나 이상의 수용성 중합체 계면활성제를 포함한다.

Description

웨이퍼 재작업 적용을 위한 포토레지스트의 제거를 위한 조성물 및 방법{COMPOSITIONS AND METHODS FOR THE REMOVAL OF PHOTORESIST FOR A WAFER REWORK APPLICATION}
본 발명은 마이크로전자 장치 기판의 현장외(off-site) 또는 현장내(in-house) 재작업을 위한 조성물 및 방법에 관한 것이다.
적층 구조물을 갖는 마이크로전자 장치를 제조하기 위한 포토리소그래피 공정을 수행할 때, 미리 형성된 하부 층과 상부 층 사이의 오버레이를 점검해야 한다. 또한, 포토레지스트는 비균일할 수 있고/있거나, 부정확한 포토레지스트 막 두께가 관찰될 수 있고/있거나, 불량한 품질 포토레지스트 막이 관찰될 수 있고/있거나, 부정확한 피쳐(feature) 치수가 발생할 수 있다. 마이크로전자 장치가 고도로 집적되고 크기가 감소하면서, 다른 상술한 공정 실패의 최소화뿐만 아니라, 하부 층과 상부 층 사이의 오버레이의 정확성은 마이크로전자 장치의 신뢰도 및 수율을 개선하기 위해 점점 더 중요해진다.
포토리소그래피 노광 단계의 품질은 임계 치수, 층으로부터 층으로의 오버레이 정확성, 층 두께, 절대 위치 정확성(정합; registration) 등과 같은 품질 매개변수의 군으로 대표될 수 있다. 요건이 충족되어야 하는 정도는 통상적으로 실제로 구조화된 층에 의존한다. 예를 들면, 몇몇 층은 임계 치수에 대한 좁은 공차(tolerance) 범위가 존재하도록 조밀 패턴으로 구조화된다. 다른 경우에, 2개의 후속적인 층(1개의 층은 다른 층 위에 구조화됨)은 마이크로전자 장치의 정확한 작업 기능을 보장하기 위해 최소 단면적을 갖는 접촉을 제공하기 위해 서로에 섬세한 조정을 필요로 한다.
품질 매개변수에 대한 일련의 공차 규격은 현재의 기술 실행가능성과 조합된 설계 규칙 및 층 구성(architecture)으로부터 흔히 추론된다. 규격은 일반적으로 제작 시설에서 웨이퍼의 대량 생산을 시작하기 전에 제공된다. 즉, 하나 이상의 품질 매개변수를 측정하는 각각의 도량 도구는 패턴 설계 파일을 포함하는 제품 데이타베이스에 연결된다. 품질 점검, 즉 측정된 품질 매개변수가 그 매개변수에 대해 기재된 공차 범위 내에 존재하는지를 비교하는 품질 점검은 공차 규격 정보를 수신한 후에, 또는 이의 측정된 값을 MES 시스템(manufacturing execution system)(이는 전자 데이타 수집을 수행함)으로 전송한 후에 도량 도구에서 수행한다.
예를 들면, 일단 포토레지스트가 현상되면, 주사 전자 현미경 또는 다른 도량 기술은 포토레지스트 마스크가 이의 의도하는 배치에 어떻게 밀접하게 상응하는지 측정하기 위해 사용할 수 있다. 합격/불합격(go/no-go) 매개변수가 수립될 수 있고, 허용 한계 밖에 있는 포토레지스트 패턴을 갖는 반도체 웨이퍼는 후속적인 재작업을 위한 생산 라인으로부터 제거된다. 즉, 포토레지스트는 스트리핑되어야 한다. 이어서, 허용가능한 포토레지스트 마스크를 갖는 웨이퍼는 예를 들면, 에칭 공정과 같은 추가 제조 단계를 통해 처리된다.
불행하게도, 재작업의 양은 첨단 기술과 함께 도입된 더 엄격한 공차 규격의 출현으로 증가하고 있다. 이는 불리하게 재료 비용 및 도구 시간을 증가시키고 또한 수율 손실을 결과적으로 야기한다. 포토레지스트 물질의 화학적 제거는 웨이퍼를 폐기하기보다는 웨이퍼를 재작업하기 위해 실행가능한, 시간 효과적인 그리고 비용 효과적인 방법이다.
그 결과를 위해, 본 발명의 목적은 포토레지스트가 불합격 마이크로전자 장치 구조물로부터 상기 구조물의 현장외 또는 현장내 재작업을 위해 제거되고, 기존의 제조 공정 및 성분과 상용성인 개선된 조성물 및 공정을 제공하는 것이다. 중요하게는, 조성물은 캡 층, 층간 유전 층, 에칭 정지층 및 금속 배선 물질과 같은(이들에 국한되지 않음) 밑에 있는 층을 제거하지 않으면서 포토레지스트를 실질적으로 제거한다.
발명의 개요
본 발명은 위에 포토레지스트를 갖는 마이크로전자 장치 웨이퍼로부터 포토레지스트를 제거하기에 유용한 조성물을 비롯하여, 마이크로전자 장치 기판의 재작업을 위한 조성물에 관한 것이다.
하나의 양태에서, 본 발명은 하나 이상의 알칼리 금속 및/또는 알칼리 토금속 염기성 염, 하나 이상의 유기 용매, 물, 임의로 하나 이상의 4차 암모늄 염기성 염, 임의로 하나 이상의 금속 부식 억제제, 및 임의로 하나 이상의 수용성 중합체 계면활성제를 포함하는 준수계(semi-aqueous) 조성물로서, 포토레지스트, 반사방지 코팅(ARC; anti-reflective coating), 중합체 함유 빌드업(buildup), 및 이들의 조합물로 구성된 군으로부터 선택된 물질을, 위에 상기 물질을 갖는 마이크로전자 장치 웨이퍼로부터 제거하기에 적합한 것인 조성물에 관한 것이다. 중요하게는, 상기 조성물은 상기 준수계 조성물의 존재하의 규소 또는 규소 함유 물질의 에칭 속도가 500 ㎚ 분-1 미만, 바람직하게는 300 ㎚ 분-1 미만, 가장 바람직하게는 100 ㎚ 분-1 미만이도록 제제화된다.
또 다른 양태에서, 본 발명은 하나 이상의 알칼리 금속 및/또는 알칼리 토금속 염기성 염, 하나 이상의 4차 암모늄 염기성 염, 하나 이상의 유기 용매, 물, 임의로 하나 이상의 금속 부식 억제제, 및 임의로 하나 이상의 수용성 중합체 계면활성제를 포함하는 준수계 조성물로서, 포토레지스트, 반사방지 코팅(ARC), 중합체 함유 빌드업, 및 이들의 조합물로 구성된 군으로부터 선택된 물질을, 위에 상기 물질을 갖는 마이크로전자 장치 웨이퍼로부터 제거하기에 적합한 조성물에 관한 것이다. 중요하게, 상기 조성물은 상기 준수계 조성물의 존재하의 규소 또는 규소 함유 물질의 에칭 속도가 500 ㎚ 분-1 미만, 바람직하게는 300 ㎚ 분-1 미만, 가장 바람직하게는 100 ㎚ 분-1 미만이도록 제제화된다.
훨씬 또 다른 양태에서, 본 발명은 하나 이상의 용기 내에, 준수계 조성물을 형성하기 위한 다음의 시약들: 하나 이상의 알칼리 금속 및/또는 알칼리 토금속 염기성 염, 하나 이상의 유기 용매, 물, 임의로 하나 이상의 4차 암모늄 염기성 염, 임의로 하나 이상의 금속 부식 억제제, 및 임의로 하나 이상의 수용성 중합체 계면활성제로 구성된 군으로부터 선택된 하나 이상의 시약을 포함하는 키트로서, 포토레지스트, 반사방지 코팅(ARC), 중합체 함유 빌드업, 및 이들의 조합물로 구성된 군으로부터 선택된 물질을, 위에 상기 물질을 갖는 마이크로전자 장치 웨이퍼로부터 제거하기에 적합한 준수계 조성물을 형성하도록 사용되는 키트에 관한 것이다.
보다 또 다른 양태에서, 본 발명은 마이크로전자 장치 웨이퍼의 재작업 방법으로서, 상기 방법은 마이크로전자 장치 웨이퍼를, 포토레지스트, 반사방지 코팅(ARC), 중합체 함유 빌드업, 및 이들의 조합물로 구성된 군으로부터 선택된 물질을, 위에 상기 물질을 갖는 마이크로전자 장치 웨이퍼로부터 적어도 부분적으로 제거하기에 충분한 시간 동안 그리고 충분한 조건하에 준수계 조성물과 접촉시키는 단계를 포함하고, 여기서 준수계 조성물은 하나 이상의 알칼리 금속 및/또는 알칼리 토금속 염기성 염, 하나 이상의 유기 용매, 물, 임의로 하나 이상의 4차 암모늄 염기성 염, 임의로 하나 이상의 금속 부식 억제제, 및 임의로 하나 이상의 수용성 중합체 계면활성제를 포함하는 것인 방법에 관한 것이다.
본 발명의 또 다른 양태는 수산화세슘, 테트라메틸암모늄 하이드록사이드, 프로필렌 글리콜, 물, 임의로 하나 이상의 금속 부식 억제제, 및 임의로 하나 이상의 수용성 중합체 계면활성제를 포함하거나, 이들로 구성되거나, 이들로 주로 구성된 준수계 조성물로서, 포토레지스트, 반사방지 코팅(ARC), 중합체 함유 빌드업, 및 이들의 조합물로 구성된 군으로부터 선택된 물질을, 위에 상기 물질을 갖는 마이크로전자 장치 웨이퍼로부터 제거하기에 적합한 것인 조성물에 관한 것이다. 중요하게는, 상기 조성물은 상기 준수계 조성물의 존재하의 규소 또는 규소 함유 물질의 에칭 속도가 500 ㎚ 분-1 미만, 바람직하게는 300 ㎚ 분-1 미만, 가장 바람직하게는 100 ㎚ 분-1 미만이도록 제제화된다.
본 발명의 또 다른 양태는 마이크로전자 장치의 제조 방법으로서, 상기 방법은 마이크로전자 장치를, 포토레지스트, ARC 및/또는 중합체 함유 빌드업을 위에 상기 물질을 갖는 마이크로전자 장치로부터 적어도 부분적으로 제거하기에 충분한 시간 동안 본원에 기재된 준수계 조성물과 접촉시키는 단계를 포함하는 것인 방법에 관한 것이다.
본 발명의 훨씬 또 다른 양태는 개선된 마이크로전자 장치, 및 반도체 장치 웨이퍼를 본원에 기재된 방법 및/또는 조성물을 사용하여 재작업하는 단계, 및 임의로 마이크로전자 장치를 제품에 도입하는 단계를 포함하는 본 발명의 방법을 사용하여 제조한 이 마이크로전자 장치가 도입된 제품에 관한 것이다.
본 발명의 또 다른 양태는 하나 이상의 알칼리 금속 및/또는 알칼리 토금속 염기성 염, 하나 이상의 유기 용매, 물, 임의로 하나 이상의 4차 암모늄 염기성 염, 임의로 하나 이상의 금속 부식 억제제, 임의로 하나 이상의 수용성 중합체 계면활성제, 및 포토레지스트, 반사방지 코팅(ARC), 중합체 함유 빌드업, 및 이들의 조합물로 구성된 군으로부터 선택된 잔여 물질을 포함하는 준수계 조성물로서, 포토레지스트, 반사방지 코팅(ARC), 중합체 함유 빌드업, 및 이들의 조합물로 구성된 군으로부터 선택된 물질을, 위에 상기 물질을 갖는 마이크로전자 장치 웨이퍼로부터 제거하기에 적합한 조성물에 관한 것이다.
본 발명의 또 다른 양태는 위에 준수계 제거 조성물, 마이크로전자 장치, 및 포토레지스트, ARC 물질 및/또는 중합체 함유 빌드업을 포함하는 제조 물품으로서, 준수계 제거 조성물은 하나 이상의 알칼리 금속 및/또는 알칼리 토금속 염기성 염, 하나 이상의 유기 용매, 물, 임의로 하나 이상의 4차 암모늄 염기성 염, 임의로 하나 이상의 금속 부식 억제제, 및 임의로 하나 이상의 수용성 중합체 계면활성제를 포함하는 물품에 관한 것이다.
본 발명의 보다 또 다른 양태는 마이크로전자 장치 구조물의 후면 및/또는 베벨 엣지로부터 중합체 함유 빌드업을 제거하기 위한 마이크로전자 장치 구조물의 재작업 방법으로서, 상기 방법은
구조물의 전면을 준수계 조성물과의 접촉으로부터 보호하는 단계;
구조물의 후면 및/또는 베벨 엣지를, 중합체 함유 빌드업을 구조물의 후면 및/또는 베벨 엣지로부터 실질적으로 제거하기에 충분한 시간 그리고 충분한 접촉 조건하에 본 발명의 준수계 조성물과 접촉시키는 단계
를 포함하는 방법에 관한 것이다.
훨씬 또 다른 양태에서, 본 발명은 반도체 도구 부품의 세정 방법으로서, 상기 방법은 상기 도구 부품을, 상기 도구 부품을 적어도 부분적으로 세정하기에 충분한 시간 동안 조성물과 접촉시키는 단계를 포함하고, 여기서 조성물은 하나 이상의 알칼리 금속 및/또는 알칼리 토금속 염기성 염, 하나 이상의 유기 용매, 물, 임의로 하나 이상의 4차 암모늄 염기성 염, 임의로 하나 이상의 금속 부식 억제제, 및 임의로 하나 이상의 수용성 중합체 계면활성제를 포함하는 것인 방법에 관한 것이다.
본 발명의 다른 양태, 특징 및 실시양태는 뒤이은 공개내용 및 청구의 범위로부터 더 완전히 명확해질 것이다.
발명의 상세한 설명 및 이의 바람직한 실시양태
본 발명은 위에 포토레지스트를 갖는 마이크로전자 장치 웨이퍼로부터 포토레지스트를 제거하기에 유용한 준수계 조성물을 비롯하여, 마이크로전자 장치 기판의 재작업을 위한 준수계 조성물에 관한 것이다.
언급의 용이를 위해, "마이크로전자 장치"는 마이크로전자 집적 회로 및 컴퓨터 칩 용도에 사용하기 위해 제조되는 반도체 기판, 평판 디스플레이, 태양 전지와 광전지, 및 마이크로전자기계 시스템(MEMS)에 해당한다. "마이크로전자 장치"라는 용어는 어떤 방식으로든 제한적인 의미가 아니며 궁극적으로 마이크로전자 장치, 마이크로전자 어셈블리 또는 집적 회로가 되는 임의의 기판을 포함하는 것으로 이해되어야 한다. 바람직하게는, 마이크로전자 장치는 웨이퍼를 포함한다. 마이크로전자 장치는 패턴화된, 블랭킷팅된 제어 및/또는 시험 장치일 수 있다. "불합격 마이크로전자 장치" 구조물은 본 발명의 방법에 따라 재작업, 세정, 재활용 및/또는 재사용될 수 있는 모든 구조물을 포함하는 의미이다.
본 명세서에서 사용되는 바와 같이, "약"이란 언급된 값의 ±5%에 해당하는 의미이다.
본 명세서에서 사용되는 바와 같이, 위에 포토레지스트, 반사방지 코팅(ARC), 중합체 함유 빌드업, 및 이들의 조합물로 구성된 군으로부터 선택된 물질을 갖는 마이크로전자 장치로부터 상기 물질(들)을 제거하기에 "적합함"이란 상기 마이크로전자 장치로부터 상기 물질(들)의 적어도 부분적인 제거에 해당한다. 바람직하게는, 본 발명의 조성물을 사용하여 마이크로전자 장치로부터 상기 물질(들)이 약 90% 이상, 더 바람직하게는 95% 이상 및 가장 바람직하게는 99% 이상 제거된다.
본 명세서에서 사용되는 바와 같이, 마이크로전자 장치 웨이퍼의 "재작업"은, 리소그래피 현상에 후속적이고 그리고 후속 에칭 공정에 앞선, 포토레지스트 물질, 반사방지 코팅(ARC), 중합체 함유 빌드업, 및 이들의 조합물의 실질적인 제거에 해당한다. 대안적으로, 재작업은 마이크로전자 장치 구조물의 후면 및/또는 베벨 엣지 상의 중합체 함유 빌드업의 제거를 포함한다. 재작업은 현장외 또는 현장내 수행할 수 있다. 재작업에 후속적인, 당업계에 공지된 포토리소그래피 기술에 따라 상기 마이크로전자 장치 웨이퍼를 재코팅하고, 소성하고, 재패턴화할 수 있다.
본 명세서에서 정의되는 바와 같이, ARC 층은 하부 반사방지 코팅(BARC; bottom anti-reflective coating) 층 및 희생적 반사방지 코팅(SARC; sacrificial anti-reflective coating) 층에 해당한다.
본 명세서에 정의되는 바와 같이, "캡 층"은 후속 공정으로부터 저-k 유전 물질을 보호하는 물질에 해당한다. 캡 층은 우수한 지형 제어, 공정 안정성 및 처리량을 발생시킬 수 있다. 캡 층은 SiO2(예컨대, TEOS, 열 산화물, 희생적 산화물), SiCOH 및 Si3N4를 포함하나, 이들에 국한되는 것은 아니다.
본 명세서에서 사용되는 바와 같이, "포토레지스트"는 비현상된, 현상된, 딱딱하게 소성된, 가교결합된 및/또는 박막 포토레지스트를 의미한다. 정의에 의하면, 박막 포토레지스트의 두께는 약 5 ㎛∼약 100 ㎛이다. 포토레지스트란 용어는 어떠한 방식으로든 제한되는 것은 아니며, 포토레지스트, ARC, 중합체 함유 빌드업, 및 이들의 조합물을 비롯한 웨이퍼 재작업 동안 제거될 수 있는 임의의 물질을 포함하는 것으로 이해해야 한다.
본 명세서에서 사용되는 바와 같이, "준수계"란 용어는 물과 유기 성분의 혼합물을 의미한다. 준수계 제거용 조성물은 상기 조성물을 사용하여 제거한 물질에 인접하게 위치한 물질에 실질적으로 손상을 주지 않아야 한다. 원하는 결과에 따라, 인접 물질은 마이크로전자 장치 기판, 에칭 정지층 금속 적층 물질, 장벽 층 물질, 강유전체, 규화물, 질화물, 산화물, 유전체(저-k 및/또는 고-k), 도핑된 영역 및 이들의 조합물로 구성된 군으로부터 선택되는 물질을 포함할 수 있다. "제거된 물질에 인접하게 위치한 물질에 실질적으로 손상을 주지 않는다"는 것은 인접 층의 100 Å 미만이 제거되며, 보다 바람직하게는 50 Å 미만, 훨씬 보다 바람직하게는 20 Å 미만, 훨씬 보다 바람직하게는 10 Å 미만, 가장 바람직하게는 1 Å 미만이 제거된다는 의미이다. 당해 분야의 숙련된 당업자는 "층"을 블랭킷 층 또는 패턴화 층일 수 있는 것으로 이해할 것이다.
본 명세서에서 정의되는 바와 같이, "저-k 유전 물질"은 층상 마이크로전자 장치 내에서 유전 물질로서 사용되는 임의의 물질에 해당하며, 상기 물질은 유전 상수가 약 4 미만이다. 바람직하게는, 상기 저-k 유전 물질은 저극성 물질, 예컨대 규소 함유 유기 중합체, 규소 함유 하이브리드 유기/무기 물질, 유기실리케이트 유리(OSG), TEOS, 불화 실리케이트 유리(FSG) 및 탄소 도핑 산화물(CDO) 유리를 포함한다. 본 발명에 있어서, 저-k 유전 물질은 또한 질화규소 물질을 포함한다. 저-k 유전 물질은 다양한 밀도와 다양한 다공도를 가질 수 있는 것으로 이해되어야 한다.
본 명세서에서 정의되는 바와 같이, "금속 적층 물질"은 마이크로전자 장치 위의 탄탈, 질화탄탈, 질화티탄, 티탄, 니켈, 코발트, 텅스텐 및 이들의 규화물; 구리 함유 층; 알루미늄 함유 층; Al/Cu 층; Al의 합금; Cu의 합금; CoWP 및 CoWBP와 같은 코발트 함유 층; 금 함유 층; Au/Pt 층; 하프늄 산화물; 옥시규산하프늄; 산화지르코늄; 란탄족 산화물; 티타네이트; 이의 질소 도핑된 유사체; 및 이들의 조합물을 의미한다.
본 명세서에 정의되는 바와 같이, "고-k 유전" 물질은 하프늄 산화물(예컨대, HfO2); 산화지르코늄(예컨대, ZrO2); 옥시규산하프늄; 하프늄 규산염; 지르코늄 실리케이트; 티타늄 규산염; 산화알루미늄; 이들의 란탄 도핑된 동족체(예컨대, LaAlO3); 알루미늄 규산염; 티타네이트(예컨대, Ta2O5); 하프늄과 규소의 산화물 및 질화물(예컨대, HfSiON); 이들의 란탄 도핑된 유사체(예컨대, HFSiON(La)); 바륨 스트론튬 티타네이트(BST); 하프늄과 알루미늄의 산화물(예컨대, HfxAlyOz); 스트론튬 티타네이트(SrTiO3); 바륨 티타네이트(BaTiO3); 및 이들의 조합물에 해당한다.
본 명세서에서 정의되는 바와 같이, "장벽 층 물질"은 금속 라인을 밀봉하기 위해, 유전 물질로의 상기 금속, 예컨대 구리의 확산을 최소화하기 위해 당업계에서 사용되는 임의의 물질, 예컨대, 구리 배선에 해당한다. 바람직한 장벽 층 물질은 규소 풍부 질화물, 규소 풍부 옥시질화물, 탄탈, 티타늄, 루테늄, 하프늄, 텅스텐, 및 다른 내화성 금속 및 이들의 질화물 및 규화물을 포함한다.
본 명세서에 정의되는 바와 같이, "강유전체"는 바륨 티타네이트(BaTiO3); 납 티타네이트(PbTiO3); 납 지르코네이트 티타네이트(PZT); 납 란탄 지르코네이트 티타네이트(PLZT); 납 마그네슘 니오베이트(PMN); 칼륨 니오베이트(KNbO3); 칼륨 나트륨 니오베이트(KxNa1-xNbO3); 칼륨 탄탈레이트 니오베이트(K(TaxNb1-x)O3); 납 니오베이트(PbNb2O6); 비스무스 티타네이트(Bi4Ti3O12); 납 비스무스 니오베이트(PbBi2Nb2O9); 리튬 니오베이트(LiNbO3); 리튬 탄탈레이트(LiTaO3); 스트론튬 비스무스 탄탈레이트; 스트론튬 비스무스 탄탈레이트 니오베이트; 스트론튬 탄탈라이트; 스트론튬 티타네이트; 및 이들의 조합 및 염을 포함하나, 이들에 국한되는 것은 아니다.
본 명세서에서 정의되는 바와 같이, "에칭 정지층"은 탄화규소(SiC), 질화탄소규소(SiCN), 산화탄소규소(SiCO), 산질화규소(SiON), 구리, 규소게르마늄(SiGe), SiGeB, SiGeC, AlAs, InGaP, InP, InGaAs 및 이들의 조합물을 포함한다.
본 명세서에서 정의되는 바와 같이, "중합체 함유 빌드업"은 제조 동안 마이크로전자 장치 기판의 후면 및 베벨 엣지 상에 빌드업되는 물질에 해당하고, 저-k 유전물질, 고-k 유전물질, 에칭 정지 물질, 금속 적층 물질, 장벽 층 물질, 강유전체, 규화물, 질화물, 산화물, 포토레지스트, 하부 반사방지 코팅(BARC), 희생적 반사방지 코팅(SARC), 기타 물질, 도핑제, 잔류 물질, 다른 습식 화학으로부터의 화학적 오염물질, 및 이들의 조합물을 포함하는(이들에 국한되는 것은 아님) 마이크로전자 장치 상에 증착되는 임의의 물질을 포함한다.
본 명세서에 정의되는 바와 같이, "염기성 염"은 수산화물, 탄산염, 중탄산염, 염화물, 브롬화물, 요오드화물, 질산염, 아질산염, 산화물, 황화물, 아황산염, 황산염, 아세트산염 및 이들의 조합물에 해당한다.
성공적인 웨이퍼 재작업의 요건은 장치 기판의 최외각 엣지 및 후면으로부터 인접하는 층(들)에 실질적인 손상을 주지 않으면서 포토레지스트, ARC 및/또는 중합체 함유 빌드업을 실질적으로 제거하여 후속 공정 동안 입자 및 금속 오염 물질을 감소시키는 것을 포함하나, 이들에 국한되는 것은 아니다.
본 발명의 조성물은 이하에서 더 충분히 설명하는 매우 다양한 특정 제제로 구현될 수 있다.
그러한 모든 조성물에 있어서, 조성물의 특정 성분은 하한치 0을 포함하는 중량 백분율 범위와 관련하여 기재되며, 그러한 성분들은 그 조성물의 다양한 구체적인 실시양태에 있어서 존재할 수도 있고 존재하지 않을 수도 있으며, 그러한 성분들이 존재하는 경우 이 성분들은 그 성분들이 이용된 조성물의 총 중량을 기준으로 0.001 중량%만큼 적은 농도로 존재할 수 있는 것으로 이해된다.
본 발명의 준수계 조성물은 포토레지스트, ARC 및/또는 중합체 함유 빌드업을 위에 상기 물질을 갖는 마이크로전자 장치 웨이퍼로부터 제거하는 효과를 조성물에 부여하기에 상대적인 양으로 조성물 중에 존재하는 (i) 1종 이상의 염기성 염, (ii) 1종 이상의 유기 용매 및 (iii) 물을 포함하는 조성물이다. 다른 실시양태에 있어서, 본 발명의 준수계 조성물은 (i) 2종 이상의 염기성 염, (ii) 1종 이상의 유기 용매 및 (iii) 물을 포함한다. 또 다른 실시양태에 있어서, 본 발명의 준수계 조성물은 (i) 1종 이상의 알칼리 금속 및/또는 알칼리성 토금속 염기성 염, (ii) 1종 이상의 4차 암모늄 염기성 염, (iii) 1종 이상의 유기 용매 및 (iv) 물을 포함한다. 또 다른 실시양태에 있어서, 본 발명의 준수계 조성물은 (i) 세슘 수산화물, (ii) 1종 이상의 4차 암모늄 염기성 염, (iii) 1종 이상의 유기 용매 및 (iv) 물을 포함한다. 또 다른 실시양태에 있어서, 본 발명의 준수계 조성물은 (i) 1종 이상의 4차 암모늄 염기성 염, (ii) 1종 이상의 알칼리 금속 및/또는 알칼리성 토금속 염기성 염, (iii) 1종 이상의 유기 용매 및 (iv) 물을 포함한다. 각각의 실시양태에 있어서, 본 발명은 1종 이상의 수용성 중합체 계면활성제를 추가로 포함할 수 있다.
본 발명의 광범위한 실시에 있어서, 본 발명의 준수계 조성물은 (i) 1종 이상의 염기성 염, 1종 이상의 유기 용매 및 물, (ii) 2종 이상의 염기성 염, 1종 이상의 유기 용매 및 물, (iii) 1종 이상의 알칼리 금속 및/또는 알칼리성 토금속 염기성 염, 1종 이상의 4차 암모늄 염기성 염, 1종 이상의 유기 용매 및 물, (iv) 세슘 수산화물, 1종 이상의 4차 암모늄 염기성 염, 1종 이상의 유기 용매 및 물; 또는 (v) 1종 이상의 4차 암모늄 염기성 염, 1종 이상의 알칼리 금속 및/또는 알칼리성 토금속 염기성 염, 1종 이상의 유기 용매 및 물을 포함하거나, 이들로 구성되거나, 이들로 주로 구성될 수 있다. 각각의 실시양태에 있어서, 본 발명의 준수계 조성물은 1종 이상의 금속 부식 억제제 및/또는 1종 이상의 수용성 중합체 계면활성제를 포함하거나, 이들로 구성되거나, 이들로 주로 구성될 수 있다. 일반적으로, 성분들의 서로에 대한 특정 비율 및 양은 포토레지스트, ARC 물질, 중합체 함유 빌드업에 대한 조성물의 원하는 제거 작용 및/또는 처리 장치를 제공하도록 적절히 변경할 수 있으며, 이는 당업자가 과도한 노력 없이 용이하게 결정할 수 있다. 물은 바람직하게는 탈이온화시킨다.
보다 구체적으로, 본 발명은 포토레지스트, ARC 및/또는 중합체 함유 빌드업을 위에 상기 물질을 갖는 마이크로전자 장치의 표면으로부터 제거하기 위한 준수계 조성물에 관한 것으로, 1종 이상의 알칼리 금속 및/또는 알칼리성 토금속 염기성 염, 1종 이상의 유기 용매, 물, 임의로 1종 이상의 4차 암모늄 염기성 염, 임의로 1종 이상의 금속 부식 억제제 및 임의로 1종 이상의 수용성 중합체 계면활성제를 포함하는 상기 조성물은 이 조성물의 총 중량을 기준으로 하기 범위로 존재한다.
성분 중량% 바람직한 중량%
알칼리 금속 및/또는 알칼리성 토금속 염기성 염(들) 약 0.1∼약 10 중량% 약 0.2∼약 1.5 중량%
4차 암모늄 염기성 염(들) 0∼약 5 중량% 약 1%∼약 5 중량%
유기 용매(들) 약 20∼약 80 중량% 약 25∼약 75 중량%
약 10∼약 80 중량% 약 20∼약 75 중량%
금속 부식 억제제 0∼약 20 중량% 0∼약 20 중량%
수용성 중합체 계면활성제 0∼약 5 중량% 0∼약 5 중량%
존재할 경우, 4차 암모늄 염기성 염(들), 금속 부식 억제제(들) 및 수용성 중합체 계면활성제(들)의 하한은 상기 조성물의 총 중량을 기준으로 약 0.01 중량%이다.
준수계 조성물의 성분들의 중량% 비의 범위는: 알칼리 금속 및/또는 알칼리성 토금속 염기성 염(들)에 대한 유기 용매(들)는 약 20∼약 200, 더 바람직하게는 약 30∼약 100 또는 약 160∼약 180이고; 존재할 경우, 알칼리 금속 및/또는 알칼리성 토금속 염기성 염(들)에 대해 4차 암모늄 염기성 염(들)은 약 0.1∼약 10, 바람직하게는 약 2.5∼약 7이다. 특히 바람직한 실시양태에 있어서, 준수계 조성물의 성분의 중량% 비의 범위는 알칼리 금속 및/또는 알칼리성 토금속 염기성 염(들)에 대해 약 160∼약 180 유기 용매(들), 및 알칼리 금속 및/또는 알칼리성 토금속 염기성 염(들)에 대해 약 5.5∼약 7의 4차 암모늄 염기성 염(들)을 포함한다. 다른 특히 바람직한 실시양태에서, 준수계 조성물의 성분의 중량% 비의 범위는 알칼리 금속 및/또는 알칼리성 토금속 염기성 염(들)에 대해 약 80∼약 100의 유기 용매(들), 및 알칼리 금속 및/또는 알칼리성 토금속 염기성 염(들)에 대해 약 1.5∼약 3.5의 4차 암모늄 염기성 염(들)을 포함한다.
중요하게는, 본 발명의 준수계 조성물은 실질적으로 연마제 및/또는 연마제, 히드라진 및 불소 이온을 포함하지 않는 것이 바람직하다. 본원에서 "실질적으로 포함하지 않는"은 2 중량% 미만, 바람직하게는 1 중량% 미만, 더바람직하게는 0.5 중량% 미만, 가장 바람직하게는 0.1 중량% 미만으로 정의된다.
또한, 본 발명의 발명자들은 예상외로 본원에 기재된 준수계 조성물이, 특히 서로에 대한 하나의 성분의 비로, 상기 준수계 조성물을 사용하여 제거되는 포토레지스트, ARC 및/또는 중합체 함유 빌드업의 기초를 이루는 규소 또는 규소 함유 물질을 실질적으로 에칭하지 않는다는 것을 발견했다. 보다 구체적으로, 본 발명의 준수계 조성물의 존재하의 규소 또는 규소 함유 물질 에칭 속도는 500 ㎚ 분-1 미만, 바람직하게는 300 ㎚ 분-1 미만, 가장 바람직하게는 100 ㎚ 분-1 미만이다.
일반적인 재작업 용도에 있어서, 사용하고자 하는 매우 농축된 형태를 극도로 희석시키는 것이 통상적인 실시임을 이해할 것이다. 예를 들면, 준수계 조성물은 사용 전에, 및/또는 사용 동안 제조자가 희석할 수 있다. 희석비는 1부 희석제:10부 준수계 조성물 대 10부 희석제:1부 준수계 조성물의 범위일 수 있다. 바람직한 희석제는 탈이온수 및/또는 유기 용매를 포함한다. 희석시, 준수계 조성물의 성분의 중량% 비는 변하지 않은 채 유지될 것으로 이해되어야 한다.
준수계 조성물의 pH는 의도된 최종 용도에 최적화된 조성물을 제조하기 위해 다양화할 수 있다. 일반적으로, pH는 염기성, 예를 들어 약 10보다 크고 약 14 미만, 더욱 바람직하게는 약 12∼약 14일 것이다.
본 발명의 준수계 조성물에서 유용할 수 있는 유기 용매의 예로는, 알코올, 아민, 에테르, 피롤리디논, 글리콜, 및 글리콜 에테르, 예컨대 메탄올, 에탄올, 이소프로판올 및 (디올, 트리올 등을 포함하는) 고급 알코올, 테트라히드로푸란(THF), N-메틸피롤리디논(NMP), 시클로헥실피롤리디논, N-옥틸피롤리디논, N-페닐피롤리디논, 메틸 포르메이트, 디메틸 포르메이트(DMF), 디메틸설폭시드(DMSO), 3-클로로-1,2-프로판디올, 테트라메틸렌 설폰(설포레인), 디에틸 에테르, 페녹시-2-프로판올(PPh), 프로피오페논, 에틸 락테이트, 에틸 아세테이트, 에틸 벤조에이트, 아세토니트릴, 아세톤, 에틸렌 글리콜, 프로필렌 글리콜, 디옥산, 부티릴 락톤, 부틸렌 탄산염, 에틸렌 탄산염, 프로필렌 탄산염, 디프로필렌 글리콜, 디에틸렌 글리콜 모노메틸 에테르, 트리에틸렌 글리콜 모노메틸 에테르, 디에틸렌 글리콜 모노에틸 에테르, 트리에틸렌 글리콜 모노에틸 에테르, 에틸렌 글리콜 모노프로필 에테르, 에틸렌 글리콜 모노부틸 에테르, 디에틸렌 글리콜 모노부틸 에테르(즉, 부틸 카르비톨), 트리에틸렌 글리콜 모노부틸 에테르, 에틸렌 글리콜 모노헥실 에테르, 디에틸렌 글리콜 모노헥실 에테르, 에틸렌 글리콜 페닐 에테르, 프로필렌 글리콜 메틸 에테르, 디프로필렌 글리콜 메틸 에테르, 트리프로필렌 글리콜 메틸 에테르, 디프로필렌 글리콜 디메틸 에테르, 디프로필렌 글리콜 에틸 에테르, 프로필렌 글리콜 n-프로필 에테르, 디프로필렌 글리콜 n-프로필 에테르(DPGPE), 트리프로필렌 글리콜 n-프로필 에테르, 프로필렌 글리콜 n-부틸 에테르, 디프로필렌 글리콜 n-부틸 에테르, 트리프로필렌 글리콜 n-부틸 에테르, 프로필렌 글리콜 페닐 에테르, 모노에탄올아민, 디에탄올아민, 트리에탄올아민, 3급부틸디에탄올아민, 이소프로판올아민, 디이소프로판올아민(2-아미노-1-프로판올, 1-아미노-2-프로판올), 트리이소프로판올아민, 3-아미노-1-프로판올, 이소부탄올아민, 2-(2-아미노에톡시)에탄올(디글리콜아민), 2-아미노-2-에톡시-프로판올, 메틸에탄올 아민, N,N-디에틸 히드록실아민 및 이들의 조합물이 있다. 바람직하게는, 상기 유기 용매는 에틸렌 글리콜, 프로필렌 글리콜 또는 이들의 혼합물을 포함한다.
본원에서 고려되는 염기성 염 종은, 하기의 식: 4차 암모늄 양이온, 예컨대 [NR1R2R3R4]+[식 중, R1, R2, R3 및 R4는 서로 동일하거나 또는 상이할 수 있고, 수소, 선형 또는 분지형 C1-C6 알킬(예컨대, 메틸, 에틸, 프로필, 부틸, 펜틸 및 헥실), 및 치환된 또는 비치환된 C6-C10 아릴, 예컨대 벤질로 구성된 군으로부터 선택됨]을 갖는 양이온의 수산화물, 탄산염, 중탄산염, 염화물, 브롬화물, 요오드화물, 질화물, 아질산염, 산화물, 황화물, 아황산염, 황산염 및/또는 아세트산염으로, 테트라메틸암모늄 수산화물(TMAH), 테트라부틸암모늄 수산화물, 테트라에틸암모늄 수산화물, 벤질트리에틸암모늄 수산화물, 벤질트리메틸암모늄 수산화물, 트리부틸메틸암모늄 수산화물, 및 암모늄 수산화물을 포함하고; 알칼리 금속은 세슘, 루비듐, 칼륨 및 나트륨, 예컨대 수산화세슘, 수산화루비듐, 수산화칼륨, 수산화나트륨을 포함하며; 알칼리성 토금속은 칼슘 및 마그네슘, 예컨대 수산화칼슘 및 수산화마그네슘; 및 이들의 조합을 포함한다. 바람직하게는, 1종 이상의 알칼리 금속 및/또는 알칼리성 토금속 염기성 염이 존재하고, 더 바람직하게는 1종 이상의 알칼리 금속 수산화물 및 1종 이상의 4차 암모늄 수산화물, 가장 바람직하게는 수산화세슘 및 1종 이상의 4차 암모늄 수산화물이 존재한다. 바람직한 수산화물로는 수산화세슘, TMAH 및 이들의 조합이 있다.
금속 부식 억제제는 금속, 예컨대 구리, 코발트 및/또는 텅스텐 배선 금속의 오버-에칭을 제거한다. 적절한 부식 억제제로는, 아졸, 예컨대 벤조트리아졸(BTA), 1,2,4-트리아졸(TAZ), 5-아미노테트라졸(ATA), 1-히드록시벤조트리아졸, 5-아미노-1,3,4-티아디아졸-2-티올, 3-아미노-1H-1,2,4 트리아졸, 3,5-디아미노-1,2,4-트리아졸, 토릴트리아졸, 5-페닐-벤조트리아졸, 5-니트로-벤조트리아졸, 3-아미노-5-머캅토-1,2,4-트리아졸, 1-아미노-1,2,4-트리아졸, 2-(5-아미노-펜틸)-벤조트리아졸, 1-아미노-1,2,3-트리아졸, 1-아미노-5-메틸-1,2,3-트리아졸, 3-머캅토-1,2,4-트리아졸, 3-이소프로필-1,2,4-트리아졸, 5-페닐티올-벤조트리아졸, 할로-벤조트리아졸(할로 = F, Cl, Br 또는 I), 나프토트리아졸, 1H-테트라졸-5-아세트산, 2-머캅토벤조티아졸 (2-MBT), 1-페닐-2-테트라졸린-5-티온, 2-머캅토벤즈이미다졸(2-MBI), 4-메틸-2-페닐이미다졸, 2-머캅토티아졸린, 2,4-디아미노-6-메틸-1,3,5-트리아진, 티아졸, 이미다졸, 벤즈이미다졸, 트리아진, 메틸테트라졸, 비스무스iol I, 1,3-디메틸-2-이미다졸리디논, 1,5-펜타메틸렌테트라졸, 1-페닐-5-머캅토테트라졸, 디아미노메틸트리아진, 이미다졸린 티온, 4-메틸-4H-1,2,4-트리아졸-3-티올, 5-아미노-1,3,4-티아디아졸-2-티올, 벤조티아졸, 트리톨릴 포스페이트, 인디아졸; DNA 염기(예컨대, 글리신, 아데닌, 시토신, 구아닌, 티민); 포스페이트 억제제; 아민; 피라졸; 이미노디아세트산(IDA); 프로판티올; 실란; 2차 아민; 벤조히드록사민산; 헤테로시클릭 질소 억제제; 시트르산; 아스코르브산; L-시스테인, 히스티딘; 푸라논; 갈락탈; 티오우레아; 1,1,3,3-테트라메틸우레아; 우레아; 우레아 유도체; 우르산; 칼륨 에틸크산테이트; 피라진; 피리다진; 2,3,5-트리메틸피라진; 2-에틸-3,5(6)-디메틸피라진; 퀴녹살린; 벤즈이미다졸; 디카르복실산, 예컨대 옥살산, 말론산, 숙신산, 니트릴로트리아세트산 및 아세틸렌 디카르복실산; 및 이들의 혼합물을 들 수 있으나, 이들에 국한되는 것은 아니다. 일반적으로, 구리 표면 상으로 화학흡착되어 불용성 제1 구리의 표면 복합체를 형성하는 것이 받아들여진다.
계면활성제로는 폴리에틸렌 글리콜(PEG), 폴리에틸렌 옥시드(PEO), 폴리비닐 피롤리돈(PVP), 양이온성 중합체, 비이온성 중합체, 음이온성 중합체, 히드록시에틸셀룰로오스(HEC), 아크릴아미드 중합체, 폴리(아크릴산), 카르복시메틸셀룰로오스(CMC), 나트륨 카르복시메틸셀룰로오스(Na CMC), 히드록시프로필메틸셀룰로오스, 폴리비닐피롤리돈 K30, BIOCARETM 중합체, DOWTM 라텍스 분말(DLP), ETHOCELTM 에틸셀룰로오스 중합체, KYTAMERTM PC 중합체, METHOCELTM 셀룰로오스 에테르, POLYOXTM 수용성 수지, SoftCATTM 중합체, UCARETM 중합체, UCONTM 유체 및 이들의 조합을 비롯한(이들에 국한되지 않음) 수용성 중합체를 포함한다. 수용성 중합체는 단쇄 또는 장쇄 중합체일 수 있고, 본 발명의 비이온성, 음이온성, 양이온성 및/또는 양쪽성 계면활성제와 혼합될 수 있다. 본 발명의 조성물에 계면활성제가 포함되는 경우, 바람직하게는 소포제는 상기 조성물의 총 중량을 기준으로 0∼5 중량% 범위로 첨가된다. 고려되는 소포제로는, 지방산, 알코올(단순 또는 폴리올) 및 아민, 예컨대 카프릴산 디글리세리드, 레시틴, 마그네슘 탄산염, 폴리에틸렌 단독중합체 및 산화된 단독중합체 M3400 , 디메토폴리실록산계, 규소계, AGITANTM 및 지방산 폴리에테르 형태, 예컨대 LUMITENTM 오일 및 이들의 조합물을 들 수 있으나, 이들에 국한되는 것은 아니다.
다양한 바람직한 실시양태에 있어서, 본 발명의 준수계 조성물은 하기의 제제 A 내지 제제 J로 제제화되고, 이때 모든 백분율은 제제의 총 중량을 기준으로 한 중량 백분율이다:
제제 A: 2.00 중량% TMAH; 0.75 중량% CsOH; 70.50 중량% 에틸렌 글리콜; 26.75 중량% 물
제제 B: 2.00 중량% TMAH; 0.75 중량% CsOH; 70.50 중량% 프로필렌 글리콜; 26.75 중량% 물
제제 C: 2.375 중량% TMAH; 0.750 중량% CsOH; 64.000 중량% 프로필렌 글리콜; 32.875 중량% 물
제제 D: 2.375 중량% TMAH; 0.375 중량% CsOH; 64.000 중량% 프로필렌 글리콜; 33.250 중량% 물
제제 E: 3.52 중량% TMAH; 0.75 중량% CsOH; 42.21 중량% 에틸렌 글리콜; 53.52 중량% 물
제제 F: 3.85 중량% TMAH; 0.75 중량% CsOH; 73.88 중량% 에틸렌 글리콜; 21.52 중량% 물
제제 G: 4.5 중량% TMAH; 0.75 중량% CsOH; 25.00 중량% 프로필렌 글리콜; 69.75 중량% 물
제제 H: 2.38 중량% TMAH; 0.75 중량% CsOH; 25.00 중량% 프로필렌 글리콜; 71.87 중량% 물
제제 I: 2.00 중량% TMAH; 0.75 중량% CsOH; 25.00 중량% 프로필렌 글리콜; 72.25 중량% 물
제제 J: 2.38 중량% TMAH; 0.75 중량% CsOH; 44.50 중량% 프로필렌 글리콜; 52.37 중량% 물
더욱 바람직하게는, 본 발명의 준수계 조성물은 TMAH, CsOH, 프로필렌 글리콜 및 물을 포함하거나, 이들로 구성되거나, 이들로 주로 구성되고, 상기 조성물은 상기 본 발명의 준수계 조성물의 존재하의 규소 또는 규소 함유 물질 에칭 속도가 500 ㎚ 분-1 미만, 바람직하게는 300 ㎚ 분-1 미만, 가장 바람직하게는 100 ㎚ 분-1 미만이도록 제제화된다. 또 다른 바람직한 실시양태에서, 본 발명의 준수계 조성물은 TMAH, CsOH, 에틸렌 글리콜 및 물로 구성되거나 또는 본질적으로 구성되고, 상기 조성물은 상기 본 발명의 준수계 조성물의 존재하의 규소 또는 규소 함유 물질 에칭 속도가 500 ㎚ 분-1 미만, 바람직하게는 300 ㎚ 분-1 미만, 가장 바람직하게는 100 ㎚ 분-1 미만이도록 제제화된다.
중요하게는, 본 발명의 준수계 조성물은 밑에 있는 적층 물질, 예컨대 캡 층, 금속 적층 물질, 장벽 층 물질, 강유전체, 규화물, 질화물, 산화물, 유전체 (저-k 및/또는 고-k), 에칭 정지층, 금속 배선 물질, 및 이들의 조합물을 유해하게 공격하지 않으면서 포토레지스트, ARC, 중합체 함유 빌드업, 및 이들의 조합물을 제거한다. 또한, 준수계 조성물은 에칭 후 및 애쉬 후 잔여물을 위에 상기 물질을 갖는 마이크로전자 장치로부터 용이하게 제거한다.
또 다른 양태에서, 상술한 본 발명의 준수계 조성물은 포토레지스트, ARC, 중합체 함유 빌드업, 및 이들의 조합물로 구성된 군으로부터 선택된 잔여 물질을 추가로 포함한다. 예를 들면, 준수계 조성물은 하나 이상의 알칼리 금속 및/또는 알칼리 토금속 염기성 염, 하나 이상의 유기 용매, 물, 하나 이상의 4차 암모늄 염기성 염, 및 잔여 물질을 포함할 수 있다. 또 다른 실시양태에서, 본 발명의 준수계 조성물은 하나 이상의 알칼리 금속 및/또는 알칼리 토금속 염기성 염, 하나 이상의 4차 암모늄 염기성 염, 하나 이상의 유기 용매, 물, 및 잔여 물질을 포함할 수 있다. 각각의 실시양태에서, 준수계 조성물은 하나 이상의 금속 부식 억제제 및/또는 하나 이상의 수용성 중합체 계면활성제를 추가로 포함할 수 있다. 예를 들면, 본 발명의 특히 바람직한 조성물은 TMAH, CsOH, 프로필렌 글리콜, 물, 및 포토레지스트, ARC, 중합체 함유 빌드업, 및 이들의 조합물로 구성된 군으로부터 선택된 잔여 물질을 포함하거나, 이들로 구성되거나, 이들로 주로 구성될 수 있고, 상기 조성물은 상기 본 발명의 준수계 조성물의 존재하의 규소 또는 규소 함유 물질 에칭 속도가 500 ㎚ 분-1 미만, 바람직하게는 300 ㎚ 분-1 미만, 가장 바람직하게는 100 ㎚ 분-1 미만이도록 제제화된다. 중요하게는, 잔여 물질은 본 발명의 제거 조성물 중에 용해될 수 있고/있거나 현탁될 수 있다.
본 발명의 준수계 조성물은 각각의 성분들을 간단하게 첨가하고 균일한 조건으로 혼합함으로써 쉽게 제제화된다. 또한, 준수계 조성물은 단일 포장 제제 또는 사용 시점에 혹은 그 전에 혼합하는 다부품(multi-part) 제제(예, 다부품 제제의 개별 부품은 도구에서 또는 도구의 저장 탱크 상류에서 혼합될 수 있음)로서 용이하게 제제화할 수 있다. 각각의 성분의 농도는 본 발명의 광범위한 사용시 특정 배수의, 즉 보다 묽은 또는 보다 농축된 준수계 조성물로 광범위하게 변할 수 있고, 본 발명의 제거 조성물은 여러 가지로 그리고 대안적으로 본원의 내용과 일치하는 임의의 성분들의 조합물을 포함하거나, 이들로 구성되거나, 이들로 주로 구성될 수 있다는 것을 이해할 알 것이다. 하나의 실시양태에서, 준수계 조성물의 농축물은 무수이고 물은 공장에서 사용자가 상기 농축물에 첨가하여 본 발명의 준수계 조성물을 생성하게 된다.
따라서, 본 발명의 또 다른 양태는 하나 이상의 용기 내에 본 발명의 조성물을 형성하는데 이용된 하나 이상의 성분을 포함하는 키트에 관한 것이다. 예를 들면, 키트는 하나 이상의 용기 내에 하나 이상의 알칼리 금속 및/또는 알칼리 토금속 염기성 염, 하나 이상의 유기 용매, 임의로 물, 임의로 하나 이상의 4차 암모늄 염기성 염, 임의로 하나 이상의 금속 부식 억제제, 및 임의로 하나 이상의 수용성 중합체 계면활성제를, 공장에서 또는 사용 시점에 서로 배합하거나 또는 대안적으로 추가 물 및/또는 유기 용매와 배합하기 위해 포함할 수 있다. 또 다른 실시양태에서, 키트는 하나 이상의 용기 내에 하나 이상의 알칼리 금속 및/또는 알칼리 토금속 염기성 염, 하나 이상의 유기 용매, 하나 이상의 4차 암모늄 염기성 염, 임의로 물, 임의로 하나 이상의 금속 부식 억제제, 및 임의로 하나 이상의 수용성 중합체 계면활성제를, 공장에서 또는 사용 시점에 서로 배합하거나 또는 대안적으로 추가 물 및/또는 유기 용매와 배합하기 위해 포함할 수 있다. 또 다른 실시양태에서, 키트는 하나 이상의 용기 내에 하나 이상의 알칼리 금속 염기성 염, 하나 이상의 4차 암모늄 염기성 염, 하나 이상의 유기 용매, 임의로 물, 임의로 하나 이상의 금속 부식 억제제, 및 임의로 하나 이상의 수용성 중합체 계면활성제를, 공장에서 또는 사용 시점에 서로 배합하거나 또는 대안적으로 추가 물 및/또는 유기 용매와 배합하기 위해 포함할 수 있다. 상기 키트의 용기, 예를 들면, NOWPak® 용기(Advanced Technology Materials, Inc.; 미국 코네티컷주 댄버리 소재)는 상기 준수계 조성물을 저장하거나 선적하는데 적합해야 한다.
준수계 조성물의 성분을 포함하는 하나 이상의 용기는 바람직하게는 상기 하나 이상의 용기 내의 성분들을 블렌딩 및 분배를 위한 유체 전달을 수행하는 수단을 포함한다. 예를 들면, NOWPak® 용기와 관련하여, 가스압은 상기 하나 이상의 용기에서 라이너의 외부에 인가하여 라이너의 내용물의 적어도 일부가 방출되고 따라서 블렌딩 및 분배를 위한 유체 전달을 수행할 수 있다. 대안적으로, 가스압은 일반적인 가압가능한 용기의 헤드 부분에 인가할 수 있거나 펌프는 유체 전달 가능하도록 사용할 수 있다. 또한, 시스템은 바람직하게는 블렌딩된 제거 조성물을 공정 도구에 분배하기 위한 분배 포트를 포함한다.
실질적으로 화학적으로 불활성이고 불순물을 함유하지 않으며 가요성이고 탄성인 중합체 막 물질, 예컨대 고밀도 폴리에틸렌은 바람직하게는 상기 하나 이상의 용기에서 라이너를 형성하는데 사용된다. 목적하는 라이너 물질은 공압출 또는 장벽 층을 필요로 하지 않고, 그리고 임의의 안료, UV 억제제, 또는 라이너에서 배치하고자 하는 성분들의 순도 요건에 역효과를 줄 수 있는 처리제 없이 처리된다. 바람직한 라이너 물질의 목록은 버진(무첨가제) 폴리에틸렌, 버진 폴리테트라플루오로에틸렌(PTFE), 폴리프로필렌, 폴리우레탄, 폴리비닐리덴 클로라이드, 폴리비닐클로라이드, 폴리아세탈, 폴리스티렌, 폴리아크릴로니트릴, 폴리부틸렌 등을 포함하는 막을 포함한다. 그러한 라이너 물질의 바람직한 두께는 약 5 ㎜(0.005 인치)∼약 30 ㎜(0.030 인치) 범위, 예를 들면 20 ㎜(0.020 인치)의 두께이다.
본 발명의 키트를 위한 용기와 관련하여, 하기 특허 및 특허 출원의 내용은 이의 각 전문이 본원에 참고 인용된다: 미국 특허 번호 7,188,644(명칭: "APPARATUS AND METHOD FOR MINIMIZING THE GENERATION OF PARTICLES IN ULTRAPURE LIQUIDS"); 미국 특허 번호 6,698,619(명칭: "RETURNABLE AND REUSABLE, BAG-IN-DRUM FLUID STORAGE AND DISPENSING CONTAINER SYSTEM") 및 미국 특허 출원 번호 60/916,966(명칭: "SYSTEMS AND METHODS FOR MATERIAL BLENDING AND DISTRIBUTION";(존 E.Q. 휴스의 이름으로 2007년 5월 9일 출원)).
제안된 키트는 하나의 용기 내에 하나 이상의 알칼리 금속 및/또는 알칼리 토금속 염기성 염, 하나 이상의 유기 용매, 물, 하나 이상의 4차 암모늄 염기성 염(존재하는 경우), 하나 이상의 금속 부식 억제제(존재하는 경우), 및 하나 이상의 수용성 중합체 계면활성제(존재하는 경우)를, 공장에서 또는 사용 시점에 서로 배합하거나 또는 대안적으로 추가 물 및/또는 유기 용매와 배합하기 위해 포함한다. 대안적으로, 키트는 2개의 용기를 포함할 수 있고, 하나의 용기는 고체 또는 수용액으로서 하나 이상의 알칼리 금속 및/또는 알칼리 토금속 염기성 염을 포함하고, 다른 용기는 하나 이상의 유기 용매, 물, 하나 이상의 4차 암모늄 염기성 염(존재하는 경우), 하나 이상의 금속 부식 억제제(존재하는 경우), 및 하나 이상의 수용성 중합체 계면활성제(존재하는 경우)를 공장에서 또는 사용 시점에 서로 배합하거나 또는 대안적으로 추가 물 및/또는 유기 용매와 배합하기 위해 포함한다. 각각의 경우에, 추가 물 및/또는 유기 용매는 용기 시스템으로 및/또는 후속 블렌딩/희석 관에 직접 첨가할 수 있다.
포토레지스트, ARC 및/또는 중합체 함유 빌드업 제거 분야, 즉 마이크로전자 장치 웨이퍼 재작업에서, 조성물은 재작업하고자 하는 장치 웨이퍼에 임의의 적당한 방식으로, 예를 들면 재작업하고자 하는 장치 웨이퍼의 표면 위에 조성물을 분무함으로써, 재작업하고자 하는 장치 웨이퍼를 (조성물의 부피로) 침지시킴으로써, 조성물로 포화된 또 다른 물질, 예컨대 패드, 또는 섬유성 수착제 어플리케이터 부재와 재작업하고자 하는 장치 웨이퍼를 접촉시킴으로써, 또는 상기 조성물을 재작업하고자 하는 장치 웨이퍼와 제거 접촉에 놓이게 하는 임의의 다른 적당한 수단, 방식 또는 기법에 의해 도포한다. 또한, 뱃치 또는 단일 웨이퍼 처리가 본원에 고려된다. 본원에 고려된 도구 세트는 습식 벤치 및/또는 단일 웨이퍼 도구를 포함(이들에 국한되지 않음)한다.
재작업을 필요로 하는 마이크로전자 장치에서 포토레지스트, ARC, 및/또는 중합체 함유 빌드업을 제거하기 위한 본 발명의 조성물의 용도에서, 조성물은 약 30℃∼약 80℃, 바람직하게는 약 50℃∼약 70℃, 가장 바람직하게는 약 60℃에서 통상 약 1분∼약 60분, 바람직하게는 약 2분∼약 10분, 가장 바람직하게는 약 5분 동안 장치 웨이퍼와 접촉시킨다. 이러한 접촉 시간 및 온도는 예시적이며, 본 발명의 광범위한 실행 내에 장치 웨이퍼에서 포토레지스트, ARC, 중합체 함유 빌드업, 및 이들의 조합물을 적어도 부분적으로 제거하는데 효능이 있는 임의의 다른 적당한 시간 및 온도 조건이 사용될 수 있다. 상기 정의된 바와 같이, 본 발명의 조성물을 사용하여 제거되는 "적어도 부분적으로 제거하는"은 물질의 90% 이상 제거, 바람직하게는 물질의 95% 이상 제거, 가장 바람직하게는 물질의 99% 이상 제거에 해당하다.
원하는 제거 작용의 달성 후에, 준수계 조성물은, 본 발명의 조성물의 제시된 최종 용도 분야에서 바람직하고 효과적일 수 있는 바와 같이, 이전에 이용된 바 있는 장치에서 쉽게 제거될 수 있다. 예를 들면, 장치는 탈이온수 및/또는 이소프로필 알콜을 포함하는 세척 용액으로 세정하고/하거나 건조(예, 회전 건조, N2, 증기 건조 등)시킬 수 있다.
본 발명의 준수계 조성물을 사용하여 당업계에 공지된 에칭 공정에 후속적으로 재작업되지 않은 습식 화학 제거 분야에서 포토레지스트를 제거하는데, 예컨대 불합격되지 않은 마이크로전자 장치로부터 포토레지스트 및/또는 ARC 물질을 제거하는데, 여기서 상기 포토레지스트는 고도로 경화된, 즉 고도로 가교결합된 벌크 포토레지스트, 또는 후막 포토레지스트일 수 있는 것으로 이해되어야 한다.
추가의 양태에서, 본 발명의 준수계 조성물을 사용하여 마이크로전자 장치 구조물을 재작업함으로써, 구조물의 후면 및/또는 베벨 엣지 상에 중합체 함유 빌드업을 제거할 수 있다. 중요하게는, 구조물의 후면 및/또는 베벨 엣지에서 중합체 함유 빌드업을 제거하는 공정은, 반드시 필요한 것은 아니지만, 조성물을 노출시키는 것으로부터 구조물의 전면을 보호하는데 요구될 수 있다. 그러한 공정은 불활성 기체, 예컨대 질소, 및/또는 탈이온수 분무를 이용하여 웨이퍼의 전면을 보호하는 단일 웨이퍼 도구에 구조물을 위치시키는 것을 포함할 수 있다. 대안적으로, 전면은 전면 상의 포토레지스트의 두꺼운 층 또는 다른 보호 코팅 중합체를 증착시킴으로써 보호될 수 있다. 다른 한편으로, 구조물의 전면이 후면 및/또는 베벨 엣지를 세정하는 경우 본 발명의 준수계 조성물에 노출되지 말아야 하는 패턴화되고/되거나 플랭킷팅된 물질(들)을 포함하는 경우, 전면은 보호되어야 한다. 또 다른 실시양태에서, 전면 및 후면/베벨 엣지 양자를 본 발명의 준수계 조성물에 노출시켜 전면(예, 포토레지스트 등) 및 후면/베벨 엣지(예, 중합체 함유 빌드업 및 구리 함유 물질)에서 물질을 동시에 제거한다.
마이크로전자 장치 웨이퍼는 현장외 또는 현장내 재작업될 수 있다. 현장내 재작업 및 재순환은 전체적인 수율을 증가시키는 이점을 가지면서, 전체 비용을 감소시키고 진단 공정과 재작업 사이의 순화 시간을 단축시킨다.
본 발명의 또 다른 양태는 본 발명의 방법에 따라 제조된 향상된 마이크로전자 장치 및 상기 마이크로전자 장치를 포함하는 제품에 관한 것이다. 예를 들면, 불합격된 마이크로전자 장치 웨이퍼는 본 발명의 조성물 및/또는 방법을 이용하여 재작업될 수 있고, 후속으로 수차례 당업계에 공지된 포토리소그래피 기법에 따라 마이크로전자 장치 웨이퍼를 재코팅되고, 소성되고, 재패턴화할 수 있다. 본 발명자들은 예상외로 놀랍게도 동일한 마이크로전자 장치 구조물을 10회 이상 재작업할 수 있고, 예를 들면 포토레지스트 및 ARC 물질(들)은 마이크로전자 장치 구조물로부터 제거된다는 것을 발견하였다. 예를 들면, 동일한 구조물은 포토리소그래피 처리하고 후속적으로 재작업하여 잘못 위치한 포토레지스트 패턴을 2회 이상, 바람직하게는 5회 이상, 가장 바람직하게는 10회 이상 제거할 수 있고, 상기 재작업은 실질적으로 보유하고자 하는 층(들)에 손상을 입히지 않는다. 중요하게는, 종래 분야의 재작업 조성물(예, 엣지의 물리적 연마, 건식 플라즈마 에칭, 연소 등)과 달리, 마이크로전자 장치 구조물로부터 제거하고자 하는 하나 이상의 물질은 본 발명의 준수계 조성물을 이용하여 단일 단계로 제거할 수 있다.
또한, 본 발명자들은 놀랍게도 마이크로전자 장치 구조물의 후면 및/또는 베벨 엣지가 용이하게 세정될 수 있고, 예를 들면 중합체 함유 빌드업이 마이크로전자 장치 구조물의 후면 및/또는 베벨 엣지로부터 제거된다는 것을 발견하였다.
또 다른 양태에서, 본 발명은 본 발명의 준수계 조성물을 이용하여 에칭 후 및/또는 애쉬 후 잔여물을 위에 상기 물질을 갖는 마이크로전자 장치 웨이퍼로부터 제거하는 방법에 관한 것이다. 본 발명의 준수계 제거 조성물을 사용하여 에칭 후 및/또는 애쉬 후 잔여물을 제거하는 경우, 제거 조성물은 또한 에칭 후 및/또는 애쉬 후 잔여 물질을 추가로 포함할 수 있다.
또 다른 양태에서, 본 발명은 재작업된 마이크로전자 장치 구조물 또는 재작업된 마이크로전자 장치 기판 및 저-k 유전 물질, 고-k 유전 물질, 에칭 정지층, 금속 적층 물질, 질화물, 규화물, 산화물, 강유전체, 장벽 층 물질, 포토레지스트, ARC 물질, 도핑된 영역, 및 이들의 조합물로 구성된 군으로부터 선택된 하나 이상의 추가 물질 층을 포함하는 물품으로서, 하나 이상의 추가 물질 층은 재작업에 후에 마이크로전자 장치 구조물 또는 기판 상에 침착되는 물품에 관한 것이다. 물품은 마이크로전자 장치 구조물 또는 기판과 하나 이상의 추가 물질 층 사이에 위치하는 중간 층을 추가로 포함할 수 있다.
본 발명의 추가 양태는 마이크로전자 장치를 포함하는 물품의 제조 방법으로서, 상기 방법은 포토레지스트, ARC, 중합체 함유 빌드업, 및 이들의 조합물을, 위에 상기 물질을 갖는 마이크로전자 장치로부터 제거하기에 충분한 시간 동안 조성물을 사용하여 마이크로전자 장치를 재작업하여 결국 상기 물품에 상기 마이크로전자 장치를 도입하는 단계를 포함하고, 여기서 상기 조성물은 하나 이상의 알칼리 금속 및/또는 알칼리 토금속 염기성 염, 하나 이상의 유기 용매, 물, 임의로 하나 이상의 4차 암모늄 염기성 염, 임의로 하나 이상의 금속 부식 억제제, 및 임의로 하나 이상의 수용성 중합체 계면활성제를 포함하는 것인 방법에 관한 것이다.
또한, 본 발명의 준수계 조성물은 연마 슬러리로부터의 입자, 탄소 풍부 입자, 연마 패드 입자, 브러쉬 탈로딩화 입자, 건축 입자의 장비 물질, 구리, 구리 산화물, 및 화학적 기계적 연마(CMP) 공정의 부산물인 임의의 다른 물질을 포함(이들에 국한되지 않음)하는 CMP 후 잔여물을 제거하기 위해 물과 같은 용매로 희석하고 CMP 후 조성물로서 사용할 수 있다는 것을 고려할 수 있다. 바람직한 희석률은 농축물에 대해 약 10:1 내지 약 200:1의 희석제이다. 본 발명의 준수계 제거 조성물을 사용하여 CMP 후 잔여물을 제거하는 경우, 제거 조성물은 CMP 후 잔여 물질을 추가로 포함할 수 있다.
또 다른 양태에서, 본 발명은 반도체 도구 부품의 세정 방법으로서, 상기 방법은 상기 도구 부품을, 상기 부품을 세정하기에 충분한 시간 동안 조성물과 접촉시키는 단계를 포함하고, 여기서 조성물은 하나 이상의 알칼리 금속 및/또는 알칼리 토금속 염기성 염, 하나 이상의 유기 용매, 물, 임의로 하나 이상의 4차 암모늄 염기성 염, 임의로 하나 이상의 금속 부식 억제제, 및 임의로 하나 이상의 수용성 중합체 계면활성제를 포함하는 것인 방법에 관한 것이다. 세정 분야에서, 조성물은 세정하고자 하는 도구 부품에 임의의 적당한 방식으로, 예를 들면 세정하고자 하는 도구 부품의 표면 상에 조성물을 분무함으로써, 세정하고자 하는 도구 부품을 (조성물의 부피로) 침지시킴으로써, 세정하고자 하는 도구 부품을 조성물로 포화된 또 다른 물질, 예컨대 패드, 또는 섬유성 수착제 어플리케이터 부재와 접촉시킴으로써, 또는 상기 조성물을 세정하고자 도구 부품과 제거 접촉에 놓이게 하는 임의의 다른 적당한 수단, 방식 또는 기법에 의해 도포한다. 통상, 도구 부품은 마이크로전자 장치, 예컨대 포토레지스트, ARC 물질 및/또는 중합체 함유 빌드업으로부터 제거하고자 하는 다수의 동일한 물질을 포함한다.
또 다른 양태에서, 본 발명은 또한 욕에서 물질(들)의 층을 포함함으로써 경시적으로 준수계 조성물의 증발을 최소화하여 증발 효과를 최소화하는 방법에 관한 것이다. 특히, 층은 욕의 조성물에서 실질적으로 용해되거나 섞이지 않은 물질 또는 물질들을 포함해야 한다. 예를 들면, TEFLON® 코팅된 물질 또는 욕의 표면 위에 부유하는, 즉 욕보다 밀도가 낮은 TEFLON® 물질을 사용하여 욕을 완전히 피복하고 천천히 증발시켜 욕의 수명을 증가시킬 수 있다. TEFLON® 코팅된 물질은 중공, 경량의 형상, 예컨대 구 및 다른 다각형 형상을 포함할 수 있다. 상기 형상은 대칭적이거나 비대칭적일 수 있다. 대안적으로, TEFLON® 코팅된 물질은 욕 위에 쉽게 맞도록 고안된 형태, 예컨대 부유성 뚜껑일 수 있다.
처리 후에, 본 발명의 조성물은 제작 시설에서 폐수 스트림이 화학적 산소 요구량(COD; chemical oxygen demand)을 낮추도록 추가 처리될 수 있다. 예를 들면, 혼합된 수성 유기 제제는 (1) 조성물에서 유기 용매를 "스크러빙"하고, 마이크로기공이 1 ㎚ 미만의 폭인 탄소, 바람직하게는 폴리비닐리덴 클로라이드(PVDC) 단일체(monolith) 탄소, 및/또는 (2) 산, 예컨대 HCl, H2SO4, HNO3, 아세트산, 아스코르브산, 아미노산, 및 이들의 조합물로 처리될 수 있다. 상기 처리는 순차적일 수 있거나 또는 1단계 혼합 상 접근법일 수 있다. 공장의 폐수 스트림은 COD가 공표된 허용 수준으로 낮아질 때까지 처리(들)에 노출되어야 한다.
하기 실시예는 단지 본 발명의 예시이며 한정하려는 의도가 아니다.
실시예 1
포토레지스트, ARC, TEOS 캡 층, SiCOH ILD, 규소 탄화물 에칭 정지층, 및 구리 배선 물질을 포함하는 웨이퍼는 60℃에서 5분 동안 제제 A, 및 제제 C 내지 제제 H에 정적으로 침지시키고, 물로 세정하고, 이소프로필 알콜로 세정하고, N2로 건조시켰다. 포토레지스트 물질 및 ARC 물질이 웨이퍼로부터 제거된 경우 측정을 위해 웨이퍼에 발광 스캐닝 전자 검경(FESEM)을 실시하였다. 그 결과는 하기 표 1 에 제시되어 있다.
제제 A 및 제제 C 내지 제제 H에 대한 포토레지스트 제거 결과
제제 결과
A 표면으로부터 포토레지스트의 실질적인 탈적층; 관찰된 잔여물 없음
C 표면으로부터 포토레지스트의 실질적인 탈적층; 관찰된 잔여물 없음
D 표면으로부터 포토레지스트의 실질적인 탈적층; 관찰된 잔여물 없음
E 표면으로부터 포토레지스트의 실질적인 탈적층; 관찰된 잔여물 없음
F 표면으로부터 포토레지스트의 실질적인 탈적층; 관찰된 잔여물 없음
G 표면으로부터 포토레지스트의 실질적인 탈적층; 관찰된 잔여물 없음
H 표면으로부터 포토레지스트의 실질적인 탈적층; 관찰된 잔여물 없음
본원에 정의된 "실질적인 탈적층"은 포토레지스트 및 ARC 물질의 95% 이상, 더욱 바람직하게는 포토레지스트 및 ARC 물질의 98% 이상, 가장 바람직하게는 포토레지스트 및 ARC 물질의 99% 이상이 본 발명의 조성물을 사용하여 제거되는 것에 해당한다. 본 발명의 경우, 98∼100%의 포토레지스트 및 ARC는 제제 A 및 제제 C 내지 제제 H를 이용하여 제거하였다.
중요하게는, 웨이퍼가 하나 이상의 추가의 염기성 염(제제 K: 0.87 중량% CsOH; 49.13 중량% EG; 50 중량% 물 및 제제 L: 3.55 중량% TMAH; 42.90 중량% EG; 53.55 중량% 물)을 포함하지 않는 조성물에 정적으로 침지된 경우, 제제 K는 포토레지스트가 실질적으로 탈적층되지 않았고 제제 L은 포토레지스트가 실질적으로 탈적층되었지만, 기본적인 캡 층의 표면 상에 유해한 양의 거대 잔여물이 잔류함이 측정되었다.
실시예 2
블랭킷팅된 TEOS 및 블랙 다이아몬드(이하, BD) 웨이퍼를 5분 동안 60℃에서 제제 A 내지 제제 I 내에 정적으로 침지시켜 제제의 존재하에 물질의 각각의 에칭 속도를 측정하였다. 에칭 속도는 나노스펙(NanoSpec)을 이용하여 측정하였다. 그 결과는 하기 표 2에 표로 제시되어 있다.
제제 A 내지 제제 I에서의 TEOS 및 BD의 에칭 속도
제제 에칭 속도 TEOS/Å 분-1 에칭 속도 BD/Å 분-1
A 0 0
B 0 0
C 0 0
D 0 0
E 0 0
F 0 0
G 2.2 0
H 1.6 0
I 2.0 0
제제 A 내지 제제 I를 사용하여 인접하게 밑에 있는 물질, 즉 TEOS 및 BD를 공격하지 않으면서 포토레지스트 물질을 성공적으로 제거할 수 있음을 제시할 수 있다. 또한, 물이 유의적으로 TEOS 에칭 속도를 증가시키는 반면, 프로필렌 글리콜은 유의적으로 TEOS 에칭 속도를 감소시킨다고 결론지을 수 있다. 프로필렌 글리콜의 이용은 비유해한 공기 오염물질(비-HAP)이라는 이점을 추가하였다.
놀랍게도, CsOH가 KOH로 치환된, 제제 A 및 제제 B와 유사하게 제제화된 조성물을 이용하여 수행된 유사한 실험은, 하기 표 3에 요약된 바와 같이, CsOH 대신의 KOH의 사용은 비교적 높은 수준의 TEOS 및 BD 에칭(60℃, 5분)을 초래한다는 것이 밝혀졌다.
제제 에칭 속도 TEOS/Å 분-1 에칭 속도 BD/ Å 분-1
2.00 중량% TMAH; 0.75 중량% KOH; 70.50 중량% 에틸렌 글리콜; 26.75 중량% 물 5.2 7.6
2.00 중량% TMAH; 0.75 중량% KOH; 70.50 중량% 프로필렌 글리콜; 26.75 중량% 물 14 (명백한 에칭) 4.4
이론에 구속되고자 하지 않더라도, 더 많은 Cs+ 양이온은, TEOS 및 BD에 명백하게 유해한 효과를 미치는 훨씬 더 적은 K+ 양이온과 비교하여, 실질적으로 밑에 있는 물질, 즉 TEOS 및 BD의 에칭을 제거하는 것으로 생각된다.
본 발명이 본 발명의 특정 양태, 특징 및 예시적 실시양태를 참고로 하여 본원에 기재되었지만, 본 발명의 분야의 숙련된 당업자가 그 자체로 제시하고 있는 바대로, 본 발명의 유용성은 따라서 제한하지 않고, 오히려 다수의 다른 변경례, 변형례 및 대안적인 실시양태로 확장되고 이들을 포함한다는 것을 이해할 수 있을 것이다. 상응하게, 하기 청구된 본 발명은, 이의 사상 및 범위 내에서 모든 그러한 변경례, 변형례 및 대안적인 실시양태를 포함하는 것으로서 광범위하게 간주되고 생각되는 것으로 의도된다.

Claims (38)

  1. 하나 이상의 알칼리 금속 및/또는 알칼리 토금속 염기성 염, 하나 이상의 유기 용매, 물, 임의로 하나 이상의 4차 암모늄 염기성 염, 임의로 하나 이상의 금속 부식 억제제, 및 임의로 하나 이상의 수용성 중합체 계면활성제를 포함하는 준수계(semi-aqueous) 조성물로서, 포토레지스트, 반사방지 코팅(ARC; anti-reflective coating), 중합체 함유 빌드업(buildup), 및 이들의 조합물로 구성된 군으로부터 선택된 물질을, 위에 상기 물질을 갖는 마이크로전자 장치 웨이퍼로부터 제거하기에 적합한 조성물.
  2. 제1항에 있어서, 하나 이상의 알칼리 금속 및/또는 알칼리 토금속 염기성 염은 알칼리 금속 수산화물, 알칼리 토금속 수산화물, 및 이들의 조합물로 구성된 군으로부터 선택된 종을 포함하는 것인 조성물.
  3. 제1항에 있어서, 하나 이상의 알칼리 금속 및/또는 알칼리 토금속 염기성 염은 수산화세슘, 수산화루비듐, 수산화칼륨, 수산화나트륨, 수산화칼슘, 수산화마그네슘, 및 이들의 조합물로 구성된 군으로부터 선택된 수산화물을 포함하는 것인 조성물.
  4. 제1항에 있어서, 하나 이상의 알칼리 금속 및/또는 알칼리 토금속 염기성 염 은 수산화세슘을 포함하는 것인 조성물.
  5. 제1항에 있어서, 준수계 조성물은 하나 이상의 4차 암모늄 염기성 염을 포함하는 것인 조성물.
  6. 제5항에 있어서, 상기 4차 암모늄 염기성 염은 화학식 NR1R2R3R4OH를 갖는 화합물을 포함하고, 여기서 R1, R2, R3 및 R4는 서로 동일하거나 또는 상이할 수 있고 수소, 선형 C1-C6 알킬, 분지형 C1-C6 알킬, 치환된 C6-C10 아릴, 및 비치환된 C6-C10 아릴로 구성된 군으로부터 선택되는 것인 조성물.
  7. 제5항에 있어서, 하나 이상의 4차 암모늄 염기성 염은 테트라메틸암모늄 하이드록사이드, 테트라부틸암모늄 하이드록사이드, 테트라에틸암모늄 하이드록사이드, 벤질트리에틸암모늄 하이드록사이드, 벤질트리메틸암모늄 하이드록사이드, 트리부틸메틸암모늄 하이드록사이드, 암모늄 하이드록사이드, 및 이들의 조합물로 구성된 군으로부터 선택된 수산화물을 포함하는 것인 조성물.
  8. 제5항에 있어서, 하나 이상의 추가 염기성 염은 테트라메틸암모늄 하이드록사이드(TMAH)를 포함하는 것인 조성물.
  9. 제1항에 있어서, 하나 이상의 유기 용매는 알코올, 디올, 트리올, 피롤리디논, 글리콜, 카르보네이트, 글리콜 에테르, 및 이들의 조합물로 구성된 군으로부터 선택된 종을 포함하는 것인 조성물.
  10. 제1항에 있어서, 하나 이상의 유기 용매는 메탄올, 에탄올, 이소프로판올, 및 (디올, 트리올 등을 포함하는) 고급 알코올, 테트라하이드로푸란(THF), N-메틸피롤리디논(NMP), 사이클로헥실피롤리디논, N-옥틸피롤리디논, N-페닐피롤리디논, 메틸 포르메이트, 디메틸 포름아미드(DMF), 디메틸설폭사이드(DMSO), 테트라메틸렌 설폰(설폴란), 디에틸 에테르, 페녹시-2-프로판올(PPh), 3-클로로-1,2,-프로판디올, 프로프리오페네온, 에틸 락테이트, 에틸 아세테이트, 에틸 벤조에이트, 아세토니트릴, 아세톤, 에틸렌 글리콜, 프로필렌 글리콜, 디옥산, 부티릴 락톤, 부틸렌 카르보네이트, 에틸렌 카르보네이트, 프로필렌 카르보네이트, 디프로필렌 글리콜, 디에틸렌 글리콜 모노메틸 에테르, 트리에틸렌 글리콜 모노메틸 에테르, 디에틸렌 글리콜 모노에틸 에테르, 트리에틸렌 글리콜 모노에틸 에테르, 에틸렌 글리콜 모노프로필 에테르, 에틸렌 글리콜 모노부틸 에테르, 디에틸렌 글리콜 모노부틸 에테르(즉, 부틸 카르비톨), 트리에틸렌 글리콜 모노부틸 에테르, 에틸렌 글리콜 모노헥실 에테르, 디에틸렌 글리콜 모노헥실 에테르, 에틸렌 글리콜 페닐 에테르, 프로필렌 글리콜 메틸 에테르, 디프로필렌 글리콜 메틸 에테르, 트리프로필렌 글리콜 메틸 에테르, 디프로필렌 글리콜 디메틸 에테르, 디프로필렌 글리콜 에틸 에테르, 프로필렌 글리콜 n-프로필 에테르, 디프로필렌 글리콜 n-프로필 에테르(DPGPE), 트 리프로필렌 글리콜 n-프로필 에테르, 프로필렌 글리콜 n-부틸 에테르, 디프로필렌 글리콜 n-부틸 에테르, 트리프로필렌 글리콜 n-부틸 에테르, 프로필렌 글리콜 페닐 에테르, 및 이들의 조합물로 구성된 군으로부터 선택된 종을 포함하는 것인 조성물.
  11. 제1항에 있어서, 하나 이상의 유기 용매는 프로필렌 글리콜, 에틸렌 글리콜 및 이들의 조합물로 구성된 군으로부터 선택된 종을 포함하는 것인 조성물.
  12. 제1항에 있어서, 마이크로전자 장치는 반도체 기판, 평판 디스플레이, 태양 전지 및 광전지, 및 마이크로전자기계 시스템(MEMS)으로 구성된 군으로부터 선택된 물품을 포함하는 것인 조성물.
  13. 제1항에 있어서, 알칼리 금속 및/또는 알칼리 토금속 염기성 염(들)에 대한 유기 용매(들)의 중량% 비율은 약 20 내지 약 200 범위인 것인 조성물.
  14. 제5항에 있어서, 알칼리 금속 및/또는 알칼리 토금속 염기성 염(들)에 대한 4차 암모늄 염기성 염(들)의 중량% 비율은 약 0.1 내지 약 10 범위인 것인 조성물.
  15. 제1항에 있어서, 조성물의 pH는 약 10 내지 약 14 범위인 것인 조성물.
  16. 제1항에 있어서, 상기 준수계 조성물은 포토레지스트, 반사방지 코팅(ARC), 중합체 함유 빌드업, 및 이들의 조합물로 구성된 군으로부터 선택된 잔여 물질을 추가로 포함하는 것인 조성물.
  17. 제1항에 있어서, 조성물은 TMAH, CsOH, 프로필렌 글리콜 및 물을 포함하는 것인 조성물.
  18. 제1항에 있어서, 상기 조성물은 연마 패드 및/또는 마모제, 히드라진, 및 불소 이온을 실질적으로 포함하지 않는 것인 조성물.
  19. 제1항에 있어서, 상기 조성물은 상기 준수계 조성물의 존재하의 규소 또는 규소 함유 물질의 에칭 속도가 500 ㎚ 분-1 미만이도록 제제화되는 것인 조성물.
  20. 제1항에 있어서, 하나 이상의 금속 부식 억제제를 포함하는 조성물.
  21. 하나 이상의 용기 내에, 준수계 조성물을 형성하기 위한 다음의 시약들: 하나 이상의 알칼리 금속 및/또는 알칼리 토금속 염기성 염, 하나 이상의 유기 용매, 물, 임의로 하나 이상의 4차 암모늄 염기성 염, 임의로 하나 이상의 금속 부식 억제제, 및 임의로 하나 이상의 수용성 중합체 계면활성제로 구성된 군으로부터 선택 된 하나 이상의 시약을 포함하는 키트로서, 포토레지스트, 반사방지 코팅(ARC), 중합체 함유 빌드업, 및 이들의 조합물로 구성된 군으로부터 선택된 물질을, 위에 상기 물질을 갖는 마이크로전자 장치 웨이퍼로부터 제거하기에 적합한 준수계 조성물을 형성하도록 사용되는 키트.
  22. 제21항에 있어서, 하나 이상의 시약은 블렌딩 및 분배를 위한 유체 전달을 수행하는 것인 키트.
  23. 마이크로전자 장치 웨이퍼의 재작업 방법으로서, 상기 방법은 마이크로전자 장치 웨이퍼를, 포토레지스트, 반사방지 코팅(ARC), 중합체 함유 빌드업, 및 이들의 조합물로 구성된 군으로부터 선택된 물질을, 위에 상기 물질을 갖는 마이크로전자 장치 웨이퍼로부터 적어도 부분적으로 제거하기에 충분한 시간 동안 그리고 충분한 조건하에 준수계 조성물과 접촉시키는 단계를 포함하고, 여기서 준수계 조성물은 하나 이상의 알칼리 금속 및/또는 알칼리 토금속 염기성 염, 하나 이상의 유기 용매, 물, 임의로 하나 이상의 4차 암모늄 염기성 염, 임의로 하나 이상의 금속 부식 억제제, 및 임의로 하나 이상의 수용성 중합체 계면활성제를 포함하는 것인 방법.
  24. 제23항에 있어서, 상기 접촉 단계는 약 1 분 내지 약 60 분 범위의 시간, 약 30℃ 내지 약 80℃ 범위의 온도, 및 이들의 조합으로 구성된 군으로부터 선택된 조 건하에 수행하는 것인 방법.
  25. 제23항에 있어서, 하나 이상의 알칼리 금속 및/또는 알칼리 토금속 염기성 염은 알칼리 금속 수산화물, 알칼리 토금속 수산화물, 및 이들의 조합물로 구성된 군으로부터 선택된 종을 포함하고, 하나 이상의 유기 용매는 알코올, 디올, 트리올, 피롤리디논, 글리콜, 카르보네이트, 글리콜 에테르, 및 이들의 조합물로 구성된 군으로부터 선택된 종을 포함하는 것인 방법.
  26. 제23항에 있어서, 준수계 조성물은 하나 이상의 4차 암모늄 염기성 염을 포함하는 것인 방법.
  27. 제26항에 있어서, 하나 이상의 4차 암모늄 염기성 염은 화학식 NR1R2R3R4OH를 갖는 화합물로 구성된 군으로부터 선택된 종을 포함하고, 여기서 R1, R2, R3 및 R4는 서로 동일하거나 또는 상이할 수 있고 수소, 선형 C1-C6 알킬, 분지형 C1-C6 알킬, 치환된 C6-C10 아릴, 및 비치환된 C6-C10 아릴로 구성된 군으로부터 선택되는 것인 방법.
  28. 제23항에 있어서, 마이크로전자 장치는 반도체 기판, 평판 디스플레이, 태양 전지 및 광전지, 및 마이크로전자기계 시스템(MEMS)으로 구성된 군으로부터 선택된 물품을 포함하는 것인 방법.
  29. 제23항에 있어서, 조성물의 pH는 약 10 내지 약 14 범위인 것인 방법.
  30. 제23항에 있어서, 상기 조성물은 상기 준수계 조성물의 존재하의 규소 또는 규소 함유 물질의 에칭 속도가 500 ㎚ 분-1 미만이도록 제제화되는 것인 방법.
  31. 제23항에 있어서, 캡 층 물질의 에칭 속도는 약 2.5 Å 분-1 미만인 것인 방법.
  32. 제23항에 있어서, 준수계 조성물은 포토레지스트, 반사방지 코팅(ARC), 중합체 함유 빌드업, 및 이들의 조합물로 구성된 군으로부터 선택된 물질을 추가로 포함하는 것인 방법.
  33. 제23항에 있어서, 접촉 단계는 준수계 조성물을 마이크로전자 장치의 표면 위에 분무하는 공정, 마이크로전자 장치를 충분한 부피의 준수계 조성물 중에 침지시키는 공정, 마이크로전자 장치의 표면을 준수계 조성물로 포화된 또 다른 물질과 접촉시키는 공정, 및 마이크로전자 장치를 순환하는 준수계 조성물과 접촉시키는 공정으로 구성된 군으로부터 선택된 공정을 포함하는 것인 방법.
  34. 제23항에 있어서, 마이크로전자 장치를 제거 조성물과의 접촉 이후에 세정 조성물로 세정하는 단계를 추가로 포함하는 방법.
  35. 제23항에 있어서, 세정 조성물은 탈이온수를 포함하는 것인 방법.
  36. 하나 이상의 알칼리 금속 및/또는 알칼리 토금속 염기성 염, 하나 이상의 유기 용매, 물, 임의로 하나 이상의 4차 암모늄 염기성 염, 임의로 하나 이상의 금속 부식 억제제, 임의로 하나 이상의 수용성 중합체 계면활성제, 및 포토레지스트, 반사방지 코팅(ARC), 중합체 함유 빌드업, 및 이들의 조합물로 구성된 군으로부터 선택된 잔여 물질을 포함하는 준수계 조성물로서, 포토레지스트, 반사방지 코팅(ARC), 중합체 함유 빌드업, 및 이들의 조합물로 구성된 군으로부터 선택된 물질을, 위에 상기 물질을 갖는 마이크로전자 장치 웨이퍼로부터 제거하기에 적합한 조성물.
  37. 위에 준수계 제거 조성물, 마이크로전자 장치, 및 포토레지스트, ARC 물질 및/또는 중합체 함유 빌드업을 포함하는 제조 물품으로서, 준수계 제거 조성물은 하나 이상의 알칼리 금속 및/또는 알칼리 토금속 염기성 염, 하나 이상의 유기 용매, 물, 임의로 하나 이상의 4차 암모늄 염기성 염, 임의로 하나 이상의 금속 부식 억제제, 및 임의로 하나 이상의 수용성 중합체 계면활성제를 포함하는 것인 제조 물품.
  38. 반도체 도구 부품의 세정 방법으로서, 상기 방법은 상기 도구 부품을, 상기 도구 부품을 적어도 부분적으로 세정하기에 충분한 시간 동안 조성물과 접촉시키는 단계를 포함하고, 여기서 조성물은 하나 이상의 알칼리 금속 및/또는 알칼리 토금속 염기성 염, 하나 이상의 유기 용매, 물, 임의로 하나 이상의 4차 암모늄 염기성 염, 임의로 하나 이상의 금속 부식 억제제, 및 임의로 하나 이상의 수용성 중합체 계면활성제를 포함하는 것인 방법.
KR1020097008641A 2006-09-25 2007-09-25 웨이퍼 재작업 적용을 위한 포토레지스트의 제거를 위한 조성물 및 방법 KR20090076938A (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US82684006P 2006-09-25 2006-09-25
US60/826,840 2006-09-25
US94371407P 2007-06-13 2007-06-13
US60/943,714 2007-06-13

Publications (1)

Publication Number Publication Date
KR20090076938A true KR20090076938A (ko) 2009-07-13

Family

ID=39230521

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020097008641A KR20090076938A (ko) 2006-09-25 2007-09-25 웨이퍼 재작업 적용을 위한 포토레지스트의 제거를 위한 조성물 및 방법

Country Status (6)

Country Link
US (2) US20100056410A1 (ko)
EP (1) EP2082024A4 (ko)
KR (1) KR20090076938A (ko)
SG (1) SG175559A1 (ko)
TW (1) TW200829696A (ko)
WO (1) WO2008039730A1 (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2011052988A2 (ko) * 2009-10-30 2011-05-05 동우 화인켐 주식회사 평판표시장치의 유리기판용 세정제 조성물
KR20160038166A (ko) * 2014-09-29 2016-04-07 한경대학교 산학협력단 전자부품 재생용 블랙잉크 제거 조성물
KR20190010571A (ko) * 2016-05-23 2019-01-30 후지필름 일렉트로닉 머티리얼스 유.에스.에이., 아이엔씨. 반도체 기판으로부터 포토레지스트를 제거하기 위한 박리 조성물

Families Citing this family (60)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI622639B (zh) * 2005-06-07 2018-05-01 恩特葛瑞斯股份有限公司 金屬及介電相容犠牲抗反射塗層清洗及移除組成物
TWI513799B (zh) 2005-11-09 2015-12-21 Entegris Inc 用於回收具有低k介電材料之半導體晶圓的組成物及方法
WO2008144501A2 (en) * 2007-05-17 2008-11-27 Advanced Technology Materials Inc. New antioxidants for post-cmp cleaning formulations
US8685909B2 (en) 2006-09-21 2014-04-01 Advanced Technology Materials, Inc. Antioxidants for post-CMP cleaning formulations
TW200916571A (en) * 2007-08-02 2009-04-16 Advanced Tech Materials Non-fluoride containing composition for the removal of residue from a microelectronic device
WO2010048139A2 (en) * 2008-10-21 2010-04-29 Advanced Technology Materials, Inc. Copper cleaning and protection formulations
MX2011008789A (es) 2009-02-25 2011-09-29 Avantor Performance Mat Inc Composiciones removedoras para limpiar polimero fotosensible implantado ionicamente de obleas de dispositivos semiconductores.
US8754021B2 (en) * 2009-02-27 2014-06-17 Advanced Technology Materials, Inc. Non-amine post-CMP composition and method of use
KR20110018775A (ko) * 2009-08-18 2011-02-24 삼성전자주식회사 컬러 필터 박리용 조성물 및 이를 이용한 컬러 필터 재생 방법
JP5498768B2 (ja) * 2009-12-02 2014-05-21 東京応化工業株式会社 リソグラフィー用洗浄液及び配線形成方法
US8252673B2 (en) * 2009-12-21 2012-08-28 International Business Machines Corporation Spin-on formulation and method for stripping an ion implanted photoresist
WO2011094568A2 (en) 2010-01-29 2011-08-04 Advanced Technology Materials, Inc. Cleaning agent for semiconductor provided with metal wiring
JP5404459B2 (ja) * 2010-02-08 2014-01-29 東京応化工業株式会社 リソグラフィー用洗浄液及び配線形成方法
KR101829399B1 (ko) * 2010-03-04 2018-03-30 삼성전자주식회사 감광성 수지 제거제 조성물 및 이를 이용하는 반도체 제조 공정
US8058221B2 (en) * 2010-04-06 2011-11-15 Samsung Electronics Co., Ltd. Composition for removing a photoresist and method of manufacturing semiconductor device using the composition
JP6101421B2 (ja) 2010-08-16 2017-03-22 インテグリス・インコーポレーテッド 銅または銅合金用エッチング液
CN103154321B (zh) 2010-10-06 2015-11-25 安格斯公司 选择性蚀刻金属氮化物的组合物及方法
WO2012051380A2 (en) 2010-10-13 2012-04-19 Advanced Technology Materials, Inc. Composition for and method of suppressing titanium nitride corrosion
US9909063B2 (en) * 2010-11-03 2018-03-06 3M Innovative Properties Company Polymer etchant and method of using same
KR101269907B1 (ko) 2010-11-30 2013-05-31 주식회사 우진월드 전자기기 모니터용 피이티 필름의 재활용을 위한 박리제 조성물
DE102011000322A1 (de) 2011-01-25 2012-07-26 saperatec GmbH Trennmedium, Verfahren und Anlage zum Trennen von Mehrschichtsystemen
GB201112140D0 (en) * 2011-07-14 2011-08-31 Dna Electronics Nucleic acid amplification
JP5933950B2 (ja) 2011-09-30 2016-06-15 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 銅または銅合金用エッチング液
WO2013052809A1 (en) 2011-10-05 2013-04-11 Avantor Performance Materials, Inc. Microelectronic substrate cleaning compositions having copper/azole polymer inhibition
EP2768920A4 (en) * 2011-10-21 2015-06-03 Advanced Tech Materials AMIN FREE POST-KMP COMPOSITION AND METHOD OF USE THEREOF
US8987181B2 (en) * 2011-11-08 2015-03-24 Dynaloy, Llc Photoresist and post etch residue cleaning solution
SG11201404930SA (en) 2012-02-15 2014-09-26 Advanced Tech Materials Post-cmp removal using compositions and method of use
KR101997950B1 (ko) * 2012-02-17 2019-07-08 미쯔비시 케미컬 주식회사 반도체 디바이스용 세정액 및 반도체 디바이스용 기판의 세정 방법
US9765289B2 (en) * 2012-04-18 2017-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Cleaning methods and compositions
SG11201407650VA (en) 2012-05-18 2014-12-30 Entegris Inc Composition and process for stripping photoresist from a surface including titanium nitride
KR101562053B1 (ko) * 2012-06-13 2015-10-20 미쯔비시 가스 케미칼 컴파니, 인코포레이티드 세정용 액체 조성물, 반도체소자의 세정방법, 및 반도체소자의 제조방법
TWI593783B (zh) * 2012-07-24 2017-08-01 Ltc股份有限公司 用於移除與防止於金屬線路表面形成氧化物之組合物
US20140100151A1 (en) * 2012-10-08 2014-04-10 Air Products And Chemicals Inc. Stripping and Cleaning Compositions for Removal of Thick Film Resist
KR102118964B1 (ko) * 2012-12-05 2020-06-08 엔테그리스, 아이엔씨. Iii-v 반도체 물질을 세척하기 위한 조성물 및 이를 사용하는 방법
JP2014133855A (ja) * 2012-12-11 2014-07-24 Fujifilm Corp シロキサン樹脂の除去剤、それを用いたシロキサン樹脂の除去方法並びに半導体基板製品及び半導体素子の製造方法
US10472567B2 (en) 2013-03-04 2019-11-12 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
US20160118264A1 (en) * 2013-05-02 2016-04-28 Fujifilm Corporation Etching method, etching solution used in same, etching solution kit, and method for manufacturing semiconductor substrate product
JP6165665B2 (ja) * 2013-05-30 2017-07-19 信越化学工業株式会社 基板の洗浄方法
JP6723152B2 (ja) 2013-06-06 2020-07-15 インテグリス・インコーポレーテッド 窒化チタンを選択的にエッチングするための組成物及び方法
EP3027709A4 (en) 2013-07-31 2017-03-29 Entegris, Inc. AQUEOUS FORMULATIONS FOR REMOVING METAL HARD MASK AND POST-ETCH RESIDUE WITH Cu/W COMPATIBILITY
CN105492576B (zh) 2013-08-30 2019-01-04 恩特格里斯公司 选择性蚀刻氮化钛的组合物和方法
US10340150B2 (en) 2013-12-16 2019-07-02 Entegris, Inc. Ni:NiGe:Ge selective etch formulations and method of using same
SG11201605003WA (en) 2013-12-20 2016-07-28 Entegris Inc Use of non-oxidizing strong acids for the removal of ion-implanted resist
KR102290209B1 (ko) 2013-12-31 2021-08-20 엔테그리스, 아이엔씨. 규소 및 게르마늄을 선택적으로 에칭하기 위한 배합물
WO2015116818A1 (en) * 2014-01-29 2015-08-06 Advanced Technology Materials, Inc. Post chemical mechanical polishing formulations and method of use
WO2015119925A1 (en) 2014-02-05 2015-08-13 Advanced Technology Materials, Inc. Non-amine post-cmp compositions and method of use
TWI636131B (zh) * 2014-05-20 2018-09-21 日商Jsr股份有限公司 清洗用組成物及清洗方法
JP6555273B2 (ja) * 2014-11-13 2019-08-07 三菱瓦斯化学株式会社 タングステンを含む材料のダメージを抑制した半導体素子の洗浄液、およびこれを用いた半導体素子の洗浄方法
TWI690780B (zh) * 2014-12-30 2020-04-11 美商富士軟片電子材料美國股份有限公司 用於自半導體基板去除光阻之剝離組成物
WO2017023348A1 (en) * 2015-08-06 2017-02-09 Kyzen Corporation Water tolerant solutions and process to remove polymeric soils and clean micro electronic substrates
US10319605B2 (en) 2016-05-10 2019-06-11 Jsr Corporation Semiconductor treatment composition and treatment method
CN109790028A (zh) 2016-10-06 2019-05-21 富士胶片电子材料美国有限公司 用于移除半导体基材上残余物的清洁制剂
IL269487B (en) * 2017-03-24 2022-09-01 Fujifilm Electronic Mat Usa Inc Detergents for removing residues from substrates that are semi-conductive
US10934485B2 (en) * 2017-08-25 2021-03-02 Versum Materials Us, Llc Etching solution for selectively removing silicon over silicon-germanium alloy from a silicon-germanium/ silicon stack during manufacture of a semiconductor device
CN111033697B (zh) * 2017-08-31 2023-10-10 富士胶片株式会社 处理液、试剂盒、基板的清洗方法
KR20210021576A (ko) 2018-07-27 2021-02-26 카오카부시키가이샤 수지 마스크 박리용 세정제 조성물
KR20200053096A (ko) * 2018-11-08 2020-05-18 삼성전자주식회사 반도체 칩의 세정 방법 및 이를 수행하기 위한 장치
JP2022530147A (ja) 2019-04-24 2022-06-27 フジフイルム エレクトロニック マテリアルズ ユー.エス.エー., インコーポレイテッド 半導体基板からフォトレジストを除去するための剥離組成物
KR20210093496A (ko) * 2020-01-20 2021-07-28 주식회사 엘지화학 포토레지스트 제거용 스트리퍼 조성물 및 이를 이용한 포토레지스트의 박리방법
CN117460996A (zh) * 2021-04-30 2024-01-26 弗萨姆材料美国有限责任公司 用于从衬底上去除光致抗蚀剂的组合物及其用途

Family Cites Families (96)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3559281A (en) * 1968-11-27 1971-02-02 Motorola Inc Method of reclaiming processed semiconductior wafers
US3923567A (en) * 1974-08-09 1975-12-02 Silicon Materials Inc Method of reclaiming a semiconductor wafer
US4426253A (en) * 1981-12-03 1984-01-17 E. I. Du Pont De Nemours & Co. High speed etching of polyimide film
JPH0212154A (ja) * 1988-04-13 1990-01-17 Siemens Ag 金属伝導層上のフオトレジスト層の除去方法
JP2906590B2 (ja) * 1990-06-14 1999-06-21 三菱瓦斯化学株式会社 アルミニウム配線半導体基板の表面処理剤
US5981454A (en) * 1993-06-21 1999-11-09 Ekc Technology, Inc. Post clean treatment composition comprising an organic acid and hydroxylamine
US5988186A (en) * 1991-01-25 1999-11-23 Ashland, Inc. Aqueous stripping and cleaning compositions
US5431777A (en) * 1992-09-17 1995-07-11 International Business Machines Corporation Methods and compositions for the selective etching of silicon
US5308745A (en) * 1992-11-06 1994-05-03 J. T. Baker Inc. Alkaline-containing photoresist stripping compositions producing reduced metal corrosion with cross-linked or hardened resist resins
WO1995004372A1 (en) * 1993-07-30 1995-02-09 Semitool, Inc. Methods for processing semiconductors to reduce surface particles
US5466389A (en) * 1994-04-20 1995-11-14 J. T. Baker Inc. PH adjusted nonionic surfactant-containing alkaline cleaner composition for cleaning microelectronics substrates
US5622875A (en) * 1994-05-06 1997-04-22 Kobe Precision, Inc. Method for reclaiming substrate from semiconductor wafers
US5498293A (en) * 1994-06-23 1996-03-12 Mallinckrodt Baker, Inc. Cleaning wafer substrates of metal contamination while maintaining wafer smoothness
US5567574A (en) * 1995-01-10 1996-10-22 Mitsubishi Gas Chemical Company, Inc. Removing agent composition for photoresist and method of removing
US5597420A (en) * 1995-01-17 1997-01-28 Ashland Inc. Stripping composition having monoethanolamine
US5563119A (en) * 1995-01-26 1996-10-08 Ashland Inc. Stripping compositions containing alkanolamine compounds
US5804090A (en) * 1995-03-20 1998-09-08 Nissan Motor Co., Ltd. Process for etching semiconductors using a hydrazine and metal hydroxide-containing etching solution
US5571447A (en) * 1995-03-20 1996-11-05 Ashland Inc. Stripping and cleaning composition
WO1997005228A1 (fr) * 1995-07-27 1997-02-13 Mitsubishi Chemical Corporation Procede de traitement de la surface d'un substrat et composition de traitement de surface prevue a cet effet
US5855735A (en) * 1995-10-03 1999-01-05 Kobe Precision, Inc. Process for recovering substrates
US6023061A (en) * 1995-12-04 2000-02-08 Microcam Corporation Miniature infrared camera
US6410494B2 (en) * 1996-06-05 2002-06-25 Wako Pure Chemical Industries, Ltd. Cleaning agent
TW416987B (en) * 1996-06-05 2001-01-01 Wako Pure Chem Ind Ltd A composition for cleaning the semiconductor substrate surface
US20040134873A1 (en) * 1996-07-25 2004-07-15 Li Yao Abrasive-free chemical mechanical polishing composition and polishing process containing same
US6030932A (en) * 1996-09-06 2000-02-29 Olin Microelectronic Chemicals Cleaning composition and method for removing residues
US5855811A (en) * 1996-10-03 1999-01-05 Micron Technology, Inc. Cleaning composition containing tetraalkylammonium salt and use thereof in semiconductor fabrication
US5989353A (en) * 1996-10-11 1999-11-23 Mallinckrodt Baker, Inc. Cleaning wafer substrates of metal contamination while maintaining wafer smoothness
US5962384A (en) * 1997-10-28 1999-10-05 International Business Machines Corporation Method for cleaning semiconductor devices
US5997658A (en) * 1998-01-09 1999-12-07 Ashland Inc. Aqueous stripping and cleaning compositions
DE19811878C2 (de) * 1998-03-18 2002-09-19 Siemens Solar Gmbh Verfahren und Ätzlösung zum naßchemischen pyramidalen Texturätzen von Siliziumoberflächen
US5962197A (en) * 1998-03-27 1999-10-05 Analyze Inc. Alkaline organic photoresist stripper
JP3500063B2 (ja) * 1998-04-23 2004-02-23 信越半導体株式会社 剥離ウエーハを再利用する方法および再利用に供されるシリコンウエーハ
ES2328309T3 (es) * 1998-05-18 2009-11-11 Mallinckrodt Baker, Inc. Composiciones alcalinas que contienen silicato para limpiar sustratos microelectronicos.
US20010039251A1 (en) * 1998-06-12 2001-11-08 Krishna G. Sachdev Removal of screening paste residue with quaternary ammonium hydroxide-based aqueous cleaning compositions
US6140211A (en) * 1998-07-24 2000-10-31 Lucent Technologies Inc. Method for recycling wafers used for quality assurance testing of integrated circuit fabrication equipment
US6242165B1 (en) * 1998-08-28 2001-06-05 Micron Technology, Inc. Supercritical compositions for removal of organic material and methods of using same
TW574634B (en) * 1998-11-13 2004-02-01 Kao Corp Stripping composition for resist
US6235693B1 (en) * 1999-07-16 2001-05-22 Ekc Technology, Inc. Lactam compositions for cleaning organic and plasma etched residues for semiconductor devices
US6395693B1 (en) * 1999-09-27 2002-05-28 Cabot Microelectronics Corporation Cleaning solution for semiconductor surfaces following chemical-mechanical polishing
KR100434485B1 (ko) * 1999-10-08 2004-06-05 삼성전자주식회사 포토레지스트 스트립퍼 조성물 및 이를 이용한 포토레지스트 스트립 방법
US6413923B2 (en) * 1999-11-15 2002-07-02 Arch Specialty Chemicals, Inc. Non-corrosive cleaning composition for removing plasma etching residues
US6194366B1 (en) * 1999-11-16 2001-02-27 Esc, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
US6492308B1 (en) * 1999-11-16 2002-12-10 Esc, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
US6723691B2 (en) * 1999-11-16 2004-04-20 Advanced Technology Materials, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
US20030104225A1 (en) * 2000-02-01 2003-06-05 Jsr Corporation Process for producing silica-based film, silica-based film, insulating film, and semiconductor device
CN1872976A (zh) * 2000-03-21 2006-12-06 和光纯药工业株式会社 半导体基板洗涤剂和洗涤方法
EP1272580A2 (en) * 2000-04-11 2003-01-08 Cabot Microelectronics Corporation System for the preferential removal of silicon oxide
US6492075B1 (en) * 2000-06-16 2002-12-10 Advanced Micro Devices, Inc. Chemical trim process
US6514434B1 (en) * 2000-06-16 2003-02-04 Corning Incorporated Electro-optic chromophore bridge compounds and donor-bridge compounds for polymeric thin film waveguides
WO2002001300A1 (fr) * 2000-06-28 2002-01-03 Nec Corporation Composition d'agent de demontage
US7456140B2 (en) * 2000-07-10 2008-11-25 Ekc Technology, Inc. Compositions for cleaning organic and plasma etched residues for semiconductor devices
US6406923B1 (en) * 2000-07-31 2002-06-18 Kobe Precision Inc. Process for reclaiming wafer substrates
US6762132B1 (en) * 2000-08-31 2004-07-13 Micron Technology, Inc. Compositions for dissolution of low-K dielectric films, and methods of use
DE10046933C2 (de) * 2000-09-21 2002-08-29 Wacker Siltronic Halbleitermat Verfahren zur Politur von Siliciumscheiben
JP3738996B2 (ja) * 2002-10-10 2006-01-25 東京応化工業株式会社 ホトリソグラフィー用洗浄液および基板の処理方法
US6599370B2 (en) * 2000-10-16 2003-07-29 Mallinckrodt Inc. Stabilized alkaline compositions for cleaning microelectronic substrates
US6612911B2 (en) * 2001-01-16 2003-09-02 Cabot Microelectronics Corporation Alkali metal-containing polishing system and method
US6617674B2 (en) * 2001-02-20 2003-09-09 Dow Corning Corporation Semiconductor package and method of preparing same
US6547647B2 (en) * 2001-04-03 2003-04-15 Macronix International Co., Ltd. Method of wafer reclaim
US6627587B2 (en) * 2001-04-19 2003-09-30 Esc Inc. Cleaning compositions
MY131912A (en) * 2001-07-09 2007-09-28 Avantor Performance Mat Inc Ammonia-free alkaline microelectronic cleaning compositions with improved substrate compatibility
KR100646793B1 (ko) * 2001-11-13 2006-11-17 삼성전자주식회사 씬너 조성물
US6599683B1 (en) * 2002-02-13 2003-07-29 Micron Technology, Inc. Photoresist developer with reduced resist toppling and method of using same
JP2003243403A (ja) * 2002-02-13 2003-08-29 Mitsubishi Electric Corp 半導体ウェハの再生方法
JP4443864B2 (ja) * 2002-07-12 2010-03-31 株式会社ルネサステクノロジ レジストまたはエッチング残さ物除去用洗浄液および半導体装置の製造方法
EP1552344A4 (en) * 2002-09-19 2009-04-01 Fujifilm Electronic Materials METHOD FOR REMOVING AN IMAGING LAYER FROM A SEMICONDUCTOR SUBSTRATE STACK
US7235188B2 (en) * 2002-10-22 2007-06-26 Ekc Technology, Inc. Aqueous phosphoric acid compositions for cleaning semiconductor devices
US20060019850A1 (en) * 2002-10-31 2006-01-26 Korzenski Michael B Removal of particle contamination on a patterned silicon/silicon dioxide using dense fluid/chemical formulations
US6693047B1 (en) * 2002-12-19 2004-02-17 Taiwan Semiconductor Manufacturing Co. Ltd. Method for recycling semiconductor wafers having carbon doped low-k dielectric layers
US8236485B2 (en) * 2002-12-20 2012-08-07 Advanced Technology Materials, Inc. Photoresist removal
US6761625B1 (en) * 2003-05-20 2004-07-13 Intel Corporation Reclaiming virgin test wafers
US7442675B2 (en) * 2003-06-18 2008-10-28 Tokyo Ohka Kogyo Co., Ltd. Cleaning composition and method of cleaning semiconductor substrate
US7119052B2 (en) * 2003-06-24 2006-10-10 Advanced Technology Materials, Inc. Compositions and methods for high-efficiency cleaning/polishing of semiconductor wafers
JP4308821B2 (ja) * 2003-07-28 2009-08-05 ダウ・コーニング・コーポレイション パターン形成されたシリコーン層をエッチングする方法
US20050065050A1 (en) * 2003-09-23 2005-03-24 Starzynski John S. Selective silicon etch chemistries, methods of production and uses thereof
US8475666B2 (en) * 2004-09-15 2013-07-02 Honeywell International Inc. Method for making toughening agent materials
WO2005083523A1 (en) * 2004-02-11 2005-09-09 Mallinckrodt Baker Inc. Microelectronic cleaning composition containing halogen oxygen acids, salts and derivatives thereof
US8338087B2 (en) * 2004-03-03 2012-12-25 Advanced Technology Materials, Inc Composition and process for post-etch removal of photoresist and/or sacrificial anti-reflective material deposited on a substrate
US20060009011A1 (en) * 2004-07-06 2006-01-12 Gary Barrett Method for recycling/reclaiming a monitor wafer
US9217929B2 (en) * 2004-07-22 2015-12-22 Air Products And Chemicals, Inc. Composition for removing photoresist and/or etching residue from a substrate and use thereof
US20060148666A1 (en) * 2004-12-30 2006-07-06 Advanced Technology Materials Inc. Aqueous cleaner with low metal etch rate
KR100670919B1 (ko) * 2005-01-12 2007-01-19 삼성전자주식회사 저유전율막 제거 방법 및 이를 이용한 웨이퍼 재생 방법
US7208325B2 (en) * 2005-01-18 2007-04-24 Applied Materials, Inc. Refreshing wafers having low-k dielectric materials
SG158920A1 (en) * 2005-01-27 2010-02-26 Advanced Tech Materials Compositions for processing of semiconductor substrates
US7923423B2 (en) * 2005-01-27 2011-04-12 Advanced Technology Materials, Inc. Compositions for processing of semiconductor substrates
JP4144887B2 (ja) * 2005-02-08 2008-09-03 インターナショナル・ビジネス・マシーンズ・コーポレーション テープドライブを制御する装置、方法、及びプログラム
TWI339780B (en) * 2005-07-28 2011-04-01 Rohm & Haas Elect Mat Stripper
KR100685738B1 (ko) * 2005-08-08 2007-02-26 삼성전자주식회사 절연 물질 제거용 조성물, 이를 이용한 절연막의 제거 방법및 기판의 재생 방법
KR100706822B1 (ko) * 2005-10-17 2007-04-12 삼성전자주식회사 절연 물질 제거용 조성물, 이를 이용한 절연막의 제거 방법및 기판의 재생 방법
JP4912791B2 (ja) * 2006-08-21 2012-04-11 Jsr株式会社 洗浄用組成物、洗浄方法及び半導体装置の製造方法
US20080076688A1 (en) * 2006-09-21 2008-03-27 Barnes Jeffrey A Copper passivating post-chemical mechanical polishing cleaning composition and method of use
US20080096785A1 (en) * 2006-10-19 2008-04-24 Air Products And Chemicals, Inc. Stripper Containing an Acetal or Ketal for Removing Post-Etched Photo-Resist, Etch Polymer and Residue
JP2009075285A (ja) * 2007-09-20 2009-04-09 Fujifilm Corp 半導体デバイスの剥離液、及び、剥離方法
US20090120457A1 (en) * 2007-11-09 2009-05-14 Surface Chemistry Discoveries, Inc. Compositions and method for removing coatings and preparation of surfaces for use in metal finishing, and manufacturing of electronic and microelectronic devices
US7687447B2 (en) * 2008-03-13 2010-03-30 Air Products And Chemicals, Inc. Semi-aqueous stripping and cleaning composition containing aminobenzenesulfonic acid
JP5813280B2 (ja) * 2008-03-19 2015-11-17 富士フイルム株式会社 半導体デバイス用洗浄液、および洗浄方法

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2011052988A2 (ko) * 2009-10-30 2011-05-05 동우 화인켐 주식회사 평판표시장치의 유리기판용 세정제 조성물
KR20110047693A (ko) * 2009-10-30 2011-05-09 동우 화인켐 주식회사 평판표시장치의 유리기판용 세정제 조성물
WO2011052988A3 (ko) * 2009-10-30 2011-11-03 동우 화인켐 주식회사 평판표시장치의 유리기판용 세정제 조성물
KR20160038166A (ko) * 2014-09-29 2016-04-07 한경대학교 산학협력단 전자부품 재생용 블랙잉크 제거 조성물
KR20190010571A (ko) * 2016-05-23 2019-01-30 후지필름 일렉트로닉 머티리얼스 유.에스.에이., 아이엔씨. 반도체 기판으로부터 포토레지스트를 제거하기 위한 박리 조성물

Also Published As

Publication number Publication date
US20120042898A1 (en) 2012-02-23
EP2082024A1 (en) 2009-07-29
WO2008039730A1 (en) 2008-04-03
TW200829696A (en) 2008-07-16
SG175559A1 (en) 2011-11-28
EP2082024A4 (en) 2010-11-17
US20100056410A1 (en) 2010-03-04

Similar Documents

Publication Publication Date Title
KR20090076938A (ko) 웨이퍼 재작업 적용을 위한 포토레지스트의 제거를 위한 조성물 및 방법
KR101449774B1 (ko) 에칭 후 잔류물의 제거를 위한 액체 세정제
KR101332501B1 (ko) 금속 및 유전체 상용성 희생 반사 방지 코팅 세정 및 제거조성물
KR101226533B1 (ko) 포토레지스트 잔류물 및 폴리머 잔류물 제거 조성물
KR100900380B1 (ko) 반도체 공정상의 잔류물 제거 조성물 및 방법
EP1914296B1 (en) Stripper containing an acetal or a ketal for removing post-etched phot-resist, etch polymer and residue
KR101256230B1 (ko) 기판에 증착된 포토레지스트 및/또는 희생 반사―방지성물질의 포스트―에치 제거를 위한 조성물 및 공정
KR101983202B1 (ko) 구리, 텅스텐, 및 다공성의 유전 상수 κ가 낮은 유전체들에 대한 양립성이 향상된 반수성 중합체 제거 조성물
KR20130088847A (ko) 에칭 후 잔류물을 제거하기 위한 수성 세정제
KR20060115896A (ko) 레지스트, barc 및 갭 필 재료 스트리핑 케미칼 및방법
KR102397091B1 (ko) 레지스트 박리액 조성물 및 이를 이용한 레지스트의 박리방법
TW202223075A (zh) 清潔組合物、使用其的清潔方法及製造半導體裝置的方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application