TW200816310A - Method to improve the step coverage and pattern loading for dielectric films - Google Patents

Method to improve the step coverage and pattern loading for dielectric films Download PDF

Info

Publication number
TW200816310A
TW200816310A TW096111415A TW96111415A TW200816310A TW 200816310 A TW200816310 A TW 200816310A TW 096111415 A TW096111415 A TW 096111415A TW 96111415 A TW96111415 A TW 96111415A TW 200816310 A TW200816310 A TW 200816310A
Authority
TW
Taiwan
Prior art keywords
plasma
layer
dielectric layer
film
oxygen
Prior art date
Application number
TW096111415A
Other languages
Chinese (zh)
Other versions
TWI424498B (en
Inventor
Mihaela Balseanu
Li-Qun Xia
Mei-Yee Shek
Saad Hichem M
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US11/668,911 external-priority patent/US7601651B2/en
Priority claimed from US11/693,005 external-priority patent/US7780865B2/en
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW200816310A publication Critical patent/TW200816310A/en
Application granted granted Critical
Publication of TWI424498B publication Critical patent/TWI424498B/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

Methods of controlling the step coverage and pattern loading of a layer on a substrate are provided. In one aspect, a method includes exposing the substrate to a silicon-containing precursor in the presence of a plasma to deposit a layer, treating the deposited layer with a plasma, and repeating the exposing and treating until a desired thickness of the layer is obtained. The plasma may be generated from an oxygen-containing gas. In another aspect, a method comprises depositing a dielectric layer on a substrate having at least one formed feature across a surface of the substrate and etching the dielectric layer with a plasma from an oxygen or a halogen-containing gas to provide a desired profile of the dielectric layer on the feature. The deposition and etching may be repeated for multiple cycles to provide the desired profile.

Description

200816310 九、發明說明: 【發明所屬之技術領域】 本發明之實施例大致上有關於半導體處理的方法 備。更明確而言,本發明實施例是有關於沉積共形介 層的方法。 【先前技術】 藉由數種氣體的化學反應在一基板上形成介電層 進半導體裝置製程主要步驟中的其中一個步驟。這些 製程包含化學氣相沉積(CVD)與電漿增強式化學氣相 (PECVD),其中電漿增強化學氣相沉積是結合電漿與 CVD技術的沉積製程。CVD以及PECVD介電層可做 導體裝置中的不同膜層。例如,這些介電層可以做為 中導線或内連線之間的層間介電層。或者,該些介電 做為阻障層、蝕刻終止層或間隙壁以及其他膜層。用 為阻障層與間隙壁的介電層通常沉積在已圖案化基板 特徵上,例如沉積在用來形成後續線路的水平内連線 直内連線(介層孔)、閘極堆疊層等特徵上。較佳者, 製程提供一共形膜層(conformal layer)。然而,共形沉 常是難以達成的。 舉例而言,將阻障層沉積在特徵上時很難不產生 缺陷或特徵變形等情形。在沉積的過程中,阻障層材 能形成凸塊(overloaf),也就是在介層孔的肩部沉積過 料卻在介層孔的底部沉積過少材料,而形成看起來像 及設 電膜 是先 沉積 沉積 傳統 為半 裝置 層可 來做 中的 、垂 沉積 積通 表面 料可 多材 是麵 5 200816310 包側邊的凸塊狀造型。此種現象也因為該介層孔底部的輪 廓看起來像腳而被稱為底腳現象(footing)。在極端的例子 裡,介層孔的肩部會合攏而在介層孔的頂部形成一接合密 封表面。當整個晶圓上的膜層厚度不均勻時,可能會使每 個裝置的驅動電流性質造成不良影響。僅僅調整製程參數 並無法顯著地改善階梯覆蓋與圖案負載的問題。 在閘極堆疊層上沉積將於後續用來形成間隙壁的共形 膜層亦是一種挑戰。雖然目前已發展出使用傳統高溫低壓 CVD來沉積作為間隙壁之氮化矽與氧化矽層的方法,然而 此類技術的熱預算過高而可能使半導體裝置的幾何形狀持 續收縮。雖然氮化矽的 PECVD製程可以在較低的溫度下 執行,然而所得到的階梯覆蓋與圖案負載結果卻無法如利 用高溫低壓CVD方法所形成的結果般地令人滿意。 因此,目前需要一種能在已圖案化基板中已形成之特 徵上沉積共形膜層的方法。 【發明内容】 本發明實施例提供一種在基板上形成介電膜層的方 法,該方法包括將一表面上具有至少一已形成特徵的基板 放置於一反應室中、沉積一介電層、以電漿處理該介電層、 測定該介電層的厚度,以及重複執行沉積介電層、以一電 漿處理該介電層與測定該介電層厚度的步驟。 在一實施例中,提供一種於一反應室内在一已圖案化 基板上形成一膜層的方法。該方法包括使該已圖案化基板 6 200816310 在存在有一電漿的情況下暴露於一含矽前驅物中(例如八 曱基環四石夕氧烧,octamethylcyclotetrasiloxane)以在該已 圖案化基板上沉積一膜層,以及待沉積該膜層後,利用由 諸如氧氣等含氧氣體所形成的電漿來處理該膜層。重複執 行該暴露步驟與處理步驟直到獲得所欲的膜層厚度為止。 該膜層可能是一氧化矽層或是一摻雜碳的氧化矽層。 、 在另一實施例中,於一反應室内在一已圖案化基板上 f 形成一膜層的方法包括使該已圖案化基板在存在有一電漿 的情況下暴露於一含矽前驅物中以在該已圖案化基板上沉 積一膜層,以及待沉積該膜層後,利用由一含氮氣體所形 成的電漿來處理該膜層,以及重複該暴露步驟與處理步驟 直到獲得所欲的膜層厚度為止。 本發明實施例亦提供一種控制基板上膜層的階梯覆蓋 與圖案負載的方法。在一實施例中,該方法包括將基板表 面上具有至少一已形成特徵的基板放置於一反應室中;〜 介電層沉積在該基板上,並使用由含氧或含齒素氣體 【 、®所形 ^ 成的電漿來蝕刻該介電層,以在該至少一已形成的特徵上 提供具有所欲輪廓的介電層,其中該含鹵素氣體選自 於由 ^ I、氯、溴及其組合所構成之群組中。 . 在另一實施例中,該方法包括將一基板表面上且士 〉、一已形成特徵的基板放置於一反應室中,以及沉籍 τ貝〜介 電層在該基板上。該特徵包含一頂面、一側面以及一麻 电面。 該介電層沉積在頂面上的厚度比其沉積在底面與側面 上的 厚度要厚。隨後利用由含氧或含函素氣體所形成的電 7 200816310 蝕刻該介電層,其中該含_素氣體選自於由氟 其組合物所構成之群組中。該介電層頂面上的 於該介電層底面及側面上的餘刻速率。執行一 介電層沉積與蝕刻步驟,以在該至少一已形成 具有所欲輪廓的介電層。 在更進一步的實施例中,該方法包括將一 具有至少一已形成特徵的基板放置於一反應室 積一氮化石夕介電層在該基板上。該特徵包含一 面與一底面。該氮化矽介電層沉積在頂面上的 積在底面與側面上的厚度要厚。隨後利用三丨 電漿蝕刻該介電層,並且該nf3電漿在頂面上 高於其在底面及側面上的蝕刻速率,以在該至 特徵上提供具有所欲輪廓的氮化矽介電層。可 多次的氮化矽介電層沉積與蝕刻步驟,以提供, 【實施方式】 (, 本發明提供一種在已形成的特徵上沉積一 的方法與設備。可從此製程方法受益的膜層包 - 矽、氮氧化矽或氮化矽膜層等介電材料’這些 間隙壁或蝕刻停止層等。該等膜層可能摻雜碳 是含有其他化學物或元素’以修改其介電特性 可摻雜碳或摻雜氮。舉例而言,該等膜層可以是 SiOCN、SiBN、SiBCN、SiC、BN 或 BCN 膜層 中,由個別沉積且經過電漿處理的數層薄膜所 、氯、溴及 蝕刻速率高 次或多次的 特徵上提供 基板表面上 中,以及沉 頂面、一側 厚度比其沉 I化氮(nf3) 的姓刻速率 少一已形成 執行一次或 斤欲的輪廓。 共形介電層 含諸如氧化 膜層可做為 、摻雜氫或 。該膜層也 SiCN、SiOC, 。在一態樣 組成的組合 8 200816310200816310 IX. Description of the Invention: TECHNICAL FIELD OF THE INVENTION Embodiments of the present invention generally relate to a method of semiconductor processing. More specifically, embodiments of the invention are directed to methods of depositing a conformal interlayer. [Prior Art] One of the main steps in the process of forming a dielectric layer on a substrate by a chemical reaction of several gases. These processes include chemical vapor deposition (CVD) and plasma enhanced chemical vapor phase (PECVD), where plasma enhanced chemical vapor deposition is a deposition process that combines plasma and CVD techniques. CVD and PECVD dielectric layers can be used as different layers in conductor devices. For example, these dielectric layers can be used as interlayer dielectric layers between the wires or interconnects. Alternatively, the dielectric acts as a barrier layer, an etch stop layer or spacer, and other layers. Dielectric layers used as barrier layers and spacers are typically deposited on patterned substrate features, such as horizontal interconnects (interlayer vias), gate stacks, etc., which are deposited in subsequent lines to form subsequent traces. Characteristic. Preferably, the process provides a conformal layer. However, conformality is often difficult to achieve. For example, it is difficult to form a barrier layer on a feature without causing defects or characteristic deformation. During the deposition process, the barrier layer can form an overloaf, that is, depositing a material on the shoulder of the via hole but depositing too little material at the bottom of the via hole to form a look and a film. Firstly, the sedimentary deposition is traditionally a half-device layer, and the vertical deposition surface material can be multi-material is the convex shape of the side of the surface of the 2008. This phenomenon is also referred to as footing because the contour at the bottom of the via hole looks like a foot. In an extreme example, the shoulders of the vias are closed to form a bonded seal surface at the top of the via. When the thickness of the film layer on the entire wafer is not uniform, it may adversely affect the driving current properties of each device. Simply adjusting the process parameters does not significantly improve the problem of step coverage and pattern loading. It is also a challenge to deposit a conformal film layer that will subsequently be used to form the spacers on the gate stack. Although conventional high temperature low pressure CVD has been developed to deposit a tantalum nitride and tantalum oxide layer as a spacer, the thermal budget of such techniques is too high to cause the geometry of the semiconductor device to continue to shrink. Although the PECVD process of tantalum nitride can be performed at lower temperatures, the resulting step coverage and pattern loading results are not as satisfactory as those produced by the high temperature low pressure CVD method. Accordingly, there is a need for a method of depositing a conformal film layer on features that have been formed in a patterned substrate. SUMMARY OF THE INVENTION Embodiments of the present invention provide a method of forming a dielectric film layer on a substrate, the method comprising: placing a substrate having at least one formed feature on a surface in a reaction chamber, depositing a dielectric layer, The dielectric layer is plasma treated, the thickness of the dielectric layer is measured, and the step of depositing a dielectric layer, treating the dielectric layer with a plasma, and measuring the thickness of the dielectric layer are repeated. In one embodiment, a method of forming a film layer on a patterned substrate in a reaction chamber is provided. The method includes exposing the patterned substrate 6 200816310 to a ruthenium containing precursor (eg, octamethylcyclotetrasiloxane) in the presence of a plasma to deposit on the patterned substrate. A film layer, and after the film layer is to be deposited, the film layer is treated with a plasma formed of an oxygen-containing gas such as oxygen. This exposure step and processing step are repeated until the desired film thickness is obtained. The film layer may be a hafnium oxide layer or a carbon doped hafnium oxide layer. In another embodiment, a method of forming a film layer on a patterned substrate in a reaction chamber includes exposing the patterned substrate to a germanium-containing precursor in the presence of a plasma. Depositing a film layer on the patterned substrate, and after depositing the film layer, treating the film layer with a plasma formed by a nitrogen-containing gas, and repeating the exposing step and the processing step until the desired one is obtained The thickness of the film layer. Embodiments of the present invention also provide a method of controlling step coverage and pattern loading of a film layer on a substrate. In one embodiment, the method includes placing a substrate having at least one formed feature on a surface of the substrate in a reaction chamber; ~ depositing a dielectric layer on the substrate and using an oxygen-containing or dentate-containing gas; Forming a plasma to etch the dielectric layer to provide a dielectric layer having a desired profile on the at least one formed feature, wherein the halogen-containing gas is selected from the group consisting of: I, chlorine, and bromine And the group formed by its combination. In another embodiment, the method includes placing a substrate on a surface of a substrate, a formed substrate, in a reaction chamber, and a substrate on the substrate. The feature includes a top surface, a side surface, and a matte surface. The dielectric layer is deposited on the top surface to a thickness greater than the thickness deposited on the bottom surface and the side surface. The dielectric layer is then etched using electricity formed by an oxygen or a gas containing gas, wherein the gas containing gas is selected from the group consisting of fluorine compositions. The rate of remnant on the top surface and side of the dielectric layer on the top surface of the dielectric layer. A dielectric layer deposition and etching step is performed to form a dielectric layer having a desired profile at the at least one. In still further embodiments, the method includes placing a substrate having at least one formed feature in a reaction chamber and depositing a nitride dielectric layer on the substrate. The feature includes a face and a bottom face. The tantalum nitride dielectric layer is deposited on the top surface to be thicker on the bottom surface and the side surface. The dielectric layer is then etched using three-ply plasma, and the nf3 plasma is higher on the top surface than the etch rate on the bottom surface and the side surface to provide a tantalum nitride dielectric having a desired profile on the feature. Floor. A plurality of tantalum nitride dielectric layer deposition and etching steps may be provided to provide, [Embodiment] (The present invention provides a method and apparatus for depositing one on an already formed feature. A film package that can benefit from the process method - dielectric materials such as tantalum, niobium oxynitride or tantalum nitride films, such as spacers or etch stop layers, etc. These layers may be doped with carbon to contain other chemicals or elements 'to modify their dielectric properties to be doped Heterocarbon or doped nitrogen. For example, the layers may be in a SiOCN, SiBN, SiBCN, SiC, BN or BCN film layer, separated by several layers of plasma treated plasma, chlorine, bromine and The etch rate is provided on the surface of the substrate one or more times, and the surface of the top surface, and the thickness of one side is less than the rate of the surname of the nitrogen (nf3), which has formed a contour for performing once or for a long time. The dielectric layer containing, for example, an oxide film layer can be doped or doped with hydrogen. The film layer is also SiCN, SiOC, a combination of one aspect 8 200816310

膜層可提供比單一層厚介電層更加共形(conformal)的介電 層。適合用於本文中所述製程方法的反應室包括 PRODUCER® P3 反應室、PRODUCER® APFTM PECVD 反 應室、PRODUCER® BLACK DIAMOND® PECVD 反應室、 PRODUCER® BLOK® PECVD 反應室、PRODUCER® DARC PECVD 反應室、PRODUCER HARP 反應室、PRODUCER® PECVD 反應室、PRODUCER SACVD 反應室、PRODUCER® SE STRESS NITRIDE PECVD 反應室以及 PRODUCER® TEOS FSG PECVD反應室,上述每種反應室均可購自位於 美國加州聖克拉拉市的應用材料公司(Applied Materials, Inc· of Santa Clara,California)。該等反應室可獨立建構, 但大部分會做為一集成工具(integrated tool)的一部分。該 些製程方法可執行於任何基板上,例如200毫米或300毫 米的基板或其他適合用於半導體工藝或平面顯示器製造上 的材料。下述製程條件係針對 PRODUCER® SE Stress NITRIDE PECVD反應室而提供的,此反應室具有兩個隔離 開來的處理區。因此,每個基板處理區個別經歷的流速是 流入該反應室中之流速的一半。The film layer can provide a conformal dielectric layer that is more conformal than a single layer of thick dielectric layer. Reaction chambers suitable for use in the process methods described herein include PRODUCER® P3 Reaction Chamber, PRODUCER® APFTM PECVD Reaction Chamber, PRODUCER® BLACK DIAMOND® PECVD Reaction Chamber, PRODUCER® BLOK® PECVD Reaction Chamber, PRODUCER® DARC PECVD Reaction Chamber, PRODUCER HARP Reaction Chamber, PRODUCER® PECVD Reaction Chamber, PRODUCER SACVD Reaction Chamber, PRODUCER® SE STRESS NITRIDE PECVD Reaction Chamber, and PRODUCER® TEOS FSG PECVD Reaction Chamber, each of which is available from Santa Clara, California. Applied Materials, Inc. of Santa Clara, California. These chambers can be constructed independently, but most will be part of an integrated tool. These process methods can be performed on any substrate, such as a 200 mm or 300 mm substrate or other suitable material for use in semiconductor fabrication or flat panel display fabrication. The following process conditions are provided for the PRODUCER® SE Stress NITRIDE PECVD chamber, which has two isolated processing zones. Thus, each substrate processing zone individually experiences a flow rate that is half the flow rate into the reaction chamber.

第1圖是沉積製程1 00其中一實施例的流程圖。沉積 製程100的所有製程步驟可能在同一個反應室中執行。製 程100始於步驟110,步驟110包括將一其表面上具有至 少一已形成特徵的基板放置於一反應室中。該已形成的特 徵可以是任何種類的已形成特徵,例如介層孔、内連線或 閘極堆疊層。接著在薄介電層沉積步驟1 2 0中,利用c V D 9 200816310 或pecvd製程來沉積一介電層。該薄介電層可 氧化梦、氮氡切或氮切層。該媒層可摻雜碳或操雜1如 該薄介電層的厚度可介於約Μ(A)至約8埃。反庫室的。 力約介於100毫± 、至的壓 宅托(mT〇rr)至8托,較佳介於2至 沉積步驟12〇中’該薄介電層約沉積2_5秒、, :在 步驟13”利用電裝來處理該薄介電 :後於 可能包括使用-惰性氣體…雍電聚處理步驟U0 乱體或一反應性氣體。隨後在厚度、、則 定步驟1 40中分舶弋从4 年度測 甲刀析或估叶所沉積的祺層厚度。若 膜層厚度等於或大协共~ 儿積的 -大於扎疋的所欲厚度,則製程i 〇〇終止 步驟160時。在今姓击 ' 在。亥、,,°束步驟160中,該基板接受額外 理並從該反應室中 ^ ^ W慝 移出。右所沉積的膜層厚度並不等於 不大於指定的所欲yg择 ^ 子度’則於重複製程丨5 〇的過程中, 複執行該沉積步酈〗0 Λ〜 $ /、電漿處理步驟1 3 0。厚度測定步 驟140與重複製程…一 了重禝執灯多次直到獲得所欲的膜 層厚度為止,例士 ·~ρ壬、— 、 例如可重複執行約丨-6次。 圖是個總括性的流程圖,以提供繪於第2、6 與10-11圖中之久”也】 各別I程的製程大綱。第2、6與1 (M i圖 ’、第11相似的製程步驟皆以相同的元件符號來表示。 然而,也可以有些許的製程變化。 第圖為’儿積製程2〇〇之實施例的流程圖。如步驟2〇2 所示,將一复矣品 、 上具有至少一已形成特徵的基板放置於 一反應室中。該牲 、 寻徵具有一頂面、一側面與一底面。如 驟204所示,—八 、 "電層沉積在該基板上。可利用CVD式 PECVD來該介t R ^ 电層。該介電層可以是諸如氮化矽、氧化石夕 10 200816310 或氮氧化矽層等膜層。或者,該膜層可以是任何摻 或氮的上述膜層。通常,該介電層的沉積製程形成 面的介電層厚度會比形成在底面與側面上的介電層 厚。隨後,如步驟206所示般,利用由含氧氣體或 氣體所形成的電漿來蝕刻該介電層。該含i素氣體 由氟、氣、溴及其組合物所構成之群組中。可使用氧 或其他含氧氣氣體來供應一氧電漿。或可選擇參考 所述的厚度測定步驟來分析或估計該介電層的厚度 此步驟並未顯示於第2圖中。若蝕刻介電層的步驟 該至少一已形成特徵上提供一所欲的介電層輪廓時 程方法終止於步驟 2 0 8。所欲的輪廓可能一共形或 形的輪廓,其在該特徵的側面、頂面與底面上的厚 會比在沉積後且蝕刻前之介電層在該等面上的厚度 小。換句話說,也就是所欲的輪廓具有改善的階梯讀 即,該特徵不同表面間的膜層厚度差的百分比較小 刻介電層的步驟206無法在該至少一已形成特徵上 所欲的介電層輪廓時,則執行一重複步驟2 1 0。該 驟2 1 0包括沉積一額外量的該介電層並且隨後蝕刻 層的步驟。該重複步驟2 1 0可執行數次,舉例而言 執行1次至1 〇 〇次,例如介於1次至6次之間,以 少一已形成特徵上獲得所欲的介電層輪廓。 回到步驟 2 0 6,可以在進行介電層沉積的同一 室中或是在不同反應室中蝕刻該介電層’而該不同 室與該沉積室可屬於同一個集成工具中的一部分, 雜有碳 在該頂 厚度要 含鹵素 選自於 氣(〇2) 第1圖 ,雖然 206在 ,該製 實質共 度差異 差異要 :蓋性, 。若蝕 提供一 重複步 該介電 可重複 在該至 個反應 的反應 且該不 11 200816310 同的反應室可透過該集成工具的傳送室而與該沉積 連。含氧氣體或含鹵素氣體可獨立地引入該反應室中 是合併一惰性氣體一起引入該反應室中,而惰性氣體 如是氬氣或氦氣。可使用一原位生成或遠端生成的電 執行蝕刻步驟2 0 6。蝕刻步驟2 0 6的時間長度可至少I 秒,例如介於約0.1秒至約4 5秒之間,如介於約15 約4 5秒之間。可藉著調整含鹵素氣體的流速與暴露時 設計該#刻輪廓(etch profile)以配合該沉積: (deposition profile)。例如,在該特徵頂面上的14刻速 能高於再該特徵側面或底面上的蝕刻速率。通常,頂 的蝕刻速率比側面或底面上的蝕刻速率要高約1 〇 %。 些範例中,可能需要約5 0%的蝕刻速率。本文中定義 的蝕刻速率係指一能移除掉5 0%之沉積介電層厚度的 製程。此外,沉積步驟2 0 4也可以是一個雙階段沉積 (a two part deposition),例如以具有第一功率與第一 物分壓的電漿執行兩秒,並且以具有第二功率與第二 物分壓的電漿執行額外兩秒。 在使用遠端生成電漿來執行蝕刻步驟206的實 中,可藉著在一遠端電漿源中使氧氣或一含i素氣體 於微波能量下來產生電漿,其中該含i素氣體選自 氟、氯、溴及其組合物所構成之群組中,並且該遠端 源連接至用來沉積介電層的反應室。例如,可利用能 反應性氟物種的三氟化氮(NF3)來產生該電漿。可以介 1 0 s c c m至約 2 0 s 1 m之間的流速將三IL化氮導入反 室相 ,或 可例 漿來 ? 0.1 秒至 間來 率可 面上 在某 50% 蝕刻 製程 前驅 前驅 施例 暴露 於由 電漿 提供 於約 應室 12 ί1 is a flow chart of one embodiment of a deposition process 100. All process steps of deposition process 100 may be performed in the same reaction chamber. Process 100 begins at step 110 by placing a substrate having at least one formed feature on its surface in a reaction chamber. The formed features can be any kind of formed features, such as via holes, interconnects, or gate stack layers. A dielectric layer is then deposited using a c V D 9 200816310 or pecvd process in a thin dielectric layer deposition step 120. The thin dielectric layer oxidizes dreams, nitrogen cuts or nitrogen cuts. The dielectric layer may be doped with carbon or doped. For example, the thin dielectric layer may have a thickness of between about Μ(A) and about 8 angstroms. Anti-cabin. The force is between about 100 milli±, to the pressure of the home support (mT〇rr) to 8 Torr, preferably between 2 and the deposition step 12 ' 'the thin dielectric layer is deposited for about 2_5 seconds, : in step 13 Electrically charged to handle the thin dielectric: after the possible use of - inert gas ... 雍 electropolymerization process step U0 chaos or a reactive gas. Then in the thickness, then step 1 40 The thickness of the ruthenium layer deposited by the knife is estimated or estimated. If the thickness of the film is equal to or greater than the desired thickness of the sag, the process i 〇〇 terminates at step 160. In the beam, step 160, the substrate is subjected to additional processing and removed from the reaction chamber. The thickness of the deposited layer on the right is not equal to not greater than the specified desired yg selectivity. Then, in the process of re-copying 丨5 〇, the deposition step 郦0 Λ~ $ /, the plasma processing step 1 3 0 is executed. The thickness determination step 140 and the re-replication process are repeated. The thickness of the desired film layer, for example, ~ρ壬, - , for example, can be repeated about -6 times. Flowchart blanket to provide plotted in FIG. 2, 6, 10-11 years and "Memorandum also prepared respective I] process. The second, sixth and first (M i diagram ', the eleventh similar process steps are denoted by the same component symbol. However, there may be some process variations. The figure is an example of the 'child process 2 〇〇 A flow chart of placing a reticular product having at least one formed feature in a reaction chamber, as shown in step 2, 2, has a top surface, a side surface, and a bottom surface. As shown in step 204, an electric layer is deposited on the substrate. The CVD type PECVD can be used to conduct the dielectric layer. The dielectric layer can be, for example, tantalum nitride, orthopedic oxide eve 10 200816310 or a film layer such as a hafnium oxynitride layer. Alternatively, the film layer may be any of the above-mentioned film layers doped with nitrogen. Generally, the thickness of the dielectric layer of the deposition process forming surface of the dielectric layer is greater than that formed on the bottom surface and the side surface. The electrical layer is thick. Subsequently, the dielectric layer is etched using a plasma formed of an oxygen-containing gas or gas as shown in step 206. The gas containing the gas is composed of fluorine, gas, bromine, and combinations thereof. In the group, oxygen or other oxygen-containing gas may be used to supply the oxygen plasma. Selecting the thickness measurement step described herein to analyze or estimate the thickness of the dielectric layer. This step is not shown in Figure 2. If the dielectric layer is etched, the at least one formed feature provides a desired dielectric. The layer profile time-course method terminates at step 208. The desired profile may have a conformal or contoured profile that is thicker on the sides, top and bottom surfaces of the feature than the dielectric layer after deposition and prior to etching. The thickness on the faces is small. In other words, the desired profile has an improved step reading, that is, the percentage of the film thickness difference between the different surfaces of the feature is smaller than the step 206 of the dielectric layer. When at least one of the desired dielectric layer profiles has been formed, a repeating step 210 is performed. The step 2 1 0 includes the step of depositing an additional amount of the dielectric layer and subsequently etching the layer. 10 can be executed several times, for example, 1 to 1 times, for example between 1 and 6 times, to obtain the desired dielectric layer profile with less than one formed feature. 0 6, can be in the same room where dielectric layer deposition Or etching the dielectric layer in different reaction chambers, and the different chambers and the deposition chamber may belong to a part of the same integrated tool, and the carbon mixed with the halogen at the top thickness is selected from the group consisting of gas (〇2) 1 , although 206, the difference in the substantial difference of the system is: capping, if the etching provides a repeating step, the dielectric can repeat the reaction in the reaction and the same reaction chamber can pass through the integration The transfer chamber of the tool is connected to the deposition. The oxygen-containing gas or the halogen-containing gas can be independently introduced into the reaction chamber, and an inert gas is introduced into the reaction chamber together, and the inert gas is argon or helium. The in situ generated or remotely generated electrical execution etch step 206. The length of the etching step 206 may be at least 1 second, such as between about 0.1 seconds and about 45 seconds, such as between about 15 and about 45 seconds. The #etch profile can be designed to match the deposition by adjusting the flow rate of the halogen containing gas and the exposure: (deposition profile). For example, the 14 inscription speed on the top surface of the feature is higher than the etch rate on the side or bottom surface of the feature. Typically, the top etch rate is about 1 〇 % higher than the etch rate on the side or bottom side. In some examples, an etch rate of about 50% may be required. The etch rate as defined herein refers to a process that removes 50% of the thickness of the deposited dielectric layer. In addition, the deposition step 240 may also be a two-part deposition, for example, performing plasma with a first power and a first partial pressure for two seconds, and having a second power and a second material. The divided plasma is performed for an additional two seconds. In the practice of using the remotely generated plasma to perform the etching step 206, the plasma may be generated by using oxygen or an i-containing gas in the microwave energy in a remote plasma source. From the group consisting of fluorine, chlorine, bromine, and combinations thereof, and the remote source is connected to a reaction chamber for depositing a dielectric layer. For example, nitrogen trifluoride (NF3), which is a reactive fluorine species, can be utilized to produce the plasma. The tri-IL can be introduced into the anti-chamber phase at a flow rate between 10 sccm and about 20 s 1 m, or can be exemplified by a slurry. 0.1 second to the interval can be applied to a 50% etching process. The example is exposed to the plasma provided by the chamber 12 ί

200816310 中。三氟化氮也可與諸如氬氣或氦氣等稀釋氣體一同導 反應室中。氬氣與氦氣亦有助於維持反應室中的電漿。 以介於約1 0 0 s c c m至約2 0 s 1 m之間的流速將三氟化氮 入反應室中。蝕刻過程中的反應室壓力可介於約1 0毫托 約7 60托之間,並且反應室中的基板支撐件溫度可設定 介於約1 0 0 °C至約6 5 0 °C之間。 在使用原位(即在反應室中)生成的電漿來執行蝕刻 驟2 06的實施例中,可使用射頻功率來產生該電漿。射 功率可具有一高頻率,例如介於約 1百萬赫(MHz)至 13.56百萬赫之間,如介於約2百萬赫至約13.56百萬赫 間;可具有一介於約 1 〇 〇仟赫至約 1百萬赫之間的低 率,例如約介於1 0 0仟赫至約4 0 0仟赫之間;或者可具 一混合頻率,其包含一介於1百萬赫至13.56百萬赫之 的頻率(例如約2百萬赫至約1 3 · 5 6百萬赫)以及一介於 1 0 0仟赫至約1百萬赫之間的低頻率(例如約1 0 0仟赫至 400仟赫)。作為蝕刻氣體並選自於氟、氯、溴及其組合 所構成之群組中的含鹵素氣體可以是三氟化氮,或是一 碳及氟的氣體,例如四氟化碳(CF4)或八氟化二碳(C4F8) 可以介於約1 0 s c c m至約2 0 s 1 m的流速將氧或含鹵素氣 導入該反應室中。該氧或含函素氣體可與作為稀釋氣體 氛氣或氧氣一同導入該反應室中。氬氣與氦氣亦有助於 持反應室中的電漿。可以介於約1 〇 〇 S c c m至約2 0 s 1 m 流速將氬氣或氦氣導入該反應室中。蝕刻過程中的反應 壓力可能介於約1 〇毫托至約7 6 0托之間,並且反應室中 入 可 導 至 在 步 頻 約 之 頻 有 間 約 約 物 含 〇 體 的 維 的 室 基 13 200816310 板支撐件的溫度可設定在介於約loot:至約650。(:之『 應室中,一噴頭電極與一基板支撐件電極之間的間距 於約1〇〇密耳(mil)至約3〇〇〇密耳之間。可調整該間 控制電漿的穩定性。 本發明的多個實施例包含該些具有單一沉積步驟 與早一餘刻步驟2〇6的製程方法,以及該些包含一重 • 驟210的製程方法,其中該重複步驟210中包含多個 與蝕刻步驟。當一介電層,與該介電層在特徵頂面上 刻速率;^目μμ ^ , 匕匕’其在特徵側面上具有高蝕刻速率時,執 包s單沉積步驟204與單一蝕刻步驟206的製程方 例如’該側面上的蝕刻速率可能至少約為該介電層從 面上#除之速率的1 0%。可提供在特徵側面上的離子 低於在特徵頂面上之離子轟擊的介電沉積製程,能夠 該特徵側面上之介電蝕刻速率高於該特徵底面或頂面 介電#刻速率的結果。藉著使多個不同厚度之沉積介 的#刻材料厚度保持固定,並進而改變該些進行蝕刻 電層的百分比例’發現到對於該些厚度高達1 000埃的 層而a ’該些包含單一沉積步驟與單一蝕刻步驟之製 • 法的底部圖案負载致應係與介墊層厚度無關聯。 ^ —介電層’與該介電層在特徵頂面上之蝕刻速 比’其在特徵側面上具有低蝕刻速率時,可執行一包 個 >儿積與蝕刻步驟的製程方法。可藉著在蝕刻該介電 前與之後,利用SEM或TEM斷面來測定位在特徵底 側面與頂面處的介電層厚度,並計算出單位蝕刻時間 3。反 可介 距來 204 複步 沉積 之钕 行一 法。 該頂 轟擊 造成 上之 電層 之介 介電 程方 率相 含多 層之 面、 所移 14 200816310 高沉積與蝕刻的循環次 除的厚度’以測定出蝕刻速率。 數可改善圖案負載效應。 在 示範實施例中,可執行一勹· •刻循環的製程方法來形成欲做:二次沉積與 寸為90奈米或更小的介電 — 乂 ' 3且特徵尺 3 00埃至約4。。埃之間的介電:個循%可沉積厚度約介於 •除介於約丨。〇埃至2。。埃之間的介電層:二钱刻循… 本發明實施例的示範性測試結二::,^ 輪廓,使其配合提供在特徵頂面 •·’ W控制蝕刻 底面與側面上之餘刻速率的沉積餘刻速率阿於在特徵 t 、㊉郭。第3A圖是根擔羽 知技術而形成在基板306之特徵媒^ ' U4上的一介電層— SEM示意圖。該介電層302具有 02之 卜Θ勻的輪廓,其在牲外 頂面3 08上的厚度大於在特徵側面 隹将徵 1〇與底面312的屑 度。第3 Β圖顯示根據本發明實旆 的与 而形成在特徵3〇4 的一介電層320之SEM示意圖。在扯 上 寻徵304上,該介雷展 3 20的輪廓比該介電層302的輪廊p ^ 9 尺加均勻一致。 | 已形成之特徵斷面的掃描式 I 子顯微照片亦顯示出 以含有流量5 0 s c c m之N F 3、3公斗r τ、 ’ (L)之氣氣、頻率 仟赫之100瓦(W)低頻射頻功率、:s ' ·5托之反應室壓力以及 1〇〇〇密耳之間隔距離的條件來執行電漿餘刻持續45 秒,能使氣化石夕介電層的底部圖案負载效應(PLE)降低約 3〇%(PLE從67%降低至4”/。),並且此餘刻製程可用來調整 其他介電膜詹沉積製程的階孝弟覆蓋&。該㈣t程不^ 響膜應力。侧壁負載效應龍46%降至33%,以及頂面: 15 200816310 載效應則從10°/。降至3%°當藉由各部位(portion)上之膜層 厚度之間的膜摩篆異百分比,例如具有少量特徵之基板區 域(疏離區)中〆特徵的底面、頂面或側面上的膜層厚度與 具有南特徵密度么"土板^域(雄、集區)中一特徵相應部位上 之膜層厚度間的暴異百分比,來測量圖案負載效應時,較 低圖案負载效應百77比係反應出基板表面具有較高的膜厚 均勻度。In 200816310. Nitrogen trifluoride can also be introduced into the reaction chamber with a diluent gas such as argon or helium. Argon and helium also help to maintain the plasma in the reaction chamber. Nitrogen trifluoride is introduced into the reaction chamber at a flow rate between about 10 s c c m and about 20 s 1 m. The chamber pressure during the etching process can be between about 10 mTorr and about 760 Torr, and the substrate support temperature in the reaction chamber can be set between about 10 ° C and about 65 ° C. . In an embodiment where etching is performed using a plasma generated in situ (i.e., in a reaction chamber), RF power can be used to generate the plasma. The transmit power can have a high frequency, such as between about 1 megahertz (MHz) and 13.56 megahertz, such as between about 2 megahertz and about 13.56 megahertz; and can have a distance of about 1 〇 a low rate of between about 1 megahertz, for example between about 100 kHz and about 4,000 Hz; or a mixed frequency comprising one between 1 megahertz and A frequency of 13.56 megahertz (eg, about 2 megahertz to about 1 3 · 5 6 megahertz) and a low frequency between 1 00 kHz and about 1 megahertz (eg, about 1 0 0仟H to 400 )). The halogen-containing gas as an etching gas and selected from the group consisting of fluorine, chlorine, bromine and combinations thereof may be nitrogen trifluoride or a gas of one carbon and fluorine, such as carbon tetrafluoride (CF4) or Octafluorocarbon (C4F8) Oxygen or a halogen-containing gas can be introduced into the reaction chamber at a flow rate of from about 10 sccm to about 20 s 1 m. The oxygen or a gas containing gas may be introduced into the reaction chamber together with a diluent gas or oxygen. Argon and helium also help to hold the plasma in the reaction chamber. Argon or helium gas may be introduced into the reaction chamber at a flow rate of from about 1 〇 〇 S c c m to about 20 s 1 m. The reaction pressure during the etching may be between about 1 Torr to about 760 Torr, and the reaction chamber may be introduced into a chamber base which may have a dimension of the steroid containing at about the frequency of the step. 13 200816310 The temperature of the plate support can be set between about loot: to about 650. (: In the chamber, the distance between a nozzle electrode and a substrate support electrode is between about 1 mil to about 3 mils. The control of the plasma can be adjusted. Stability. Various embodiments of the present invention include the process methods having a single deposition step and an earlier step 2〇6, and the process methods including a step 210, wherein the repeating step 210 includes And etching step. When a dielectric layer and the dielectric layer are engraved on the top surface of the feature; ^μμ^, 匕匕' has a high etch rate on the feature side, the package s single deposition step 204 The process etch with the single etch step 206, for example, the etch rate on the side may be at least about 10% of the rate at which the dielectric layer is removed from the face #. The ions on the feature side may be provided below the top surface of the feature. The dielectric deposition process of the ion bombardment can result in a dielectric etch rate on the side of the feature that is higher than the dielectric or etch rate of the feature. By depositing a plurality of different thicknesses of the deposited material The thickness remains fixed and then changes the The percentage of the etched electric layer is found to be for the thickness of up to 1 000 angstroms and the a part of the method comprising a single deposition step and a single etching step. Correlation. ^ - The dielectric layer 'and the etch rate ratio of the dielectric layer on the top surface of the feature'. When it has a low etch rate on the feature side, a process method of arranging a package and etching step can be performed. The thickness of the dielectric layer at the bottom side and the top surface of the feature can be measured by SEM or TEM cross section before and after etching the dielectric, and the unit etching time can be calculated. A method of step deposition is performed. The top bombardment causes the dielectric layer of the upper electrical layer to have a multi-layer surface, and the thickness of the high-deposition and etching cycles is shifted to determine the etching rate. The number can improve the pattern loading effect. In an exemplary embodiment, a process method of engraving can be performed to form a secondary deposition with a dielectric of 90 nm or less - 乂' 3 and features尺3 00 至4. Dielectric between the angstroms: a % can be deposited to a thickness of about • 介于 丨 丨 〇 〇 〇 〇 〇 的 的 的 的 的 介 介 介 介 介 介 介 介 介 介 介 介 介 介 介 介 介 介 介 介 介 介The exemplary test knot 2::, ^ contour, so that it is provided on the top surface of the feature. · W control the etching rate on the bottom surface and the side of the deposition rate is the same as the characteristic t, ten Guo. 3A A SEM schematic of a dielectric layer formed on the feature dielectric 'U4 of the substrate 306. The dielectric layer 302 has a contour of 02, which is on the top surface of the animal. The thickness of the dielectric layer 320 is greater than that of the surface 312. The third schematic diagram shows a SEM of a dielectric layer 320 formed in accordance with the present invention and formed in the feature 3〇4. On the search 304, the profile of the Dijon 3 20 is more uniform than the p ^ 9 scale of the dielectric layer 302. The scanned I submicrograph of the formed characteristic section also shows 100 watts (W) with a flow rate of 5 0 sccm of NF 3, 3 gong r τ, ' (L), and frequency 仟Low-frequency RF power, : s ' · 5 Torr reaction chamber pressure and 1 mil separation distance conditions to perform plasma remnant for 45 seconds, enabling the bottom pattern loading effect of the gasification stone dielectric layer (PLE) is reduced by about 3〇% (PLE is reduced from 67% to 4”/.), and this process can be used to adjust the order of other dielectric film deposition process. The (4) t process does not sound film Stress. Sidewall load effect dragon 46% down to 33%, and top surface: 15 200816310 Load effect from 10 ° /. to 3% ° when the film thickness between the layers on the part (portion) Percentage of friction, such as the thickness of the film on the bottom, top or side of the feature of the substrate region (exfoliation zone) with a small number of features, and the density of the south feature. The percentage of the difference between the thicknesses of the layers on the corresponding part of the feature to measure the pattern loading effect, the lower pattern is negative Effect of the reaction system more than one hundred of the substrate 77 having a high surface uniformity of film thickness.

(/ 第4與5圖顯示出以50 scem之NF3、3升(L)氬氣、 100瓦且3 50仟赫的低頻射頻功率、丨·5托隻反應室壓力以 及1 000密耳之間距的條件對具有特徵疏離(is〇)區與特徵 密集區之基板進彳亍NF3蝕刻時,蝕刻時間長度(可用膜層蝕 除厚度來表示)對於底面介電層厚度以及圖案負載效應的 個别京多響。可使用叼 i :>至4 S毛丨、力丨, 5心的钱刻時間(etch period), 其相當於約1〇〇埃至300埃的赠a 爷的膜層蝕除厚度。該底面圖案 負載效應明顯地改善了,卽的,Λ n/ ^ 巧 卩約3〇%,並具有較長的蝕刻時 間。 &使用三氣化氮(NFS)做為施用在氮化石夕介電層上的含 :d氣體,來比k圖案負栽效應及底面厚度與該餘刻種 1的關係。以—包含沉積厚度400 &之氣化碎介電 層、將該氮化⑦介電層Μ # 2⑽埃的厚度以及隨後沉積 50埃之氮化…層之步驟的製程為例,比較沒有姓 χ,]功率為1 0 0瓦的低頻射艏兩從 ^ ^ 对頰電漿蝕刻、功率為5 0瓦的高 頻射頻電漿蝕刻以及遠 ^ ^ I製源蝕刻對於該製程的影響。 低頻射頰電漿蝕刻以及高 蹲射頻電漿蝕刻得到相同的圖案 16 200816310 負載效應結果,然而遠端電漿源蝕刻則造成更大的圖案負 载效應並且造成超過20%的蝕刻速率不岣句性。雖然在遠 端電聚餘刻方法中的餘刻輪廊更具專向性,然而當使用$ 位射頻方法中的鞘區電壓(sheath voltage)方向性地加速餘 刻物種朝向基板表時,相較於遠端電漿蝕刻方法而言,相 信原位射頻電漿蝕刻方法能更有效地提供與沉積輪庸相似 的餘刻輪廓’也就是說’原位射頻電漿餘刻方法在特徵頂 面上的蝕刻速率較快且特徵側面上的蝕刻速率較慢。 雖然第2圖之實施例主要以氮化矽(SiN)層來敘述,然 而第2圖之實施例也可用於他種介電層,例如氧化石夕 (si〇)、氮化矽(SiN)、碳氮化矽(SiCN)、碳氧化矽(SiOC)、 碳氮氧化矽(Si〇CN)、硼氮化矽(siBN)、硼碳氮化石夕 (SiBCN)、碳化石夕(siC)、氮化棚(BN)或碳氮化爛(BCN)等膜 層。對於含有碳的膜層,蝕刻步驟206包含一含氧氣體與 一含_素氣體,該含氧氣體能提供用來蝕刻含碳層的反應 性氧物種。含_素氣體及含氧氣體可同時使用或者先後使 用。例如,可將膜層先暴露於含氧氣體所形成的電聚中, 隨後在暴露至含_素氣體所形成的電漿中。 第6圖為沉積製程600之實施例的流程圖。沉積製程 600的所有製程步驟可在同一個反應室中執行。製程6〇〇 始於步驟610,步驟610包括將一其表面上具有至少一已 形成特徵的基板(即,一已圖案化的基板)放置於一反應室 中。該已形成特徵可以是任何一種已形成的特徵,例如介 ^ '内連線或閘極堆疊層。 17 200816310 在前驅物與電襞步驟620的過程中,係於反應室中同 時存在有電漿與含矽前驅物的情況下,使該基板暴露至該 含矽前驅物中,以執行介電層的沉積作用。該含矽前驅物 可包括八甲基環四石夕氧燒(octamethylcyclotetrasiloxane, 0MCTS)、甲基二乙氧基石夕烧(methyldiethoxysilane, MDE0S)、 雙(第 三丁基胺基)矽烷 (bis(tertiary-butylamino)silane,BTBAS)、三-二曱基胺基 矽烷(tri dime thy 1 amino silane,TriDMAS)、三-二甲基胺基 矽烷(tr is dimethyl aminosi lane,TrisDMAS)、矽烷(silane)、 二石夕烧(disilane)、二氯石夕烧(dichlorosilane)、三氯石夕院 (trichlorosilane)、二溴矽院(dibromosilane)、四氣化矽 (silicon tetrachloride)、四溴化矽(silicon tetrabromide)或 上述矽化物之組合物。在一態樣中,OMCTS與矽烷為較佳 的含矽前驅物。以介於約50瓦至3000瓦之間的功率以及 13.56百萬赫及/或350仟赫的頻率來提供該電漿。該些選 用性氣體導入反應室的時間與含石夕氣體的時間相同,並且 該等選用性氣體包括氦、氮、氧、氧化亞氮(nitr〇us 〇xide, 或稱一氧化二氮,俗稱笑氣)與氬氣。若需使用一額外氣體 時’導入反應室中的額外氣體以氧氣及/或氦氣為佳。氧氣 與其他惰性氣體可做為載氣。 在步驟620將電漿、前驅物以及選用性的數種額外氣 體通入反應室之後,接著在氧清洗步驟63〇中將諸如氧氣 或氧化亞氣等含氧氣體導入反應室中。氧清洗步驟63〇 的執行方式係將含氧氣體通入反應室中持續一段時間並達 18 200816310 到一選定的分壓來清洗掉殘留的含矽前驅物以及該些選用 性的額外氣體。接著,在氧電漿處理步驟640中,將一含 氧氣體(例如氧氣或氧化亞氮)通入反應室中。以約5 0瓦至 約3 000瓦的功率來提供該電漿並且持續介於約0.1秒至約 6 0 0秒之間的時間。(/ Figures 4 and 5 show NF3 at 50 scem, 3 liters (L) of argon, 100 watts and 3 50 kHz low frequency RF power, 丨5 Torr only chamber pressure and 1 000 mil spacing The conditions for the etched NF3 etched on the substrate with feature alienation and feature-dense regions, the length of the etch time (represented by the thickness of the film etched), the individual effects on the thickness of the underlying dielectric layer and the pattern loading effect. Beijing sings. You can use 叼i :> to 4 S 丨, 丨 , 5 heart etch time, which is equivalent to about 1 〇〇 to 300 ang of a granule In addition to the thickness, the underlying pattern loading effect is significantly improved, 卽, Λ n / ^ 卩 about 3〇%, and has a longer etching time. & using three gasification nitrogen (NFS) as the application of nitrogen The gas on the fossil dielectric layer contains: d gas, the ratio of the negative pattern of the k pattern and the thickness of the bottom surface to the relationship of the residual species 1. The gas-containing dielectric layer containing the deposited thickness of 400 & For example, the process of the step of the thickness of the dielectric layer Μ # 2 (10) angstrom and the subsequent deposition of the nitriding layer of 50 angstroms is taken as an example. The low frequency shot of the power of 100 watts from the ^ ^ cheek plasma etching, high frequency RF plasma etching with a power of 50 watts and the effect of far-by-source etching on the process. Plasma etching and sorghum RF plasma etching yield the same pattern 16 200816310 load effect results, however, remote plasma source etching results in a larger pattern loading effect and results in an etch rate of more than 20%. The remnant wheel in the remote electro-recending method is more specific, however, when the sheath voltage in the bit-frequency method is used to directionalally accelerate the residual species toward the substrate table, For the far-end plasma etching method, it is believed that the in-situ RF plasma etching method can more effectively provide a residual contour similar to the deposition pattern, that is, the in-situ RF plasma remnant method on the top surface of the feature. The etch rate is faster and the etch rate on the feature side is slower. Although the embodiment of Figure 2 is primarily described in terms of a tantalum nitride (SiN) layer, the embodiment of Figure 2 can also be used for other dielectric layers, such as Oxide oxide (si〇), Antimony (SiN), niobium carbonitride (SiCN), niobium oxycarbide (SiOC), niobium carbonitride (Si〇CN), niobium borohydride (siBN), boron carbonitride (SiBCN), carbon fossil a film layer such as si (SiC), nitriding shed (BN) or carbonitride (BCN). For the carbon-containing film layer, the etching step 206 includes an oxygen-containing gas and a gas-containing gas, and the oxygen-containing gas can provide a reactive oxygen species used to etch a carbon-containing layer. The gas-containing gas and the oxygen-containing gas may be used simultaneously or sequentially. For example, the film layer may be first exposed to electropolymerization formed by an oxygen-containing gas, and then exposed to In the plasma formed by the gas containing _. FIG. 6 is a flow diagram of an embodiment of a deposition process 600. All process steps of deposition process 600 can be performed in the same reaction chamber. Process 6 begins at step 610, which includes placing a substrate having at least one formed feature on its surface (i.e., a patterned substrate) in a reaction chamber. The formed feature can be any of the formed features, such as an 'internal or gate stack. 17 200816310 During the precursor and electrophoresis step 620, the substrate is exposed to the ruthenium-containing precursor to perform a dielectric layer in the presence of a plasma and a ruthenium-containing precursor in the reaction chamber. Deposition. The ruthenium-containing precursor may include octamethylcyclotetrasiloxane (0MCTS), methyldiethoxysilane (MDEOS), bis(t-butylamino) decane (bis(tertiary) -butylamino)silane,BTBAS), tri dime thy 1 amino silane (TriDMAS), tris-dimethylaminosi lane (TrisDMAS), silane, Disilane, dichlorosilane, trichlorosilane, dibromosilane, silicon tetrachloride, silicon tetrabromide Or a composition of the above telluride. In one aspect, OMCTS and decane are preferred ruthenium-containing precursors. The plasma is provided at a power between about 50 watts and 3000 watts and at a frequency of 13.56 megahertz and/or 350 kHz. The selective gas is introduced into the reaction chamber at the same time as the gas containing the gas, and the selective gases include helium, nitrogen, oxygen, and nitrous oxide (nitr〇us 〇xide, or nitrous oxide). Laughter) with argon. If an additional gas is required, the extra gas introduced into the reaction chamber is preferably oxygen and/or helium. Oxygen and other inert gases can be used as carrier gases. After the plasma, precursor, and optional additional additional gases are passed to the reaction chamber in step 620, an oxygen-containing gas such as oxygen or oxymethane is introduced into the reaction chamber in an oxygen purge step 63. The oxygen purge step 63 is performed by passing an oxygen-containing gas into the reaction chamber for a period of time up to 18 200816310 to a selected partial pressure to purge the residual ruthenium-containing precursor and the optional additional gases. Next, in an oxygen plasma treatment step 640, an oxygen-containing gas (e.g., oxygen or nitrous oxide) is passed to the reaction chamber. The plasma is provided at a power of from about 50 watts to about 3,000 watts and lasts between about 0.1 seconds and about 6,000 seconds.

回到步驟620,可以介於約5 seem至約1000 seem的 流速將含矽前驅物導入反應室中。可以介於約1 0 0 s c c m至 約20000 seem的流速將一選用性載氣,例如氦,導入反應 室中。當將含矽前驅物通入反應室中以沉積膜層時,導入 反應室中的含矽前驅物(如八曱基環四矽氧烷)流速比上載 氣(如氦)流速之比例約為 1 : 1或是更高,例如介於約1:1 至約1 :1 0 0之間。反應室壓力可大於約5毫托,例如介於 約1 .8托至約1 0托之間,以及反應室中的基板支撐件溫度 可介於約125°C至約5 80QC之間。較佳者,該溫度低於約 5 0 0 ° C。該含石夕前驅物可通入反應室中持續一段足以沉積 出厚度介於約5埃至約2 000埃間之膜層的時間。例如,該 含矽前驅物可通入反應室中並持續約〇 · 1秒至約1 2 0秒之 間的時間。 可利用功率介於約5 0瓦至約3 0 0 0瓦間以及頻率約為 13.56百萬赫或3 50仟赫的射頻功率來提供在步驟620中 的電漿。該射頻功率可施加於反應室的一噴頭(即,氣體分 配組件)以及/或基板支撐件。該喷頭與基板支撐件之間的 間距大於約2 3 0密耳,例如約介於3 5 0密耳至約8 0 0密耳 之間。 19Returning to step 620, the ruthenium containing precursor can be introduced into the reaction chamber at a flow rate of from about 5 seem to about 1000 seem. An optional carrier gas, such as helium, can be introduced into the reaction chamber at a flow rate of from about 10 s c c m to about 20,000 seem. When a ruthenium-containing precursor is introduced into the reaction chamber to deposit a film layer, the flow rate of the ruthenium-containing precursor (such as octadecylcyclotetraoxane) introduced into the reaction chamber is about the same as the flow rate of the carrier gas (such as ruthenium). 1 : 1 or higher, for example between about 1:1 and about 1:10. The reaction chamber pressure can be greater than about 5 mTorr, such as between about 1.8 Torr and about 10 Torr, and the substrate support temperature in the reaction chamber can be between about 125 ° C and about 580 QC. Preferably, the temperature is below about 50,000 °C. The Daylight-containing precursor can be passed into the reaction chamber for a period of time sufficient to deposit a film having a thickness of between about 5 angstroms and about 2,000 angstroms. For example, the ruthenium containing precursor can be passed into the reaction chamber for a period of time ranging from about 1 second to about 120 seconds. The plasma in step 620 can be provided with an RF power having a power between about 50 watts and about 30,000 watts and a frequency of about 13.56 megahertz or 3 50 kHz. The RF power can be applied to a showerhead (i.e., gas distribution assembly) and/or substrate support of the reaction chamber. The spacing between the showerhead and the substrate support is greater than about 260 mils, such as between about 305 mils to about 850 mils. 19

200816310 隨後’終止通入反應室中的含矽前驅物流以及 率,並且在步驟630中導入一含氧氣體以清除掉任 在反應室中的含氣前驅物,該含氧氣體例如氧氣、 氮或其組合物。該含氧氣體可以介於1〇〇 sccm至約 seem的流速通入反應室中。並且該含氧氣體可持續 應室中一段時間,例如介於〇 ·丨秒至6〇秒之間。當 體通入反應室中時,反應室壓力可介於約5毫托3 托之間,以及反應室中基板支撐件的溫度可介於約 至約5 8 0 °C之間。 待清洗過反應室之後,如步驟6 4 〇所述般,— 中執行氧電漿處理,以處理該含矽前驅物沉積在」 膜層。可以介於1〇〇 sccm至2〇〇〇〇 sccm之間的; 氧氣體導入反應室中。含氧氣體可持續通入反應, 時間,例如介於約〇.丨秒至約丨2〇秒之間。可在』 施加介於約50瓦至3〇〇〇瓦以及約13·56百萬赫2 仟赫的射頻功率來提供該氧氣電漿。當含氧氣體、; 至時,反應室壓力可介於5毫托至約丨〇托之間, 中的基板支撐件溫度可介於約l25t:至約58〇^之 可藉著中斷RF功率以及通入反應室中的含^ 來停止氧電漿處理。可選擇性地,於厚度測定步; 分析或估計已沉積之介電層的厚度。若所沉積2 ^ 層薄膜的厚度等於或大於一所欲的指定厚度時 6〇〇完成並止於該結束步驟66〇。在結束步驟 接受額外的處理並從反應室中移出。若厚度不等; 射頻功 何殘留 氧化亞 20000 通入反 含氧氣 L約 10 125〇C 反應室 板上的 速將含 中一段 應室中 / 或 350 入反應 反應室 I ° 氣體流 650中 層或多 則製程 ,基板 或不大 20 200816310 於所欲的指定厚度時’則在重複製程655中,重複執行沉 積步驟620與電漿處理步驟630。可令該厚度測定步驟65〇 與該重複製程655反覆執行數次,直到獲得所欲的膜層厚 度為止,例如可重複執行約1次至6次。 若膜層厚度不等於或不大於所欵的指定厚度,則繼續 將含石夕前驅物氣流通入反應室中以沉積一額外量的介電 - 層。隨後,清洗該反應室並執行如上所述的氧電漿處理。 .f) 可執行多次的沉積、清洗以及電漿處理循環,直到獲得所 欲的介電層厚度為止。 使用OMCTS作為含石夕前驅物與氦氣來執行類似於第 6圖實施例的實驗性製程測試。將祺層沉積速率以及所形 成之膜層中的甲基··氧基的比例對電漿功率做圖。當使用 1至4〇〇瓦的電漿功率進行實驗,沉積速率在電漿功率約 3〇〇瓦的時候達到穩定期(plateau),以及該甲基:氧基的 比例則在電漿功率約400瓦的時候達到最低。 測試氦氣與〇 M C T S的數種組合,以決定出其用來沉 ί / 積介電層的最佳比例。當做為額外氣體的氦氣是做為含矽 前驅物之OMCTS的兩倍時,會得到具有最大厚度的膜層。 - 此外,使用OMCTS所沉積之膜層、使用OMCTS與氧氣電 , 漿在90毫托下所沉積之膜層、以及使用〇MCTS和氧氣電 漿在 2托下所沉積之膜層的掃描式電子顯微鏡照片顯示 出’在三種膜層之中,以利用OMCTS和氧氣電漿在2托 下所沉積的膜層能提供最佳的圖案負載效應與階梯覆蓋效 果。 21 200816310 比較氧化亞氮與氧氣於氧電漿處理步驟640中的使用 效果。利用氧化亞氮電漿所沉積之膜層以及利用氧化亞氮 電漿所沉積之膜層的掃描式電子顯微鏡照面顯示出,該兩 種膜層之中,使用氧氣電漿所沉積出來的膜層具有較佳圖 案負載效應與階梯覆蓋效果。 在一態樣中,第6圖的實施例是一種脈衝膜層沉積製 程(PLD),也就是數次的含矽前驅物脈衝之間穿插以氧電 漿處理,以提供連續數層的沉積薄膜,而這些薄膜形成一 完整的膜層。第7圖顯示在執行多次上述的沉積與電漿循 環的介電層沉積過程中,膜層厚度對沉積時間或循環次數 做圖的圖形。圖中標示出執行電漿處理的時間點。第7圖 顯示出一不含電漿處理的類似製程,該不含電漿處理的製 程中具有數個沉積速率明顯下降的時間區段,同時本發明 所提供的製程則沒有會出現此種沉積速率明顯下降的時間 區段。第8圖顯示,該不含電漿處理之類似製程中每一個 有電漿存在下將含矽前驅物(OMCTS)通入反應室之時間區 段内(第8圖中的電漿浸潤時間,plasma soak time)所沉積 的膜層厚度,會小於根據本發明實施例之具有電漿處理的 製程中每一個有電漿存在下將含矽前驅物通入反應室之時 間區段内所沉積的膜層厚度。並注意到根據本發明製程所 得到的該沉積速率比原子層沉積(ALD)製程的沉積速率要 高出將近1 〇倍。此外,本文中所敘述的製程方法可在傳統 化學氣相沉積反應室中執行,例如PRODUCER PECVD™反 應室。 22 200816310 曾經觀察到沉積膜層中出現甲基(-CH3)的話可能會阻 礙進一步(後續)的沉積作用,而氧電漿處理被認為能夠藉 著移除留在沉積膜層中的甲基來提高沉積速率。如果沉積 膜層中的甲基基團並不是很多的話,那麼氧電漿處理能將 甲基取代成羥基(-OH),羥基可在另一層含氣前驅物的黏附 過程中作為成核位置(nucleati〇I1 sites)。第9圖顯示在由八 甲基環四矽氧烷(OMCTS)所沉積之膜層上執行一氧電漿處 理的作用效果。為了圖示簡單清楚,圖中僅顯示該膜層中 的一個OMCTS分子。在第9圖的步驟902中,當有電聚 存在時’OMCTS會與含有Si-OH鍵的基板反應。電漿會產 生出OMCTS自由基。隨後如步驟904所示,OMCTS自由 基連接至基板的經基。在步驟906中,含有氧氣的氧電漿 處理將已沉積之OMCTS層中的甲基替換成羥基。 發現到當使用一自我飽和前驅物(self_saturating precursor)作為沉積膜層的前驅物,並使用如上所述的射頻 功率大小、間距、壓力以及流速比例時,能夠可靠地沉積 出厚度約介於3埃至25埃之間的均勻介電薄層。利用本文 中所提供的製程條件可得到在3 0 0毫米之單一基板内厚度 變化幅度約1埃的膜層。如本文中所定義者,「自我飽和前 驅物(self-saturating precursor)」係指一種能夠在基板上沉 積出一薄層的前驅物,例如可在基板上沉積出一分子層 者。遠薄介電層的存在會阻礙來自該前驅物之介電材料在 沉積該薄層之製程條件下形成額外膜層的進一步沉積作 用。OMCTS是較佳的自我飽和前驅物,因為omcts含有 23 200816310200816310 then 'terminates the ruthenium-containing precursor stream and the rate of introduction into the reaction chamber, and introduces an oxygen-containing gas in step 630 to remove the gas-containing precursor contained in the reaction chamber, such as oxygen, nitrogen or Its composition. The oxygen-containing gas can be passed into the reaction chamber at a flow rate from 1 〇〇 sccm to about seem. And the oxygen-containing gas can be maintained in the chamber for a period of time, for example, between 〇·丨 seconds and 6 〇 seconds. The chamber pressure may be between about 5 mTorr and 3 Torr when the body is passed into the reaction chamber, and the substrate support temperature in the reaction chamber may be between about 850 °C. After the reaction chamber has been cleaned, as described in step 6 4, an oxygen plasma treatment is performed to treat the deposition of the germanium-containing precursor on the "film layer." It can be between 1 〇〇 sccm and 2 〇〇〇〇 sccm; oxygen gas is introduced into the reaction chamber. The oxygen-containing gas can be continuously introduced into the reaction for a period of time, for example, between about 丨.丨 seconds to about 〇2 〇 seconds. The oxygen plasma can be provided by applying RF power between about 50 watts to 3 watts and about 13.56 megahertz 2 kHz. When the oxygen-containing gas is used, the reaction chamber pressure may be between 5 mTorr and about 丨〇, and the substrate support temperature may be between about 15 25 Torr: about 58 〇 ^ by interrupting the RF power. And the inclusion of ^ in the reaction chamber to stop the oxygen plasma treatment. Optionally, the thickness measurement step is performed; the thickness of the deposited dielectric layer is analyzed or estimated. If the thickness of the deposited 2^ film is equal to or greater than a desired thickness, 6〇〇 is completed and ends at the end step 66〇. At the end step, additional treatment is received and removed from the reaction chamber. If the thickness is not equal; RF power residue oxidized sub-20000 into the anti-oxygen L about 10 125 〇C The speed of the reaction chamber plate will contain the middle section of the chamber / or 350 into the reaction chamber I ° gas stream 650 middle layer or Multiple Processes, Substrate or Not Large 20 200816310 At the specified thickness as desired, then in the re-copying process 655, the deposition step 620 and the plasma processing step 630 are repeated. The thickness measuring step 65A and the repetitive copying step 655 may be repeated several times until the desired film thickness is obtained, for example, about 1 to 6 times. If the thickness of the film is not equal to or greater than the specified thickness of the crucible, then the gas stream containing the Zeiss precursor is continuously passed into the reaction chamber to deposit an additional amount of dielectric-layer. Subsequently, the reaction chamber was washed and the oxygen plasma treatment as described above was performed. .f) Multiple deposition, cleaning, and plasma processing cycles can be performed until the desired thickness of the dielectric layer is obtained. An experimental process test similar to the embodiment of Fig. 6 was performed using OMCTS as a precursor containing stellite and helium. The deposition rate of the ruthenium layer and the ratio of methyl ketone in the formed film layer are plotted against the plasma power. When experimenting with a plasma power of 1 to 4 watts, the deposition rate reaches a plateau at a plasma power of about 3 watts, and the ratio of the methyl:oxy group is about the plasma power. The minimum is reached at 400 watts. Several combinations of helium and 〇 M C T S were tested to determine the optimum ratio for the sink/dielectric layer. When the helium gas as an additional gas is twice as large as the OMCTS containing the ruthenium precursor, the film layer having the largest thickness is obtained. - In addition, using the film deposited by OMCTS, using OMCTS and oxygen, the film deposited at 90 mTorr, and the scanning electrons deposited using 〇MCTS and oxygen plasma at 2 Torr Micrographs show that the film deposited in 2 layers with OMCTS and oxygen plasma at 2 Torr provides optimum pattern loading and step coverage. 21 200816310 Compare the effects of nitrous oxide and oxygen in oxygen plasma treatment step 640. The scanning electron microscopy of the film deposited by the nitrous oxide plasma and the film deposited by the nitrous oxide plasma shows that the film deposited by the oxygen plasma is used among the two layers. It has better pattern loading effect and step coverage effect. In one aspect, the embodiment of Figure 6 is a pulsed film deposition process (PLD), that is, several times a pulse of a ruthenium-containing precursor is interspersed with oxygen plasma to provide a continuous number of layers of deposited film. And these films form a complete film layer. Fig. 7 is a graph showing the thickness of the film layer versus the deposition time or the number of cycles in the dielectric layer deposition process in which the above deposition and plasma cycles are performed a plurality of times. The time point at which the plasma treatment is performed is indicated in the figure. Figure 7 shows a similar process without plasma treatment. The plasma-free process has several time segments with significantly reduced deposition rates, while the process provided by the present invention does not exhibit such deposition. The time period in which the rate drops significantly. Figure 8 shows the plasma infiltration time in Figure 8 for the passage of the cerium-containing precursor (OMCTS) into the reaction chamber in the presence of plasma in each of the similar processes without plasma treatment. Plasma soak time) the thickness of the deposited film layer is less than that deposited in the time zone in which the cerium-containing precursor is introduced into the reaction chamber in the presence of plasma in the plasma-treated process according to an embodiment of the present invention. Film thickness. It is also noted that the deposition rate obtained by the process according to the present invention is nearly 1 time higher than the deposition rate of the atomic layer deposition (ALD) process. In addition, the process methods described herein can be performed in conventional chemical vapor deposition reaction chambers, such as the PRODUCER PECVDTM reaction chamber. 22 200816310 It has been observed that the presence of methyl (-CH3) in the deposited film may hinder further (subsequent) deposition, which is believed to be able to remove the methyl groups remaining in the deposited film layer. Increase the deposition rate. If the methyl groups in the deposited film layer are not many, the oxygen plasma treatment can replace the methyl group with a hydroxyl group (-OH), and the hydroxyl group can serve as a nucleation site in the adhesion process of another gas-containing precursor ( Nucleati〇I1 sites). Fig. 9 shows the effect of performing an oxygen plasma treatment on a film layer deposited from octamethylcyclotetraoxane (OMCTS). For simplicity and clarity of illustration, only one OMCTS molecule in the film layer is shown. In step 902 of Figure 9, the 'OMCTS will react with the substrate containing the Si-OH bond when electropolymerization is present. Plasma will produce OMCTS free radicals. The OMCTS free radical is then attached to the meridian of the substrate as shown in step 904. In step 906, an oxygen plasma treatment containing oxygen replaces the methyl group in the deposited OMCTS layer with a hydroxyl group. It was found that when a self-saturating precursor is used as a precursor to the deposited film layer and the RF power size, pitch, pressure, and flow rate ratio as described above are used, the thickness can be reliably deposited to be about 3 angstroms. A uniform dielectric thin layer of up to 25 angstroms. Using the process conditions provided herein, a film having a thickness variation of about 1 angstrom in a single substrate of 300 mm can be obtained. As defined herein, "self-saturating precursor" refers to a precursor capable of depositing a thin layer on a substrate, such as a layer of molecules deposited on a substrate. The presence of a very thin dielectric layer can prevent further deposition of additional film layers from the dielectric material of the precursor under the process conditions of depositing the thin layer. OMCTS is a preferred self-saturation precursor because omcts contain 23 200816310

大量的 話說, 而已沉 沉積作 止,從 能夠良 提高最 由 電漿增 6-9圖 載效應 甲基而 由於下 積之膜 用,直 而能夠 好地控 終膜層 掃描式 強化學 的製程 使其能產生膜層的自我飽和沉積作用。換句 方基板的表面能盡快地覆滿OMCTS分子, 層表面上的Si-CHs鍵會實質阻礙進一步的 到藉由上述氧電漿處理來移除部份的甲基為 由OMCTS沉積出一第—共形琪層。因此, 制著每I OMCT…層#沉積㈣,從而 的階梯覆蓋效果。 電子顯微鏡的影像可證實,彳目較於利用傳統 氣相沉積製程所沉積出的膜層而言,根據第 方法能提供改善的階梯覆蓋與較低的圖案負 測量多個具有高特徵密度(密集區)與低特徵密度區域 (疏離區)之已圖案化基板中位在特徵側面、底面與頂面上 根據本發明實施例所沉積之氧化物 區中達到75%的側面/頂面覆蓋(sid 疏離區中達到8 〇。/〇的側面/頂面覆蓋 層的沉積情形。在密集 ewall/top coverage),而 。在密集區中達到8 5 % ϋ 的底面/頂面覆蓋(b〇ttom/top coverage),以及在疏離區中 達到9 5 /〇的底面/頂面覆蓋。特徵頂面處所觀察到的圖案負 载效應(PLE)為〇%,以及在特徵側面與底面處所觀察到的 圖案負載效應僅有1〇%。在一範例中,一氧化層沉積在深 寬比為3.5之特徵中的頂面厚度為42〇埃。該膜層在側面 上的厚度為275埃,以及其在底面上的厚度為345埃。因 此,側面/頂面的階梯覆蓋為66%,底面/頂面的階梯覆蓋 為83%,以及側面/底面的階梯覆蓋為80%。在另一範例 24 200816310 中’-低介電常數的碳摻雜氧化層沉積在深寬比為h之 特徵中的頂面厚度4 340埃。該層在側面上的厚度為⑴ 埃’以及該層在底面上的厚度為21G埃。因此,側面/頂面 的階梯覆蓋為35%,底面/頂面的階梯覆蓋為㈣,以及侧. 面/底面的階梯覆蓋為58%。 雖然主要是以使用OMCTS做為含矽前驅物來沉積氧 化矽膜層或摻雜碳的氧化矽膜層為範例來討論上述實施例 與結果,然而亦可使用其他的含矽前驅物。也可使用其他 包含矽.氧(Si-O)或矽·氮(Si_N)骨架以及一或多個鍵結於 矽原子上之烷基的含矽前驅物。此外,可使用其他的電漿 處理來形成他種膜層。例如,可如下第1〇與u圖所述般, 使用含石夕前驅物來沉積一膜層並以一氮氣電漿來處理該膜 層,已形成一共形的氮化矽層(SiN)。 第1 〇圖為沉積製程10 0 〇之實施例的流程圖。起始步 驟610、膜厚測定步驟650、重複步驟655以及結束步驟 ό 6 0係如上第6圖所述般。在沉積步驟1 〇 1 〇中,將一含石夕 前驅物導入該反應室中。含矽前驅物可包含八甲基環四矽 氧院(octamethylcyclotetrasiloxane,0MCTS)、甲基二乙氧 基石夕烧(methyldiethoxysilane,MDE0S)、雙(第三丁基胺基) 石夕烧(bis(tertiary-butylamino)silane,BTBAS)、三(二曱基 胺基石夕烧)(11^<111]16111)^1&111111〇311&1^,丁14〇]^1八8),三-二甲基 胺基石夕院(trisdimethylaminosilane,TrisDMAS)、石夕院 (silane)、二石夕烧(disilane)、二氯石夕烧(dichlorosilane)、三 氯石夕烧(trichlorosilane)、二漠石夕院(dibromosilane)、四氯 25 200816310 化石夕(silicon tetrachloride)、四漠化石夕(silicon tetrabromide) 或上述含碎化合物之組合物。矽烷為用於沉積製程1000 中的較佳前驅物。沉積步驟1 〇丨〇可執行約2至5秒。接著 在步驟1 020中’將氮氣導入反應室中以清洗反應室。隨 後’在步驟1030中,使用氨氣用以在該反應室中提供電 漿。接續進行步驟1 040 ’執行另一次氮氣清洗步驟。從步 驟1 0 1 0至6 5 0的一次循環時間約為每循環6 〇秒,並且沉 機速率約為每循環2埃。製程1 000提供共形覆蓋,並且清 洗效率(即,在氨氣電漿之前,清洗步驟移除含氣前驅物的 效率)能控制共形覆蓋性。 第1 1圖為沉積製程i丨〇〇之另一實施例的流程圖。其 起始步驟610、膜厚測定步驟65〇、重複步驟655以及結束 步驟660係如上所述。在沉積步驟⑴",將一含矽前驅 物V入該反應至中。在含矽前驅物步驟mo之後可執行 選用1±的氮氣’月洗步驟(未示出)。接著在電漿步驟"2〇 中’將—含氮前驅物的電製導入反應室中。含氣前驅物可In a large amount of words, the sedimentation has been stopped, and it is possible to improve the process of scanning the strong chemistry of the final film layer by directly increasing the effect of the methyl group by 6-9. It enables the self-saturated deposition of the film layer. In other words, the surface of the substrate can cover the OMCTS molecules as soon as possible, and the Si-CHs bond on the surface of the layer will substantially hinder further removal of a part of the methyl group by the above-mentioned oxygen plasma treatment for deposition by OMCTS. - Conformal layer. Therefore, each I OMCT...layer #deposition (four) is fabricated, thereby providing a step coverage effect. The electron microscopy image confirms that the film can provide improved step coverage and lower pattern negative measurement according to the first method than the film deposited by the conventional vapor deposition process. 7) Side/top coverage of the patterned substrate in the low-density-density region (the alienation region) on the feature side, bottom surface and top surface in accordance with embodiments of the present invention. In the alienation zone up to 8 〇. / 〇 side / top cover deposition. In dense ewall / top coverage). A bottom surface/top coverage of 8 5 % ϋ in the dense zone and a bottom/top coverage of 9 5 /〇 in the alienation zone. The pattern load effect (PLE) observed at the top surface of the feature is 〇%, and the pattern loading effect observed at the side and bottom of the feature is only 1%. In one example, the top surface of the oxide layer deposited in a feature having an aspect ratio of 3.5 has a thickness of 42 Å. The film had a thickness of 275 angstroms on the side and a thickness of 345 angstroms on the bottom surface. Therefore, the step coverage of the side/top surface is 66%, the step coverage of the bottom/top surface is 83%, and the step coverage of the side/bottom surface is 80%. In another example, 24 200816310, the '-low dielectric constant carbon-doped oxide layer is deposited at a top surface thickness of 4 340 angstroms in the aspect of h. The layer has a thickness of (1) angstroms on the side and a thickness of 21 angstroms on the bottom surface of the layer. Therefore, the step coverage of the side/top surface is 35%, the step coverage of the bottom/top surface is (4), and the step coverage of the side/bottom surface is 58%. Although the above examples and results are mainly discussed by using OMCTS as a ruthenium-containing precursor to deposit a ruthenium oxide film layer or a carbon-doped ruthenium oxide film layer, other ruthenium-containing precursors may be used. Other ruthenium-containing precursors comprising a ruthenium (Si-O) or ruthenium (Si_N) backbone and one or more alkyl groups bonded to a ruthenium atom can also be used. In addition, other plasma treatments can be used to form the other film layers. For example, a film comprising a Zeolite precursor can be deposited and treated with a nitrogen plasma as described in the first and second figures, and a conformal tantalum nitride layer (SiN) has been formed. Figure 1 is a flow diagram of an embodiment of a deposition process 100 。. The initial step 610, the film thickness measuring step 650, the repeating step 655, and the ending step ό 60 are as described in Fig. 6 above. In the deposition step 1 〇 1 ,, a shi-containing precursor is introduced into the reaction chamber. The cerium-containing precursor may comprise octamethylcyclotetrasiloxane (0MCTS), methyldiethoxysilane (MDEOS), bis(t-butylamino) bis (tertiary) -butylamino)silane, BTBAS), tris(didecylamine sulphate) (11^<111]16111)^1&111111〇311&1^, Ding 14〇]^1 8 8), three-two Tris DMA, TrisDMAS, silane, disilane, dichlorosilane, trichlorosilane, Er Mo Shi Xi Yuan (dibromosilane), tetrachloro 25 200816310 Silicon tetrachloride, silicon tetrabromide or a composition containing the above-mentioned compound. Decane is a preferred precursor for use in deposition process 1000. The deposition step 1 〇丨〇 can be performed for about 2 to 5 seconds. Next, in step 1 020, nitrogen is introduced into the reaction chamber to clean the reaction chamber. Subsequently, in step 1030, ammonia gas is used to provide a plasma in the reaction chamber. Continue with step 1 040 ' to perform another nitrogen purge step. The cycle time from step 1 0 1 0 to 6 5 0 is approximately 6 每 seconds per cycle, and the sink rate is approximately 2 angstroms per cycle. Process 1000 provides conformal coverage and the cleaning efficiency (i.e., the efficiency of the gas-containing precursor removal prior to the ammonia plasma) controls the conformal coverage. Figure 11 is a flow diagram of another embodiment of a deposition process. The initial step 610, the film thickness measuring step 65, the repeating step 655, and the ending step 660 are as described above. In the deposition step (1) ", a ruthenium-containing precursor V is introduced into the reaction. A 1 ± nitrogen 'month wash step (not shown) may be employed after the ruthenium-containing precursor step mo. The electroforming of the nitrogen-containing precursor is then introduced into the reaction chamber in the plasma step "2". Gas-containing precursor

C J 包含氮、氨或氧化亞氡。每日 ^ ^ 乳疋較佳的含氮前驅物。以沉積 , 來°兒,從步驟1 11 0至步驟ό 5 0的一次循環時間 約為3〇秒。沉積速率約為每循環3.5埃。 根據本發明實施例所 ^ ^ 例所^供的共形膜層可做為半導體裝C J contains nitrogen, ammonia or arsenic oxide. Daily ^ ^ Preferred Nitrogen Precursor for Milk Thistle. With deposition, the cycle time from step 1 11 0 to step 0 5 0 is about 3 sec. The deposition rate is approximately 3.5 angstroms per cycle. The conformal film layer provided by the example according to the embodiment of the present invention can be used as a semiconductor package

置中的不同臈層。舉例 A 二少 牛例而S ’該等膜層可作為該些先沉積 而後餘柯以在雷S牌Μ把 .^ θ曰體問極堆疊層周圍形成間隙幣的膜層, 或者該等膜層可做為阻障層。 上述製程的優點是該|製程可生產出具有較佳階梯覆 26 200816310 蓋性與較低圖案負載效應的膜層。並且可在同一個反 中執行多個製程步驟循環,從而比該些需要多個反應 製程需要更短的製程時間。並且總熱預算與個別基板 温度也比該些不使用電漿之製程的熱預算及溫度要低 雖然上述内容已說明本發明多個實施例,然而在 離本發明基本範圍的情況下,仍可設計出其他與更盡 的本發明實施例,並且本發明的範圍當由後附申請專 圍所界定。 【圖式簡單說明】 為了能夠詳細了解本發明上述特徵,可參照部分 於附圖中的實施例來閱讀整理於上方的本發明進一步 内容。需明白的是,所附圖式所繪示的僅是本發明的 性實施例,因此不應用來限定本發明範圍,本發明亦 其他的等效實施例。 第1圖為一沉積製程實施例的流程圖; 第2圖為沉積製程又一實施例的流程圖; 第3 A圖為根據習知技術沉積在一基板特徵上的 層輪廓示意圖; 第3 B圖為根據本發明實施例而沉積在一基板特 的介電層輪廓示意圖; 第4圖係一圖表,其顯示根據本發明實施例在不 層蝕除厚度下,在基板特徵密集區與疏離區中所獲得 徵底部厚度; 應室 室的 製程 〇 不偏 一部 利範 繪示 敘述 代表 允許 介電 徵上 同膜 的特 27 200816310 第5圖係一圖表,其顯示根據本發明實施例在不同膜 層餘除厚度下’所獲得的底部圖案負載效應(pattern loading effect); 第6圖顯示一沉積製程實施例的流程圖; 第7圖為一圖表,其顯示根據本發明實施例執行一沉 積製程過程中的膜層厚度;Different layers of the center. For example, A two less cattle and S 'these layers can be used as the first deposition, and then Yu Ke to form a film of gap coins around the stack of layers of the θ 曰 曰 body, or such films The layer can be used as a barrier layer. An advantage of the above process is that the process can produce a film layer having a better coverage and a lower pattern loading effect. And multiple process step cycles can be performed in the same inverse, requiring shorter process times than requiring multiple reaction processes. And the total thermal budget and individual substrate temperatures are also lower than the thermal budget and temperature of the processes that do not use the plasma. Although the foregoing has described various embodiments of the present invention, it is still within the basic scope of the present invention. Other and more embodiments of the invention are devised and the scope of the invention is defined by the appended claims. BRIEF DESCRIPTION OF THE DRAWINGS In order to understand the above-described features of the present invention in detail, reference may be made to the further embodiments of It is to be understood that the appended claims are not intended to 1 is a flow chart of a deposition process embodiment; FIG. 2 is a flow chart of another embodiment of a deposition process; FIG. 3A is a schematic diagram of a layer profile deposited on a substrate feature according to a conventional technique; BRIEF DESCRIPTION OF THE DRAWINGS FIG. 4 is a schematic view showing the outline of a dielectric layer deposited on a substrate according to an embodiment of the present invention; FIG. 4 is a diagram showing a feature dense area and an alienation area in a substrate without etching thickness according to an embodiment of the present invention. The thickness of the bottom portion obtained in the chamber; the process of the chamber is not described as a representative representation of the dielectric layer that allows the dielectric sign to be on the same film. 2008/0710, Fig. 5 is a diagram showing the different layers in accordance with an embodiment of the present invention. The remaining pattern loading effect is obtained by subtracting the thickness; FIG. 6 is a flow chart showing a deposition process embodiment; and FIG. 7 is a diagram showing the execution of a deposition process in accordance with an embodiment of the present invention. Thickness of the film layer;

第8圖顯示根據本發明實施例在一基板上沉積一膜層 時,該膜層厚度對該基板暴露在前驅物中的時間做圖的圖 表; 第9圖為根據本發明實施例在基板上的膜層沉積反應 不意圖; 第1 0圖顯示沉積製程另一實施例的流程圖; 第11圖顯示沉積製程又一實施例的流程圖。 【主要元件符號說明】 1 0 0製程 11 0開始 120沉積介電薄層 130電漿處理 140測定厚度是否大於等於χ 150重複製程 160結束 200製程 202將其表面上具有至少一已形成特徵的基板放置於反應室中 204 沉積一介電層在該基板上 206以一由氧氣或含鹵素氣體形成的電漿來蝕刻該介電層 208結束 28 200816310Figure 8 is a graph showing the time at which a film layer is deposited on a substrate in a precursor when a film is deposited on a substrate according to an embodiment of the present invention; Figure 9 is a diagram of a substrate according to an embodiment of the present invention. The film deposition reaction is not intended; FIG. 10 shows a flow chart of another embodiment of the deposition process; and FIG. 11 shows a flow chart of still another embodiment of the deposition process. [Main component symbol description] 1 0 0 process 11 0 start 120 deposition dielectric thin layer 130 plasma processing 140 to determine whether the thickness is greater than or equal to χ 150 weight reproduction process 160 end 200 process 202 to have a substrate having at least one formed feature on its surface Placed in the reaction chamber 204 to deposit a dielectric layer on the substrate 206 to etch the dielectric layer 208 with a plasma formed of oxygen or a halogen-containing gas. 28 200816310

2 1 0重複 3 04特徵 3 08頂面 312底面 600製程 620含矽前驅物與電漿 640氧電漿處理 655重複製程 1 000製程 1 020氮氣清洗 1 040氮氣清洗 1 11 0含矽前驅物 302介電層 306基板 3 1 0側面 320介電層 610開始 6 3 0氧氣清洗 6 5 0測定厚度是否大於等於X 660結束 1 0 1 0含矽前驅物 1 030氨氣電漿 1 100製程 1120具有含氮前驅物的電漿 292 1 0 Repeat 3 04 Feature 3 08 Top surface 312 Bottom surface 600 Process 620 矽 Precursor and plasma 640 Oxygen plasma treatment 655 Heavy replication process 1 000 Process 1 020 Nitrogen cleaning 1 040 Nitrogen cleaning 1 11 0 矽 Precursor 302 Dielectric layer 306 substrate 3 1 0 side 320 dielectric layer 610 starts 6 3 0 oxygen cleaning 6 5 0 determines whether the thickness is greater than or equal to X 660 end 1 0 1 0 矽 precursors 1 030 ammonia gas plasma 1 100 process 1120 has Plasma containing nitrogen precursors 29

Claims (1)

200816310 十、申請專利範圍: 1. 一種於一反應室中在一已圖案化基板上形成一膜層的 方法,該方法包括: 使該已圖案化基板暴露於一電漿中的一含矽前驅物, 以沉積一膜層在該已圖案化基板上; 待該膜層沉積後,使用由一含氧氣體所形成的電漿來 處理該薄膜;以及 重覆執行該暴露步驟與該處理步驟直到獲得所欲的膜 層厚度。 2. 如申請專利範圍第1項所述之方法,其中該膜層是一氧 化矽層或一摻雜碳的氧化矽層。 3. 如申請專利範圍第1項所述之方法,其中該含矽前驅物 包括一或多個鍵結於矽上的烷基。 4. 如申請專利範圍第1項所述之方法,其中該含氧氣體包 括氧氣、氧化亞氮(nitrous oxide)或其組合物。 5 .如申請專利範圍第1項所述之方法,其中該含矽前驅物 包含0MCTS,以及該含氧氣體包含氧氣。 6.如申請專利範圍第1項所述之方法,其中該使用由一含 30 200816310 氧氣體所形成之電漿來處理該膜層的步驟包括從該已沉積 膜層移除多個甲基。 7.如申請專利範圍第6項所述之方法,其中該使用由一含 氧氣體所形成之電漿來處理該膜層的步驟更包括增加多個 羥基至該已沉積膜層。200816310 X. Patent Application Range: 1. A method for forming a film layer on a patterned substrate in a reaction chamber, the method comprising: exposing the patterned substrate to a germanium-containing precursor in a plasma Depositing a film on the patterned substrate; after depositing the film layer, treating the film with a plasma formed of an oxygen-containing gas; and repeating the exposing step and the processing step until The desired film thickness is obtained. 2. The method of claim 1, wherein the film layer is a cerium oxide layer or a carbon-doped cerium oxide layer. 3. The method of claim 1, wherein the ruthenium-containing precursor comprises one or more alkyl groups bonded to the oxime. 4. The method of claim 1, wherein the oxygen-containing gas comprises oxygen, nitrous oxide, or a combination thereof. 5. The method of claim 1, wherein the cerium-containing precursor comprises 0MCTS, and the oxygen-containing gas comprises oxygen. 6. The method of claim 1, wherein the step of treating the film with a plasma formed from an oxygen gas comprising 30 200816310 comprises removing a plurality of methyl groups from the deposited film layer. 7. The method of claim 6 wherein the step of treating the film with a plasma formed from an oxygen-containing gas further comprises adding a plurality of hydroxyl groups to the deposited film layer. 8.如申請專利範圍第1項所述之方法,更包括在獲得所欲 的膜層厚度之後,蝕刻該膜層以在一閘極堆疊層周圍形成 一間隙壁。 9. 一種於一反應室中在一已圖案化基板上形成一膜層的 方法,該方法包括: 使該已圖案化基板暴露於一電漿中的一含矽前驅物, 以沉積一膜層在該已圖案化基板上; 待該膜層沉積後,使用由一含氮氣體所形成的電漿來 處理該薄膜;以及 重覆執行該暴露步驟與該處理步驟直到獲得所欲的膜 層厚度。 1 0.如申請專利範圍第9項所述之方法,其中該含矽前驅 物包含一矽-氮(Si-N)骨架與一或多個鍵結於矽上的烷基。 31 2008163108. The method of claim 1, further comprising etching the film layer to form a spacer around a gate stack layer after obtaining a desired film thickness. 9. A method of forming a film layer on a patterned substrate in a reaction chamber, the method comprising: exposing the patterned substrate to a germanium-containing precursor in a plasma to deposit a film layer On the patterned substrate; after the film layer is deposited, the film is treated with a plasma formed of a nitrogen-containing gas; and the exposing step and the treating step are repeated until the desired film thickness is obtained . The method of claim 9, wherein the ruthenium-containing precursor comprises a ruthenium-nitrogen (Si-N) skeleton and one or more alkyl groups bonded to the ruthenium. 31 200816310 11.如申請專利範圍第9項所述之方法,其中該含矽前驅 物是 選自於 由八甲 基環四 矽氧烷 (octamethy Icy clotetrasiloxane)、曱基二乙氧基石夕烧 (methyldi ethoxy si lane) ' 雙(第三丁基胺基)石夕烧 (bis(tertiary-butylamino)silane)、三-二甲基胺基石夕院 (tridimethyl amino si lane)、三-二甲基胺基石夕虎 (trisdimethylaminosilane)、石夕烧(silane)、二石夕燒 (disilane)、二氣石夕烧(diehiorosilane)、三氯石夕烧 (trichlorosilane)、二漠石夕烧(dibromosilane)、四氯化石夕 (silicon tetrachloride)以及四漠化石夕(silicon tetrabromide) 所構成之群組中。 12.如申請專利範圍第9項所述之方法,其中該膜層包含 碎與氮。 13· —種控制一基板上之一膜層的階梯覆蓋與圖案負載的 方法,該方法包括: 將一基板表面上具有至少一已形成特徵的基板放置於 一反應室中; 沉積一介電層於該基板上;以及 使用由一含氧或含齒素氣體所形成的電漿來蝕刻該介 電層,以在該至少一已形成特徵上提供具有一所欲輪廓的 該介電層,其中該含鹵素氣體選自於由氟、氯、溴及其組 32 200816310 合物所構成之群組中。 1 4 ·如申請專利範圍第1 3項所述之方法,其中該沉積 電層的步驟以及該利用一電漿來蝕刻該介電層的步驟 同一反應室中進行,或是在藉由一傳送室而相連的不 應室中進行。 1 5 ·如申請專利範圍第1 3項所述之方法,其中 該特徵包含一頂面、一側面與一底面’該介電層沉積 頂面上的厚度大於其沉積在該底面與側面上的厚度, 位在該頂面上之該介電層的蝕刻速率比在該底面與該 上的蝕刻速率要快。 1 6 ·如申請專利範圍第1 5項所述之方法,其中位在該 上之該介電層的蝕刻速率比位在該底面與側面上之該 層的蝕刻速率要高出至少1 0%。 1 7.如申請專利範圍第1 5項所述之方法,更包括重覆 該沉積一介電層的步驟以及該利用一電漿來蝕刻該介 的步驟,以在該至少一已形成特徵上提供具有一所欲 的該介電層。 1 8 ·如申請專利範圍第1 5項所述之方法,其中該介電 一介 係在 同反 在該 以及 側面 頂面 介電 執行 電層 輪廓 層是 3311. The method of claim 9, wherein the ruthenium-containing precursor is selected from the group consisting of octamethy Icy clotetrasiloxane, methyldi ethoxy Si lane) 'bis(tert-butylamino) silane, tridimethyl amino si lane, tri-dimethylamine Trisdimethylaminosilane, silane, disilane, diehiorosilane, trichlorosilane, dibromosilane, tetrachloride In the group consisting of silicon tetrachloride and silicon tetrabromide. 12. The method of claim 9, wherein the film layer comprises minced and nitrogen. 13. A method of controlling step coverage and pattern loading of a film layer on a substrate, the method comprising: placing a substrate having at least one formed feature on a surface of a substrate in a reaction chamber; depositing a dielectric layer On the substrate; and etching the dielectric layer using a plasma formed of an oxygen-containing or dentate-containing gas to provide the dielectric layer having a desired profile on the at least one formed feature, wherein The halogen-containing gas is selected from the group consisting of fluorine, chlorine, bromine and its group 32 200816310. The method of claim 13 wherein the step of depositing the electrical layer and the step of etching the dielectric layer using a plasma are performed in the same reaction chamber or by a transfer The room is connected to the refusal room. The method of claim 13 wherein the feature comprises a top surface, a side surface and a bottom surface. The thickness of the top surface of the dielectric layer is greater than the thickness deposited on the bottom surface and the side surface. The thickness of the dielectric layer on the top surface is faster than the etch rate on the bottom surface. The method of claim 15, wherein the dielectric layer on the dielectric layer has an etch rate that is at least 10% higher than an etch rate of the layer on the bottom surface and the side surface. . The method of claim 15, further comprising the step of repeating depositing a dielectric layer and the step of etching the dielectric using a plasma to form the at least one formed feature A dielectric layer having a desired thickness is provided. 1 8 The method of claim 15, wherein the dielectric layer is on the same side and the top surface of the dielectric layer is electrically patterned. 200816310 一氮化矽層,以及該電漿為三氟化氮(nf3)電漿。 19.如申請專利範圍第13項所述之方法,其中該 由該反應室中的射頻(RF)電源或一遠端電漿來源. 20.如申請專利範圍第19項所述之方法,其中該 用射頻功率所產生,該射頻功率包含一介於約 (kHz)至約1百萬赫(MHz)的單頻、一介於約1百 13.56百萬赫的單頻,或是一介於約100仟赫至 赫的第一頻率以及一介於約1百萬赫至約13.56 第二頻率。 電漿是藉 听產生。 電漿是利 1 0 0仟赫 萬赫至約 約1百萬 百萬赫的200816310 A layer of tantalum nitride, and the plasma is a nitrogen trifluoride (nf3) plasma. 19. The method of claim 13, wherein the method is the radio frequency (RF) power source or a remote plasma source in the reaction chamber. 20. The method of claim 19, wherein The RF power is generated by a single frequency of about (kHz) to about 1 megahertz (MHz), a single frequency of about one hundred and 13.56 megahertz, or one of about 100 仟. The first frequency of Hehehe and a second frequency of about 1 million Hz to about 13.56. Plasma is produced by lending. The plasma is from 1 000 Hz to about 1 million megahertz. 3434
TW096111415A 2006-03-31 2007-03-30 Method to improve the step coverage and pattern loading for dielectric films TWI424498B (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US78827906P 2006-03-31 2006-03-31
US79025406P 2006-04-07 2006-04-07
US11/668,911 US7601651B2 (en) 2006-03-31 2007-01-30 Method to improve the step coverage and pattern loading for dielectric films
US11/693,005 US7780865B2 (en) 2006-03-31 2007-03-29 Method to improve the step coverage and pattern loading for dielectric films

Publications (2)

Publication Number Publication Date
TW200816310A true TW200816310A (en) 2008-04-01
TWI424498B TWI424498B (en) 2014-01-21

Family

ID=38581763

Family Applications (2)

Application Number Title Priority Date Filing Date
TW102146174A TW201415551A (en) 2006-03-31 2007-03-30 Method to improve the step coverage and pattern loading for dielectric films
TW096111415A TWI424498B (en) 2006-03-31 2007-03-30 Method to improve the step coverage and pattern loading for dielectric films

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW102146174A TW201415551A (en) 2006-03-31 2007-03-30 Method to improve the step coverage and pattern loading for dielectric films

Country Status (4)

Country Link
KR (1) KR20080106984A (en)
CN (1) CN101416293B (en)
TW (2) TW201415551A (en)
WO (1) WO2007118026A2 (en)

Families Citing this family (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7935643B2 (en) * 2009-08-06 2011-05-03 Applied Materials, Inc. Stress management for tensile films
US8574447B2 (en) * 2010-03-31 2013-11-05 Lam Research Corporation Inorganic rapid alternating process for silicon etch
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US20130045605A1 (en) * 2011-08-18 2013-02-21 Applied Materials, Inc. Dry-etch for silicon-and-nitrogen-containing films
CN107342216B (en) * 2011-09-23 2022-05-31 诺发***公司 Plasma activated conformal dielectric film deposition
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
CN102820220A (en) * 2012-07-03 2012-12-12 上海华力微电子有限公司 Forming method of low-temperature silica film
CN102832119B (en) * 2012-07-03 2015-12-16 上海华力微电子有限公司 The formation method of low temperature silicon dioxide film
CN102768955A (en) * 2012-07-03 2012-11-07 上海华力微电子有限公司 Method for forming low-loading-effect thin film
US9355839B2 (en) 2012-10-23 2016-05-31 Lam Research Corporation Sub-saturated atomic layer deposition and conformal film deposition
SG2013083654A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
US20140131308A1 (en) * 2012-11-14 2014-05-15 Roman Gouk Pattern fortification for hdd bit patterned media pattern transfer
CN103390703B (en) * 2013-08-05 2016-08-17 聚灿光电科技股份有限公司 The preparation method of low-damage and high-density film and there is the LED chip of this film
CN104752315B (en) * 2013-12-25 2018-03-06 旺宏电子股份有限公司 Semiconductor element and its manufacture method
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
CN105322013B (en) 2014-07-17 2020-04-07 联华电子股份有限公司 Semiconductor device and method for forming the same
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
KR20160061129A (en) * 2014-11-21 2016-05-31 주식회사 원익아이피에스 Method of fabricating stacked film
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
KR102362534B1 (en) 2014-12-08 2022-02-15 주성엔지니어링(주) Substrate disposition method
US9508976B2 (en) 2015-01-09 2016-11-29 Applied Materials, Inc. Battery separator with dielectric coating
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
CN112599927B (en) * 2015-06-05 2023-01-13 应用材料公司 Battery separator with dielectric coating
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US20170178899A1 (en) * 2015-12-18 2017-06-22 Lam Research Corporation Directional deposition on patterned structures
CN107437503A (en) * 2016-05-26 2017-12-05 灿美工程股份有限公司 Substrate processing method using same
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
JP6817168B2 (en) 2017-08-25 2021-01-20 東京エレクトロン株式会社 How to process the object to be processed
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10910216B2 (en) 2017-11-28 2021-02-02 Taiwan Semiconductor Manufacturing Co., Ltd. Low-k dielectric and processes for forming same
WO2019245702A1 (en) * 2018-06-19 2019-12-26 Applied Materials, Inc. Pulsed plasma deposition etch step coverage improvement
CN110896050A (en) * 2018-09-12 2020-03-20 长鑫存储技术有限公司 Method for forming dielectric film
KR102513404B1 (en) * 2018-09-21 2023-03-27 주식회사 원익아이피에스 Method of forming SiCN layer
TW202229613A (en) * 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5641710A (en) * 1996-06-10 1997-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. Post tungsten etch back anneal, to improve aluminum step coverage
US6303523B2 (en) * 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6068884A (en) * 1998-04-28 2000-05-30 Silcon Valley Group Thermal Systems, Llc Method of making low κ dielectric inorganic/organic hybrid films
US6297163B1 (en) * 1998-09-30 2001-10-02 Lam Research Corporation Method of plasma etching dielectric materials
TW460408B (en) * 1999-04-20 2001-10-21 Applied Materials Inc Remote plasma nitridation of silicon
JP4554011B2 (en) * 1999-08-10 2010-09-29 ルネサスエレクトロニクス株式会社 Manufacturing method of semiconductor integrated circuit device
US6399208B1 (en) * 1999-10-07 2002-06-04 Advanced Technology Materials Inc. Source reagent composition and method for chemical vapor deposition formation or ZR/HF silicate gate dielectric thin films
US6410462B1 (en) * 2000-05-12 2002-06-25 Sharp Laboratories Of America, Inc. Method of making low-K carbon doped silicon oxide
TW447077B (en) * 2000-07-17 2001-07-21 Taiwan Semiconductor Mfg Method for improving the characteristics of dielectric layer with a low dielectric constant formed by chemical vapor deposition
TW563202B (en) * 2000-10-25 2003-11-21 Ibm An ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and an electronic device containing the same
US6531412B2 (en) * 2001-08-10 2003-03-11 International Business Machines Corporation Method for low temperature chemical vapor deposition of low-k films using selected cyclosiloxane and ozone gases for semiconductor applications
TW497140B (en) * 2001-10-09 2002-08-01 Taiwan Semiconductor Mfg Process system for plasma etching and chemical vapor deposition
AU2002343029A1 (en) * 2001-11-16 2003-06-10 Trikon Holdings Limited Forming low k dielectric layers
US6800566B2 (en) * 2002-02-21 2004-10-05 Taiwan Semiconductor Manufacturing Company Adjustment of N and K values in a DARC film
US7335609B2 (en) * 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
US6858542B2 (en) * 2003-01-17 2005-02-22 Freescale Semiconductor, Inc. Semiconductor fabrication method for making small features
US7037855B2 (en) * 2004-08-31 2006-05-02 Asm Japan K.K. Method of forming fluorine-doped low-dielectric-constant insulating film

Also Published As

Publication number Publication date
TWI424498B (en) 2014-01-21
CN101416293B (en) 2011-04-20
KR20080106984A (en) 2008-12-09
TW201415551A (en) 2014-04-16
CN101416293A (en) 2009-04-22
WO2007118026A2 (en) 2007-10-18
WO2007118026A3 (en) 2008-01-10

Similar Documents

Publication Publication Date Title
TW200816310A (en) Method to improve the step coverage and pattern loading for dielectric films
TWI804706B (en) Method of topology-selective film formation of silicon oxide
US7601651B2 (en) Method to improve the step coverage and pattern loading for dielectric films
US7780865B2 (en) Method to improve the step coverage and pattern loading for dielectric films
TW202111148A (en) Structures including dielectric layers,methods of forming the same and reactor system forperforming forming methods
CN110313051B (en) Densification of silicon carbide films using remote plasma treatment
JP2020065087A (en) Formation of SiOCN thin film
KR102012532B1 (en) Plasma activated conformal dielectric film deposition
TWI479044B (en) Boron film interface engineering
TWI621731B (en) Low temperature flowable curing for stress accommodation
JP4049214B2 (en) Insulating film forming method and insulating film forming apparatus
JP2014509081A (en) Densification after flattening
TW201007832A (en) Method for critical dimension shrink using conformal PECVD films
KR20050034566A (en) Method of manufacturing silicon carbide film
TW201142073A (en) Conformal layers by radical-component CVD
TW201231711A (en) Amine curing silicon-nitride-hydride films
TW201124553A (en) Oxygen-doping for non-carbon radical-component CVD films
TW201417179A (en) Low cost flowable dielectric films
TW201133623A (en) Post-planarization densification
TW201126010A (en) Curing non-carbon flowable CVD films
TW200811309A (en) Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
JP7487189B2 (en) Doped and undoped silicon carbide for gap filling and remote hydrogen plasma exposure.
TW201442148A (en) Controlled air gap formation
TW201822259A (en) Remote plasma based deposition of oxygen doped silicon carbide films
CN109922898B (en) Self-limiting cyclic etch process for carbon-based films