KR20070110910A - 기판 처리 장치 및 기판 탑재대 - Google Patents

기판 처리 장치 및 기판 탑재대 Download PDF

Info

Publication number
KR20070110910A
KR20070110910A KR1020077023028A KR20077023028A KR20070110910A KR 20070110910 A KR20070110910 A KR 20070110910A KR 1020077023028 A KR1020077023028 A KR 1020077023028A KR 20077023028 A KR20077023028 A KR 20077023028A KR 20070110910 A KR20070110910 A KR 20070110910A
Authority
KR
South Korea
Prior art keywords
substrate
susceptor
processing apparatus
wafer
temperature
Prior art date
Application number
KR1020077023028A
Other languages
English (en)
Inventor
세이시 무라카미
게이 오고세
Original Assignee
동경 엘렉트론 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 동경 엘렉트론 주식회사 filed Critical 동경 엘렉트론 주식회사
Publication of KR20070110910A publication Critical patent/KR20070110910A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/2001Maintaining constant desired temperature

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

프리코팅을 실시한 경우에도, 그 상의 웨이퍼의 온도를 균일하게 할 수 있는 서셉터 및 상기 서셉터를 구비한 기판 처리 장치가 개시된다. 서셉터(12)의 웨이퍼 지지면의 중앙부와 주연부 사이의 중간부에, 환상의 오목부(12a)가 형성되어 있다. 오목부를 마련함으로써, 상기 중간부에 있어서, 서셉터로부터의 열복사에 의한 기판 가열 효과가 억제된다. 오목부의 기하학적 형상 치수는 챔버 내부 압력을 고려하여 결정된다.
기판 처리 장치, 기판 탑재대, 프리코팅, 갭

Description

기판 처리 장치 및 기판 탑재대{SUBSTRATE PROCESSING APPARATUS AND SUBSTRATE STAGE USED THEREIN}
본 발명은 웨이퍼 등의 기판에 대한 열처리 또는 기판을 가열하면서 CVD의 소정의 처리를 실행하는 기판 처리 장치 및 그것에 이용되는 기판 탑재대에 관한 것이다.
반도체 디바이스의 제조 공정에 있어서는, 피처리 기판인 반도체 웨이퍼(이하, 단지 「웨이퍼」라고 기재함)에 성막 처리, 에칭 처리 등의 각종 가스 처리가 실시된다. 이들 중에서, Ti, TiN, W 등의 CVD 성막 처리에 있어서는, 웨이퍼를 세라믹제 또는 금속제의 서셉터에 탑재한 상태에서, 저항 히터 또는 램프 히터에 의해 웨이퍼가 예를 들어 500℃ 내지 700℃ 정도까지 가열된다.
이 경우에, 처리의 균일화의 관점에서 웨이퍼 온도의 면내 분포를 균일하게 할 필요가 있다. 그것을 위해서는 서셉터 온도를 균일하게 하는 것이 고려된다. 그러나, 통상의 서셉터에서는, 주연부에 있어서의 방열량이 크기 때문에, 서셉터의 웨이퍼 지지면의 주연부의 온도가 상대적으로 낮아지기 쉽다. 또한, 서셉터에 대 향하는 샤워헤드에서 반사되어서 웨이퍼에 입사하는 열복사는, 중앙부쪽이 상대적으로 크다. 그 결과, 실제로는 웨이퍼의 중앙부의 온도가 높아져서, 웨이퍼 면내에서의 균일한 온도 분포가 얻어지지 않는다.
이러한 이유로, 웨이퍼 면내에서 균일한 온도를 얻기 위해서는, 서셉터의 중앙부와 주연부에서 의도적으로 서셉터로의 입열(入熱)을 변경할 필요가 있다. 이러한 목적을 위하여, 서셉터를 복수의 가열 구역으로 나누어서 각 가열 구역에 각각 저항 히터를 배치하여, 각 히터의 파워(power)를 개별적으로 제어하는 기술이 알려져 있다. 그러나, 세라믹제의 서셉터의 경우, 중앙부와 주연부의 온도차가 지나치게 커지면, 열응력에 의해 서셉터에 크랙이 생기거나, 또는 파손하는 문제가 발생한다. 따라서, 이러한 기술만으로는, 웨이퍼 면내의 균일한 온도 분포를 달성하는 것은 곤란하다. 도 21은 종래의 서셉터를 사용해서 웨이퍼를 가열했을 경우의 웨이퍼 면내 온도의 측정 결과를 도시하고 있다. 도 21에 사각형 표시의 플롯으로 도시하는 바와 같이, 주연부에 비해서 중앙부의 온도가 높아지는 경향이 있었다.
상기 문제를 해결하기 위해서, 서셉터의 상면에 서셉터의 중앙의 깊이가 가장 크고, 중앙으로부터 주연부를 향해서 얕아지는 형상의 오목부를 형성하는 것이 제안되고 있다(예를 들면, 일본 공개 특허 제 2004-52098 호 공보 참조).
서셉터 등의 챔버내 부품에는, 그 구성 금속 원소에 의한 웨이퍼에의 오염을 회피하기 위해서, 통상 성막 처리전에 프리코팅(precoating)이 행해지고 있다. 서셉터의 프리코팅은, 서셉터에 웨이퍼를 탑재하지 않은 상태에서 실시되고, 이로써 서셉터의 웨이퍼 탑재 영역을 포함하는 전체 표면에 프리코팅막이 형성된다. 이 때문에, 서셉터 표면으로부터의 열복사가 전체적으로 억제된다.
통상, 서셉터는 그 바닥면 중앙부에 접속된 지지 부재를 거쳐서 챔버 바닥부에 연결되어 있다. 이러한 지지 부재를 통한 열전도에 의해서도 서셉터의 열이 빠져나가지만, 열전도량은 프리코팅막의 유무에 의해 변화되지 않는다. 프리코팅막의 형성에 의해 서셉터 표면으로부터의 열복사가 전체적으로 억제된 결과, 지지 부재를 통한 열전도가 서셉터 온도 분포에 미치는 영향이 커진다. 이 때문에, 지지 부재에 근접하여 위치하는 서셉터 중앙부의 온도는 다른 부분에 비해서 상대적으로 크게 저하하여, 웨이퍼 면내 온도의 불균일을 발생시키는 원인이 된다.
이러한 문제를 해결하기 위해서, 서셉터 중앙부의 가열을 담당하는 히터의 발열량을 주연부의 가열을 담당하는 히터의 발열량에 대하여 상대적으로 증대시키는 것이 생각된다. 그러나, 이렇게 하면, 프리코팅에 의해 보온되고 또한 지지 부재를 통한 열전도에 의한 냉각의 영향을 지나치게 받지 않는 서셉터 중앙부와 주연부 사이의 영역의 온도가 도 21에 흑색 원형 표시의 플롯으로 도시하는 바와 같이 높아져 버려서, 충분한 면내 온도 균일성이 역시 얻어지지 않는다.
따라서, 본 발명은, 웨이퍼를 지지하는 기판 탑재대에 프리코팅을 실시했을 경우에도, 웨이퍼의 면내 온도를 균일하게 할 수 있는 기판 탑재대 및 상기 기판 탑재대를 구비한 기판 처리 장치를 제공하는 것을 목적으로 한다.
상기 과제를 해결하기 위해서, 본 발명의 제 1 관점에 의하면, 기판에 대한 열처리 또는 기판을 가열하면서 소정의 처리를 실행하는 기판 처리 장치에 있어서, 챔버와, 상기 챔버내를 가압하는 배기 수단과, 상기 챔버내에서 기판을 지지하는 기판 탑재대와, 상기 기판 탑재대를 거쳐서 기판을 가열하는 가열 수단을 갖고, 상기 기판 탑재대는, 상기 기판 탑재대의 중앙부에 형성되어 상기 기판을 지지하는 제 1 지지면과, 상기 기판 탑재대의 주연부에 형성되어 상기 기판을 지지하는 제 2 지지면과, 상기 제 1 지지면과 상기 제 2 지지면 사이에 형성된 오목부를 갖고, 상기 기판 탑재대에 탑재된 상기 기판과 상기 오목부의 바닥면 사이에 갭이 형성되어 있는 것을 특징으로 하는, 기판 처리 장치가 제공된다.
또, 본 발명의 제 2 관점에 의하면, 감압 상태로 유지된 챔버내에서 기판을 지지하고, 가열 수단에 의해 가열되어서 그 열에 의해 기판을 가열하는 기판 탑재대에 있어서, 상기 기판 탑재대는, 상기 기판 탑재대의 중앙부에 형성되어 상기 기판을 지지하는 제 1 지지면과, 상기 기판 탑재대의 주연부에 형성되어 상기 기판을 지지하는 제 2 지지면과, 상기 제 1 지지면과 상기 제 2 지지면 사이에 형성된 오목부를 갖고, 상기 기판 탑재대에 탑재된 상기 기판과 상기 오목부의 바닥면 사이에 갭이 형성되어 있는 것을 특징으로 하는, 기판 처리 장치가 제공된다.
전술한 바와 같이, 프리코팅막을 형성했을 경우에는, 기판 탑재대의 중앙부와 기판 탑재대의 주연부의 온도보다도, 이들 중앙부와 주연부 사이의 중간 영역의 온도가 높아진다. 중간 영역에 오목부를 형성하면, 상기 중간 영역에 있어서의 기판 탑재대와 기판의 갭(거리)이 커진다. 이로써, 상기 중간 영역에 있어서, 기판 탑재대에 의한 기판 가열 효과가 억제된다. 따라서, 기판의 중앙부와 주연부 사이의 중간 영역의 온도를 낮춰서, 기판의 면내 온도를 균일화시킬 수 있다.
기판 탑재대에 기판을 탑재했을 때에, 미시적으로 보면 기판 탑재대와 기판 사이에는 근소한 간극이 형성되어 있다. 이러한 상황에 있어서 기판의 가열은 기판 탑재대로부터의 열복사 및 가스 분자를 통한 열전도에 의해 이루어진다. 가스 분자를 통한 열전도는 챔버 내부 압력에 크게 영향을 받는다. 또한, 가스 분자에 의한 열전달 효과도 가스 압력(분압)에 의해 변화하기 때문에, 처리시의 가스 압력(분압)에 따라서 오목부의 기하학적 형상 치수(형상, 갭의 깊이 및 그 분포)를 결정하는 것이 바람직하다. 그렇게 하면, 기판 탑재대의 복잡한 가열 제어의 필요성이 대폭적으로 저감된다. 즉, 기판 탑재대를 의도적으로 불균일하게 가열하는 것의 필요성이 없어지거나, 또는 대폭적으로 저감된다.
상기 갭의 크기는 장소에 따라 상이하도록 할 수 있다. 혹은, 상기 오목부의 바닥면에 단차를 마련할 수 있다.
바람직한 일 실시형태에 있어서, 상기 오목부의 바닥면은, 동심원 형상으로 배치된 복수의 환상 영역을 갖고, 인접하는 환상 영역의 높이(깊이)가 서로 상이하다.
전형적인 일 실시형태에 있어서, 상기 기판 탑재대는, 그 중앙부에 접속된 지지 부재에 의해 지지되어 있다. 바람직하게는, 상기 제 1 지지면이 마련되어 있는 영역은 상기 지지 부재가 마련되어 있는 영역에 거의 대응하고 있다.
전형적인 일 실시형태에 있어서, 상기 가열 수단은 상기 기판 탑재대내에 매설된 저항 히터를 갖는다. 가열 수단으로서, 복수의 히터를 사용할 수 있고, 바람직하게는 이들 복수의 히터는 독립해서 급전(給電) 제어된다. 바람직한 일 실시형태에 있어서, 상기 가열 수단은, 상기 기판 탑재대의 중앙부에 배치된 제 1 히터와, 상기 제 1 히터를 둘러싸도록 배치된 제 2 히터를 갖는다. 바람직하게는, 이들 제 1 및 제 2 히터는 독립해서 급전 제어된다.
도 1은 본 발명의 일 실시형태에 따른 성막 장치를 도시하는 단면도,
도 2는 도 1의 성막 장치에 사용된 제 1 실시형태의 서셉터를 도시하는 확대 단면도,
도 3은 제 2 실시형태의 서셉터를 도시하는 단면도,
도 4는 제 3 실시형태의 서셉터를 도시하는 단면도,
도 5는 제 4 실시형태의 서셉터를 도시하는 단면도,
도 6은 제 5 실시형태의 서셉터를 도시하는 단면도,
도 7은 제 6 실시형태의 서셉터를 도시하는 단면도,
도 8은 지지 부재의 구조를 도시하는 단면도,
도 9는 히터의 배치를 도시하는 서셉터의 수평 단면도,
도 1O은 시험 예에 있어서의 서셉터의 상태를 모식적으로 도시한 도면으로서, (a)는 비프리코팅 상태, (b)는 프리코팅 상태, (c)는 오목부를 형성한 서셉터의 프리코팅 상태를 도시하는 도면,
도 11은 웨이퍼 면내 온도의 측정 결과를 도시하는 그래프도,
도 12는 갭에 의한 온도 강하율과 챔버 내부 압력의 관계를 도시하는 그래프도(프리코팅을 갖는 경우),
도 13은 갭에 의한 온도 강하율과 챔버 내부 압력의 관계를 도시하는 그래프도(프리코팅이 없는 경우),
도 14는 갭에 의한 온도 강하율과 히터 설정 온도의 관계를 도시하는 그래프도(프리코팅을 갖는 경우),
도 15는 갭에 의한 온도 강하율과 히터 설정 온도의 관계를 도시하는 그래프도(프리코팅이 없는 경우),
도 16은 서셉터에 있어서의 오목부의 제작 순서를 도시하는 흐름도,
도 17은 오목부가 형성된 서셉터의 구조를 도시하는 평면도,
도 18은 오목부가 형성된 서셉터의 구조를 도시하는 단면도,
도 19는 오목부의 유무에 있어서의 서셉터상의 웨이퍼 면내의 온도 분포를 도시하는 그래프도,
도 20은 오목부의 유무에 있어서의 서셉터상의 웨이퍼 면내의 온도 분포를 도시하는 그래프도,
도 21은 종래의 서셉터를 사용했을 경우의 웨이퍼 면내 온도의 측정 결과를 도시하는 그래프도.
이하, 도면을 참조하면서 본 발명의 바람직한 형태에 대해서 설명한다.
도 1은 본 발명의 제 1 실시형태에 따른 성막 장치를 도시하는 단면도이다. 이 성막 장치(100)는 TiN막 또는 Ti막을 성막하기 위한 것으로, 대략 원통형의 챔버(11)를 갖고 있다. 챔버(11)의 내부에는, 피처리 기판인 웨이퍼(W)를 수평으로 지지하기 위한 원반형상의 서셉터(12)가 그 중앙 하부에 마련된 원통형의 지지 부재(13)에 의해 지지된 상태로 배치되어 있다. 서셉터(12)는 예를 들어 Al2O3, AlN 등의 세라믹제이며, 여기에서는 AlN이 사용된다. 이후에 상세에 설명하는 바와 같이, 그 웨이퍼 지지면의 중앙부의 외측에 오목부(12a)가 형성되어 있다. 서셉터(12)의 외연부에는 웨이퍼(W)를 가이드하기 위한 가이드 링(14)이 마련되어 있다.
또, 서셉터(12)에는 가열 수단으로서의 히터(15a) 및 히터(15b)가 매설되어 있다. 히터(15a)는, 서셉터(12)의 주로 중앙부를 가열하기 위한 저항 가열 히터로서 구성되어 있고, 급전선(17a)에 의해 히터 전원(16a)과 전기적으로 접속되어 있다. 또한, 히터(15b)는, 서셉터(12)의 주로 주연부를 가열하기 위한 저항 가열 히터로서 구성되어 있고, 급전선(17b)에 의해 히터 전원(16a)과 전기적으로 접속되어 있다. 히터(15a 및 15b)는 예를 들어 코일형 히터 또는 패턴 히터로서 구성되어 있다. 이들 히터(15a, 15b)로의 전력 공급은 각각 독립해서 급전됨으로써 가열 온도가 제어되는 구성으로 되어 있고, 이에 의해 피처리 기판인 웨이퍼(W)를 소정의 온도로 가열한다.
또한, 서셉터(12)에는 열전대(16b)가 배치되어, 서셉터(12)의 온도를 검지해서 히터 전원(16a)에 피드백함으로써 온도 제어가 행해진다.
도시하지 않았지만, 서셉터(12)의 표면 근방에는, W, Mo 등의 금속이나 합금으로 이루어지는 전극이 매설되어 있고, 플라즈마 처리할 때에 플라즈마의 안정성을 유지하기 위해 사용된다. 또한, 이 전극에 고주파 전원을 접속해서 소정의 주파수의 고주파 바이어스(bias)를 인가함으로써 성막 분자를 웨이퍼(W)에 인입하여서 구멍내의 막형성을 효과적으로 실행할 수 있다.
챔버(11)의 천장벽(11a)에는, 절연 부재(19)를 거쳐서 샤워헤드(20)가 마련되어 있다. 이 샤워헤드(20)는 상단 블록체(20a), 중단 블록체(20b), 하단 블록체(20c)로 구성되어 있다. 하단 블록체(20c)에는 가스를 토출하는 토출 구멍(27)과 토출 구멍(28)이 교대로 형성되어 있다. 상단 블록체(20a)의 상면에는, 제 1 가스 도입구(21)와, 제 2 가스 도입구(22)가 형성되어 있다. 상단 블록체(20a)내에서는, 제 1 가스 도입구(21)로부터 다수의 가스 통로(23)가 분기되어 있다. 중단 블록체(20b)에는 가스 통로(25)가 형성되어 있고, 상기 가스 통로(23)가 수평으로 연장되는 연통로(23a)를 거쳐서 이들 가스 통로(25)에 연통하고 있다. 더욱이, 이 가스 통로(25)가 하단 블록체(20c)의 토출 구멍(27)에 연통하고 있다. 또한, 상단 블록체(20a)내에서는, 제 2 가스 도입구(22)로부터 다수의 가스 통로(24)가 분기되어 있다. 중단 블록체(20b)에는 가스 통로(26)가 형성되어 있고, 상기 가스 통로(24)가 이들 가스 통로(26)에 연통하고 있다. 더욱이, 이 가스 통로(26)가 중 단 블록체(20b)내에 수평으로 연장되는 연통로(26a)에 접속되어 있고, 이 연통로(26a)가 하단 블록체(20c)의 다수의 토출 구멍(28)에 연통하고 있다. 그리고, 상기 제 1 및 제 2 가스 도입구(21, 22)는 각각 가스 라인(31 및 32)에 접속되어 있다.
가스 공급 기구(30)는, 여기에서는 도시하지 않았지만, 성막 가스, 캐리어 가스, 클리닝 가스의 가스 공급원, 가스 배관, 및 매스플로우 콘트롤러를 갖고, 프로세스시에는, 가스 라인(31) 및 가스 도입구(21)를 거쳐서 N2 가스 등의 캐리어 가스와 함께 Ti 함유 가스인 TiCl4 가스를 샤워헤드(20)에 공급하고, 가스 라인(32) 및 가스 도입구(22)를 거쳐서 N2 가스 등의 희석 가스와 함께 환원 가스인 NH3 가스(TiN막 성막시) 또는 H2 가스(Ti막 성막시)를 샤워헤드(20)로 공급하도록 되어 있다. 가스 도입구(21)로부터 샤워헤드(20)내에 도입된 TiCl4 가스는 가스 통로(23, 25)를 거쳐서 토출 구멍(27)으로부터 챔버(11)내에 토출되는 한편, 가스 도입구(22)로부터 샤워헤드(20)내에 도입된 NH3 가스 또는 H2 가스는 가스 통로(24, 26)를 거쳐서 토출 구멍(28)으로부터 챔버(11)내로 토출된다. 즉, 샤워헤드(20)는, TiCl4 가스와 환원 가스인 NH3 가스 또는 H2 가스가 완전히 독립해서 챔버(11)내에 공급되는 포스트-믹스 타입(post-mixed type)으로 되어 있고, 이들은 토출후에 혼합되어 반응이 생긴다. 또, 샤워헤드(20)는, 프리-믹스 타입(premixed type)으로 해도 좋다. 챔버(11)의 클리닝시에는, 가스 공급 기구(30)로부터 클리닝 가스로서 예를 들어 ClF3 가스가 가스 라인(31) 및 샤워헤드(20)를 거쳐서 챔버(11)내에 공급된다.
샤워헤드(20)에는, 정합기(33)를 거쳐서 고주파 전원(34)이 접속되어 있고, 필요에 따라서 이 고주파 전원(34)으로부터 샤워헤드(20)에 소정 주파수의 고주파 전력이 공급되도록 되어 있다. Ti막을 성막할 경우에는, TiCl4와 H2의 성막 반응의 반응성을 높이기 위해서, 고주파 전원(34)으로부터 고주파 전력을 공급함으로써, 샤워헤드(20)를 거쳐서 챔버(11)내에 공급된 가스를 플라즈마화해서 플라즈마 CVD 성막하는 것도 가능하다.
챔버(11)의 바닥벽(11b)의 중앙부에는 원형의 구멍(35)이 형성되어 있고, 바닥벽(11b)에는 이 구멍(35)을 덮도록 하방을 향해서 돌출하는 오목형의 배기실(36)이 마련되어 있다. 배기실(36)의 측면에는 배기관(37)이 접속되어 있고, 이 배기관(37)에는 배기 장치(38)가 접속되어 있다. 그리고 이 배기 장치(38)를 작동시킴으로써 챔버(11)내를 소정의 진공도까지 감압하는 것이 가능하게 되어 있다.
서셉터(12)에는, 웨이퍼(W)를 지지해서 승강시키기 위한 3개(2개만 도시)의 웨이퍼 지지 핀(39)이 서셉터(12)의 표면에 대하여 돌몰(突沒) 가능하게 마련되고, 이들 웨이퍼 지지 핀(39)은 지지판(40)에 고정되어 있다. 그리고, 웨이퍼 지지 핀(39)은, 에어 실린더 등의 구동 기구(41)에 의해 지지판(40)을 거쳐서 승강된다.
챔버(11)의 측벽에는, 인접하는 도시하지 않은 반송실과의 사이에서 웨이퍼(W)의 반입출을 실행하기 위한 반입출구(42)와, 이 반입출구(42)를 개폐하는 게 이트 밸브(43)가 마련되어 있다.
상기 서셉터(12)의 웨이퍼 지지면의 중앙부의 주위에는, 환상의 오목부(12a)가 형성되어 있다. 서셉터(12)의 웨이퍼 지지면에 오목부(12a)를 형성함으로써, 웨이퍼(W)의 중앙부와, 주연부와, 그 사이의 중간 영역(오목부 형성 영역)의 온도차를 작게 한 상태를 형성할 수 있다. 이로써, 웨이퍼(W)의 온도를 균일하게 할 수 있다.
즉, 오목부(12a)를 형성하면, 그 부분은 서셉터(12)로부터의 열전달이 억제되기 때문에, 온도가 높아지기 쉬운 웨이퍼(W)의 중간 영역[웨이퍼(W)의 중앙부와 주연부 사이]의 온도를 오목부(12a)가 없는 경우에 비해서 낮게 할 수 있다. 따라서, 이러한 오목부(12a)를 마련함으로써, 웨이퍼(W)의 면내 온도 분포를 균일화할 수 있다. 이 경우에, 서셉터(12)로부터의 열전달에 의한 웨이퍼(W)의 가열 효과는, 서셉터(12)와 웨이퍼(W)의 거리(갭)에 의해 변화하므로, 웨이퍼(W)와 서셉터(12) 사이에, 서셉터(12)에 탑재된 웨이퍼(W)의 면내 온도가 균일해지는 공간 영역을 형성할 수 있도록, 오목부(12a)의 형상이나 크기, 깊이(즉, 갭)를 설정할 수 있다. 갭은, 1㎜ 이하, 예를 들어 0.01㎜ 내지 1㎜의 범위로 설정하는 것이 바람직하다.
이 오목부(12a)에 있어서는, 탑재된 웨이퍼(W)와 서셉터(12) 사이에, 챔버(11)내의 압력에 따라서, 지지된 웨이퍼(W)의 면내 온도가 균일해지는 공간이 형성되어 있다. 그리고, 그 공간의 내부의 압력과 챔버(11)내의 압력은 대략 동일하게 되어 있다.
오목부(12a)는, 예를 들어 도 2에 도시하는 바와 같이, 서셉터(12)의 중앙부의 중앙 볼록부(12b)와, 서셉터(12)의 주연부의 주연 볼록부(12c) 사이에 균일한 깊이의 홈으로서 형성되어 있다. 이로써, 중앙 볼록부(12b)의 정상부에는, 웨이퍼(W)의 중앙부를 지지하는 제 1 지지면(SC)이 형성되고, 주연 볼록부(12c)의 정상부에는, 웨이퍼(W)의 주연부를 지지하는 제 2 지지면(SE)이 형성된다. 오목부(12a)는, 서셉터(12)로부터 웨이퍼(W)로의 열전달을 조절하고, 웨이퍼(W)의 면내 온도를 균일화하도록 작용한다. 또한, 서셉터(12)의 중앙부를 둘러싸도록 환상으로 오목부(12a)를 형성함으로써, 그 내측의 중앙 볼록부(12b)로부터 웨이퍼(W)의 중앙부로의 열전달이 유지된다. 프리코팅막을 형성했을 경우에는, 지지 부재(13)로의 방열의 영향이 현재화(顯在化)되어, 서셉터(12)의 중앙부의 온도 저하가 일어나서, 이에 따라서 웨이퍼(W)의 중앙부의 온도도 저하하지만, 오목부(12a)를 형성하여, 이 부분으로부터의 웨이퍼(W)로의 열전달을 억제함으로써, 웨이퍼(W)의 중앙부와 주연부 사이의 중간 영역의 온도를 저하시켜서, 웨이퍼 면내의 온도를 대략 균일하게 할 수 있다.
오목부(12a)는, 지지 부재(13)의 직경(D1)에 대하여 중앙 볼록부(12b)의 직경(D2)이 대략 동등하거나, 직경(D2)이 직경(D1)에 대하여 약간 커지도록 형성하는 것이 바람직하다. 즉, 오목부(12a)의 내주 단부를 지지 부재(13)의 외주의 바로 상측 또는 약간 외측에 위치시키는 것이 바람직하다. 중앙 볼록부(12b)는, 서셉터(12)의 하면을 지지하는 지지 부재(13)에 의해, 열의 빠져나감이 촉진되는 부분 이기 때문에, 중앙 볼록부(12b)의 면적을 지지 부재(13)의 단면적에 대략 대응시키는 것이 바람직하다. 또한, 오목부(12a)의 면적도, 지지 부재(13)의 단면적에 따라 결정하는 것이 바람직하다. 예를 들면, 지지 부재(13)의 단면적을 작게 해서, 열의 빠져나감을 작게 하는 것이 바람직하고, 이로써 오목부(12a)의 형성 영역도 작게 할 수 있다.
오목부(12a)는, 서셉터(12)의 온도가 가장 높아지기 쉬운 영역, 예를 들어 히터를 내측과 외측의 2구역으로 형성할 경우에는, 내측의 히터(15a)에 겹치도록 오목부(12a)를 형성해도 좋고, 또한 오목부(12a)는 히터(15a)와 히터(15b) 사이의 영역에 겹치도록 형성해도 좋다.
또한, 오목부(12a)의 외연부, 즉 주연 볼록부(12c)와의 경계[주연 볼록부(12c)의 내주]는, 서셉터(12)의 직경에도 의하지만, 웨이퍼(W)의 외주보다도 1㎜ 내지 30㎜ 내측에 위치하도록 설정하는 것이 바람직하다.
또, 웨이퍼(W)의 면내 온도에 소망의 균일성이 얻어지면, 오목부(홈)의 형상은, 도 2에 도시하는 태양[오목부(12a)]에는 한정되지 않는다. 예를 들면 도 3에 도시하는 오목부(112a)와 같이, 서셉터(12)의 중앙측으로부터 주연부측을 향함에 따라서 곡면 형상(예를 들면, 양념절구 형상)으로 얕아지도록 형성해도 좋거나, 또는 예를 들면 도 4에 도시하는 오목부(112b)와 같이, 서셉터(12)의 중앙측으로부터 주연부측을 향함에 따라서 단면에서 보아 계단형상으로 얕아지는 형상이어도 좋다.
또한, 예를 들면 도 5에 도시하는 바와 같이, 서셉터(12)의 중앙측으로부터 주연부측을 향함에 따라서 직선적으로 얕아지는 오목부(112c)이어도 좋고, 더욱이 는, 예를 들어 도 6에 도시하는 바와 같이, 서셉터(12)의 중앙부측으로부터 주연부측을 향하는 일순간은 깊어지고, 더 주연부측으로 어느 정도 얕아지는 단면에서 보아 V자형의 오목부(112d)이어도 좋다.
더욱이, 오목부의 바닥면에 환상으로 고저차(단차)가 형성된 형상이어도 좋고, 예를 들어 도 7에 도시하는 바와 같이, 서셉터(12)의 중앙부측으로부터 주연부측을 향함에 따라서, 제 1 바닥부(113), 제 2 바닥부(114), 제 3 바닥부(115)가 형성된 형상의 오목부(112e)를 마련해도 좋다. 이 경우, 오목부(112e)의 깊이는, 제 3 바닥부(115)가 가장 얕고, 제 2 바닥부(114)가 가장 깊고, 제 1 바닥부(113)는 제 2 바닥부(114)와 제 3 바닥부(115)의 중간의 깊이로 형성되어 있다. 각 바닥부의 깊이는, 평면 상태의 서셉터(12)를 이용하여 웨이퍼(W)를 가열하여, 웨이퍼(W)의 온도 분포를 측정함으로써 결정할 수 있다. 즉, 웨이퍼(W) 면내에서 온도가 높은 부분에 대응하는 서셉터(12)상의 영역에서는 오목부를 깊게 형성해서 갭을 크게 하고, 웨이퍼(W) 면내에서 온도가 낮은 부분에 대응하는 서셉터(12)상의 영역에서는 오목부를 얕게 형성해서 갭을 작게 설정하면 좋다.
또, 도 2 내지 도 7에서는, 각 오목부의 깊이를 강조해서 도시하고 있다. 또한, 예시한 오목부(12a, 112a, 112b, 112c, 112d, 112e)에 있어서, 각 오목부의 코너를 이루는 모서리부를 R 가공(모떼기 가공)을 해 두는 것이 바람직하다.
오목부[12a(112a, 112b, 112c, 112d)]의 깊이와 웨이퍼(W)로의 열전달량에는 상관 관계가 있고, 더욱이 챔버 내부 압력이 높을수록 가스 분자에 의한 열전달 효율이 높아지므로, 오목부(12a)의 깊이가 동일하여도 웨이퍼(W)에 열이 전해지기 쉬 워진다. 따라서, 미리 챔버내의 가스 압력에 따라서 오목부(12a)의 깊이(즉, 공간의 높이)와 열전달량의 관계를 파악하여 두면, 그 프로세스에 적합한 오목부(12a)의 깊이나 형상을 선택할 수 있다.
또, 서셉터(12)에 오목부(12a)를 마련하는 것에 부가하여, 히터를 예를 들어 도 1과 같이 내측의 히터(15a)와 외측의 히터(15b)로 구별해서 배치하고, 각 히터(15a)와 히터(15b)를 각각 별도로 파워 제어해서 온도 분포의 미세 조정을 실행하여도, 서셉터(12)에 크랙이나 파손을 발생시키는 일없이, 보다 고정밀도의 온도 제어를 실행할 수 있다. 히터로서는, 도 1과 같이 별도로 2개로 배치한 태양일 필요는 없고, 단일의 히터이어도 좋다. 또, 히터가 단일인 경우에도, 또는 2개 이상의 복수인 경우에도, 예를 들어 300㎜ 이상의 큰 직경의 웨이퍼(W)에서는, 면내 온도의 균일성을 유지하는 것이 곤란하고, 또한 서셉터의 히터 패턴이나 코일의 권수의 조정도 어려워, 서셉터(세라믹스 히터)의 균열성의 미세 조정이 어려워지므로, 본 발명과 같이 오목부(12a)를 마련해서 웨이퍼(W) 면내의 온도 제어를 실행하는 것이 특히 효과적이다.
도 8은 지지 부재(13)의 내부 구조를 도시하는 주요부 단면도이다. 지지 부재(13)는, 주요 구성으로서, 서셉터(12)를 지지하는 대략 원통형의 지지체(50)와, 상기 지지체(50)의 하부에 배치된 니켈, 알루미늄, SUS 등의 재질로 이루어지는 장착 플레이트(51)와, 상기 장착 플레이트(51)에 장치된 단자 박스(52)를 구비하고 있다.
장착 플레이트(51)와 알루미늄 등의 재질의 단자 박스(52)는, 예를 들어 나 사 멈춤 등의 수단에 의해 고정되어 있고, 더욱이 장착 플레이트(51)는 가압 링(53)에 의해 고정되어 있다. 지지체(50)와 장착 플레이트(51)는, 지지체(50)와 장착 플레이트(51)의 각각의 면에서 면 시일에 의해 밀봉되고, 장착 플레이트(51)는 단자 박스(52)의 플랜지(52a)와 O링에 의해 밀봉되어 있다. 니켈, 알루미늄, SUS 등의 재질로 이루어지는 단자 박스(52)의 플랜지(52a)는 배기실(36)의 바닥벽(36a)에 도시하지 않은 고정 수단에 의해 기밀하게 고정되어 있다.
지지체(50)는, 부식성 가스 내성 및 플라즈마 내성에 우수한 재료, 예를 들어 Al2O3, AlN, SiC나 흑연 등의 세라믹 재료로 구성할 수 있다. 여기에서는, 질화 알루미늄을 사용하고 있다.
대략 원통형을 이룬 지지체(50)의 내부에는, 급전선(17a), 급전선(17b) 및 열전대(TC)(16b)에 급전하는 열전대용 급전선(57)이 배치되어 있다. 급전선(17a, 17b)은 각각의 주위가 절연 재료(예를 들면, Al2O3 등의 세라믹스 등)로 이루어지는 피복부(54)에 의해 절연 피복되어 있다. 급전선(17a, 17b)의 상부는 절연판(55)을 관통해서 서셉터(12)내에 삽입되어 있다. 또, 급전선(17a, 17b) 및 열전대용 급전선(57)은 서로 접촉하지 않도록 지지되어 있다.
도 9(a)는 서셉터(12)에 매설된 히터(15a, 15b)의 배치예를 도시하는 수평 단면도이다. 급전선(17a)의 선단은 접속부(18a, 18b)에 있어서 내측의 히터(15a)와 접속하고 있다. 또한, 급전선(17b)은 서셉터(12)내에서 횡방향으로 절곡하여, 접속부(18c, 18d)에서 외측의 히터(15b)와 접속하고 있다. 열전대용 급전선(57)의 상단은 서셉터(12)내에 관통 삽입되어 있다.
또, 서셉터(12)에 매설된 히터로서는, 예를 들면 도 9(b)에 도시하는 바와 같은 코일 히터(15c, 15d)를 이용하는 것도 가능하다. 내측의 코일 히터(15c)는 급전선(17a)의 선단과 접속부(18e, 18f)에서 접속하고, 또한 외측의 코일 히터(15d)는 급전선(17b)의 선단과 접속부(18g, 18h)에서 접속하도록 배치된다.
급전선(17a, 17b) 및 열전대용 급전선(57)의 하단은 장착 플레이트(51) 및 단자 박스(52)의 벽을 관통해서 단자 박스(52)내에 삽입되어 있다. 이 단자 박스(52)내에서 급전선(17a, 17b)은 히터 전원(16a)으로부터의 접속 단자(58a, 58b)와 접속되어 있다. 또, 도 8에 있어서 도면부호(56a)는 절연 재료(예를 들면, Al2O3 등의 세라믹스 등)로 이루어지고, 접속 단자(58a, 58b)를 고정하는 고정구이다. 마찬가지로, 도면부호(56b)는 절연 재료(예를 들면, Al2O3 등의 세라믹스 등)로 이루어지고, 급전선(17a, 17b)을 고정하는 고정구이다.
다음에, 이러한 성막 장치(100)의 성막 동작에 대해서 설명한다.
우선, 챔버(11)내에 웨이퍼(W)가 존재하지 않는 상태에서, TiCl4 가스 및 NH3 가스 등의 환원 가스를 도입해서 서셉터(12)의 표면에 대한 프리코팅막 형성 처리를 실행한다.
프리코팅 처리가 종료한 후, TiCl4 가스 및 환원 가스를 정지하고, 배기 장치(38)에 의해 챔버(11)내를 급격하게 진공 배기하고 흡인 정지 상태로 하고, 게이 트 밸브(43)를 개방하여, 반입출구(42)를 거쳐서 웨이퍼 반송 장치에 의해 웨이퍼(W)를 챔버(11)내에 반입하고, 서셉터(12)상에 탑재한다. 그리고, 챔버(11)내에 N2 가스를 공급하여 웨이퍼(W)를 예비 가열해서 웨이퍼의 온도가 거의 안정한 시점에서, N2 가스, 환원 가스인 NH3 가스 또는 H2 가스, 및 TiCl4 가스를 소정 유량으로 도입한다. 이때, 배기 라인에 프리 플로우를 실행한 후, 상기 가스를 샤워헤드(20)를 거쳐서 소정 유량으로 챔버(11)내에 도입하여, 챔버(11)내의 압력을 소정값으로 유지하면서, 히터(15a, 15b)에 히터 전원(16a)으로부터 소정의 파워비로 개별적으로 급전을 실행함으로써, 웨이퍼(W)의 면내 온도가 균일해지도록 가열한다. 이렇게 하여, 웨이퍼(W)상에 TiN막을 성막한다. 이때의 기판의 가열 온도는 400℃ 내지 700℃ 정도, 바람직하게는 600℃ 정도이다. Ti막을 성막할 때에는, 고주파 전원(34)으로부터 고주파 전력을 공급해서 가스를 플라즈마화해도 좋다. 이렇게 플라즈마를 형성할 경우에는, 가스의 반응성이 높으므로 웨이퍼(W)의 온도는 300℃ 내지 700℃로 하는 것이 바람직하고, 보다 바람직하게는 400℃ 내지 600℃ 정도로 할 수 있다.
다음에, 본 발명의 효과를 확인한 시험 결과에 대해서, 도 10 및 도 11을 참조하면서 설명한다. 도 10(a)는 종래의 서셉터(120)에 프리코팅막을 형성하기 이전의 상태이고, 도 10(b)는 종래의 서셉터(120)에 프리코팅막을 형성한 상태이고, 도 10(c)는 오목부(12a)를 형성한 서셉터(12)에 프리코팅막을 형성한 상태를 각각 도시한다. 각 도면에 나타내는 1, 3, 5, 7, 9, 11 및 13의 숫자는, 열전대(TC)를 갖는 웨이퍼를 이용하여 웨이퍼(W)상의 온도 측정을 실행했을 때의 측정 포인트를 의미하고, 도 11의 각 측정 포인트에 대응하고 있다. 포인트 1이 웨이퍼(W)의 중앙부이며, 포인트 11 및 포인트 13은 웨이퍼(W)의 주연부를 의미하고 있다. 또한, 도 10(a) 내지 (c)에 있어서의 백색 화살표는, 서셉터(12)로부터의 방출 열량의 크기를 나타내고 있고, 흑색 화살표는, 서셉터(12)로부터 웨이퍼(W)로의 열전도량의 크기를 나타내고 있다.
우선, 도 10(a)에 도시하는 바와 같이 프리코팅막을 형성하지 않은 서셉터(120)에 대하여, 프리코팅막 형성시의 파워비에 의해 온도 제어를 실행하면, 전술한 도 21에 사각형 표시의 플롯으로 나타내는 바와 같이, 웨이퍼(W)의 온도 분포는, 주연부(측정 포인트 11, 13)에서 낮아지고, 중앙부(측정 포인트 1, 3, 5)에서 높아지는 열분포가 되고, 웨이퍼(W)의 중앙부와 주연부의 온도 편차(최대 온도와 최소 온도의 차이)가 15℃ 정도로 된다. 그 이유는 하기와 같다.
우선, 서셉터(120)의 중앙부와 주연부를 비교했을 경우에는, 단위 부피당 표면적이 중앙부보다도 주연부에서 크므로 열방사량이 많아, 온도가 불균일해진다. 또한, 실제의 성막 장치내에 있어서 웨이퍼(W)는 서셉터(120)와 대향하는 샤워헤드(20)로부터의 열반사도 받고 있고, 웨이퍼(W)에 대하여 대향하는 샤워헤드(20)로부터의 열반사의 입체각은 중앙부에서 크고, 주연부에서 작다. 따라서, 웨이퍼(W)의 중앙부는 보다 큰 열반사를 받아서, 상대적으로 고온으로 되는 동시에 주연부에서는 이것이 작으므로 상대적으로 저온으로 된다. 이러한 요인에 의해, 서셉터의 균열성[웨이퍼(W)의 면내 온도 균일성]이 악화된다.
다음에, 도 10(b)에 도시하는 바와 같이, 웨이퍼 지지면이 평면형상의 서셉터(120)에 프리코팅 처리를 하여 프리코팅막(121)을 형성했을 경우에는, 서셉터(120) 표면으로부터의 복사열이나, 샤워헤드(20)로부터의 열반사가 전체적으로 감소하기 때문에, 웨이퍼(W)의 면내 온도가 전체적으로 저하한다. 그런데, 웨이퍼(W)의 중앙부(측정 포인트 1)는 주연부(측정 포인트 11, 13)과의 사이의 중간 영역(측정 포인트 3, 7 및 측정 포인트 5, 9)에 비해서 온도 저하가 현저해져서, 웨이퍼(W)의 중앙부와 주연부의 온도가 낮고 2개의 중간 영역의 온도가 높은, 직경방향으로 2피크형을 한 면내 온도 분포로 된다. 즉, 웨이퍼(W)의 면내 온도가 균일해지도록 파워비를 제어해도, 도 11에 흑색 원형 표시의 플롯으로 도시하는 바와 같은 불균일한 온도 분포가 형성된다. 이것은, 지지 부재(13)와의 접속부에는 프리코팅막(121)을 형성할 수 없기 때문에, 이 부분에서 서셉터(120)로부터 지지 부재(13)로의 열의 빠져나감이 큰 것에 따른 것이다. 즉, 지지 부재(13)로의 열 빠져나감[지지 부재(13)를 거쳐서의 열전달과 지지 부재(13) 내부 공간으로의 열복사)가 서셉터(120)의 중앙부의 온도 저하를 발생시키고, 이것이 웨이퍼(W)의 면내 온도에 반영된 결과이다. 지지 부재로의 열 빠져나감이 웨이퍼(W)의 면내 온도 분포에 미치는 영향은, 프리코팅막을 형성하지 않은 상태[도 10(a)]에서는, 서셉터(120)로부터의 열복사나 샤워헤드(20)로부터의 열반사가 크기 때문에, 너무 현재화하지 않지만, 프리코팅막 형성후[도 10(b)]의 서셉터(120)에서는, 열복사나 열반사가 전체적으로 억제되어, 지지 부재(13)로의 열전달과 지지 부재(13) 내부로의 열복사가 큰 상태인 결과, 현재화하는 것으로 생각된다.
본 발명의 일 실시형태인 서셉터(12)에 있어서는, 도 10(c)에 도시하는 바와 같이, 웨이퍼(W)의 중앙부와 주연부 사이의 중간 영역(측정 포인트 3, 7 및 측정 포인트 5, 9)에 대응하도록 환상으로 홈, 즉 오목부(12a)를 마련했다. 오목부(12a)에서는, 서셉터(12)의 웨이퍼 지지면과 웨이퍼(W) 사이에 공간이 형성되므로, 웨이퍼(W)의 중간 영역으로의 열전달이 억제된다. 즉, 다른 영역에 비해서 오목부(12a)에서는, 서셉터(12)로부터 웨이퍼(W)로의 열전달이 작아진다.
따라서, 도 11에 흰색 환형으로 도시하는 바와 같이, 프리코팅 상태에서도 웨이퍼(W)의 중앙부나 주연부와 동일한 정도로 되기까지 중간 영역의 온도를 저하시킬 수 있었다. 또한, 전술한 바와 같이, 오목부의 형상이나 깊이, 챔버 내부 압력 등을 조절함으로써, 높은 정밀도로 웨이퍼(W)의 면내 온도의 균일화를 도모하는 것이 가능해진다.
다음에, 본 발명의 다른 실시형태에 대해서, 도 12 내지 도 20을 참조하면서 설명을 실행한다.
우선, 오목부를 형성하는 것에 의해 생기는 서셉터(12)로부터 웨이퍼(W)로의 열전도량의 감소 효과는 오목부의 깊이[즉, 오목부의 바닥으로부터 웨이퍼(W) 이면까지의 거리; 갭], 챔버 내부 압력, 서셉터(12)에 있어서의 히터(15a, 15b)의 설정 온도, 프리코팅의 유무 등의 요인에 의해 좌우된다. 여기에서, 도 1에 도시하는 바와 같은 구성의 성막 장치(100)를 이용하여, 갭에 의한 온도 강하율이, 프리코팅의 유무, 챔버 내부 압력, 및 서셉터(12)의 설정 온도에 의해 어느 정도 영향을 받는지에 대해서, 이하의 조건에서 시험을 실행했다. 여기에서, 「온도 강하율」은, 서셉터(12)에 오목부가 형성되어 있지 않은 경우의 웨이퍼(W)상의 어느 계측 포인트의 온도에 대하여 오목부를 형성했을 경우에 동일한 계측 포인트의 온도가 어느 정도 감소하는지를, 오목부의 깊이(갭) 1㎜당의 온도로서 도시한 것이다. 이 온도 강하율은 다음과 같이 산출했다.
우선, 서셉터(12)에 TC를 갖는 웨이퍼를 탑재한 상태로부터, 웨이퍼 지지 핀(39)에 의해 TC를 갖는 웨이퍼를 조금씩 상승시켜 가서, 서셉터(12) 표면과의 거리를 변화시키면서 온도 계측을 실행했다. 그리고, 서셉터(12)로부터 완전히 TC를 갖는 웨이퍼가 이격되어 있는 상태에서 일어나는 온도 강하로부터, 다음식;
온도 강하[℃]/TC를 갖는 웨이퍼와 서셉터의 거리(㎜) = 온도 강하율[℃/㎜]
에 근거하여 온도 강하율을 산출했다.
<시험 조건>
가스 유량[가스 도입구(21)]; N2 1800mL/min(sccm)
가스 유량[가스 도입구(22)]; N2 1800mL/min(sccm)
히터 파워비[히터(15a)/히터(15b)] = 1.00/0.85
챔버 내부 압력; 100Pa, 260Pa, 400Pa, 666Pa, 1kPa
히터 설정 온도; 300℃, 400℃, 500℃, 600℃, 650℃, 680℃, 700℃
도 12 및 도 13은 갭에 의한 온도 강하율[℃/㎜]과 챔버 내부 압력의 관계를 도시하는 그래프이며, 도 12가 프리코팅이 있는 경우, 도 13이 프리코팅이 없는 경우이다. 도 12 및 도 13으로부터, 프리코팅의 유무에 관계없이, 챔버 내부 압력이 높아지면, 갭에 의한 온도 강하율[℃/㎜]의 절대값이 커진다는 것을 알 수 있다. 또한, 전체적 경향으로서, 서셉터(12)의 설정 온도가 높을수록, 갭에 의한 온도 강하율의 압력 의존성이 보이고, 높은 압력측일수록 온도 강하율의 절대값이 증가하고 있다.
다음에, 도 14 및 도 15는 갭에 의한 온도 강하율[℃/㎜]과 서셉터(12)의 설정 온도의 관계를 도시하는 그래프이며, 도 14가 프리코팅이 있는 경우, 도 15가 프리코팅이 없는 경우이다. 도 14로부터, 프리코팅이 있는 경우에는, 서셉터(12)의 설정 온도가 500℃ 내지 600℃ 정도까지는, 갭에 의한 온도 강하율[℃/㎜]의 절대값이 커져 가지만, 그 이상의 온도가 되면, 온도 강하율[℃/㎜]의 절대값이 한계점에 도달하게 된다는 것을 알 수 있다. 또한, 도 15로부터, 프리코팅이 없는 경우에는, 서셉터(12)의 설정 온도가 400℃ 내지 600℃ 이상이 되면, 온도 강하율[℃/㎜]의 절대값이 한계점에 도달하게 된다는 것을 알 수 있다. 그리고, 도 14 및 도 15로부터, 처리 압력이 낮을수록 갭에 의한 온도 강하율[℃/㎜]의 절대값이 조기에 한계점에 도달하게 되는 경향을 갖는다는 것을 알 수 있다.
이상의 기초 실험의 결과를 근거로 하여, 서셉터(12)에 형성하는 오목부의 형상을 도 16에 나타내는 순서에 의해 결정했다.
또한, 이하의 순서에 있어서, 웨이퍼(W)의 온도는 TC(열전대)를 갖는 웨이퍼에 의한 직접 계측과, 온도 모니터용 웨이퍼에 의한 간접 계측에 의해 실행했다. 이 온도 모니터용 웨이퍼는, 반도체 웨이퍼에 불순물을 이온 상태로 박아넣어 주입함으로써 제작된 웨이퍼(예를 들면, 일본 공개 특허 제 2000-208524 호 공보, 일본 공개 특허 제 2004-335621 호 공보 참조)이며, 그 시트 저항을 측정함으로써 웨이퍼 온도를 간접적으로 계측할 수 있는 것이다.
우선, 온도 모니터용 웨이퍼를 이용하여, 웨이퍼(W)상의 복수(예를 들면, 5 내지 17)의 포인트에 대해서, 온도 계측을 실행한다(단계 S1). 가열 조건으로서는, 서셉터 설정 온도 680℃, 챔버 내부 압력 260Pa(조건 1)와, 서셉터 설정 온도 650℃, 챔버 내부 압력 666Pa(조건 2)의 2가지로 실행했다.
다음에, 오목부를 형성하는 영역을 결정한다(단계 S2). 이때, 웨이퍼(W) 이면에 퇴적물이 발생하는 것을 방지하는 관점에서, 서셉터(12)의 주연부는 절삭하지 않도록 한다. 구체적으로는, 예를 들면 웨이퍼(W)의 외주 단부로부터 내측으로 1㎜ 내지 30㎜의 폭으로 웨이퍼 지지면[제 2 지지면(SE)]이 형성되도록 서셉터(12)의 주연부를 남겨 둔다. 또한, 고온시에 웨이퍼(W)에 휘어짐이 발생했을 경우에 오목부의 기능이 충분히 발휘되지 않게 되는 것을 방지하기 위해서, 서셉터(12)의 중앙부는 절삭하지 않고, 제 1 지지면(SC)이 형성되도록 한다. 이 경우, 서셉터 중앙부에 있어서의 비절삭 영역(중앙 볼록부)의 범위는 서셉터(12)를 지지하는 지지 부재(13)의 직경과 동등하거나, 약간 커지도록 한다.
다음에, 임의의 계측 포인트에 대해서, 온도 모니터용 웨이퍼에 의한 계측값과 실제로 TC를 갖는 웨이퍼에 의해 측정된 계측값의 상관 관계를 구해서 보정값을 결정하고, 그 보정값을 전체 측정 포인트에 적용해서 모든 측정 포인트에 있어서의 정확한 온도를 파악한다(단계 S3). 이때에, TC를 갖는 웨이퍼에 의해 계측된 온도 는 도 19 및 도 20에 흑색칠의 플롯(흑색 원형 또는 흑색 마름모꼴)으로서 도시했다. 또, 도 19 및 도 20에 있어서, 가로축은 웨이퍼상의 직경방향의 위치를 도시하고 있고, 0(zero)은 웨이퍼 중심부를 의미한다.
다음에, 도 12 내지 도 15에 도시하는 온도 강하율의 기초 시험 데이터를 참조하여, 절삭하는 부분(오목부를 형성하는 영역)의 온도가 오목부를 형성하지 않은 영역의 온도와 동등해지도록, 각 계측 포인트에 있어서의 절삭량을 결정한다(단계 S4). 이때의 절삭량은 다음에 도시하는 식에 의해 산출할 수 있다.
절삭량(㎜) = 온도차/온도 강하율
여기에서, 「온도차」는 오목부를 형성할 예정인 영역의 온도와 오목부를 형성하지 않은 영역의 온도의 차이이다. 그리고, 필요로 하는 절삭량을 예를 들어 원주방향[서셉터(12)상의 동심원상의 위치]에 있어서 평균화해서 절삭량으로 한다.
이와 같이 오목부를 형성하는 영역과 그 절삭량을 결정한 후, 서셉터(12)를 절삭 가공함으로써, 오목부를 갖는 서셉터(12)를 제작할 수 있다(단계 S5).
이상의 단계 S1 내지 단계 S5의 순서에서 제작된 서셉터(12)의 구조를 도 17 및 도 18에 도시한다. 이 서셉터(12)는, 서셉터(12)의 중앙부측으로부터 주연부측을 향함에 따라, 제 1 바닥부(113), 제 2 바닥부(114), 제 3 바닥부(115)가 형성된 형상의 오목부(112e)가 형성된 구조이다. 여기에서, 중앙 볼록부(12b)의 반경(L1)은 45㎜, 오목부(112e)에 있어서, 제 1 바닥부(113)의 직경방향의 폭(L2)이 30㎜, 제 2 바닥부(114)의 직경방향의 폭(L3)이 25㎜, 제 3 바닥부(115)의 직경방향의 폭(L4)이 25㎜이며, 주연 볼록부(12c)의 직경방향의 폭(L5)이 25㎜이다.
또한, 오목부(112e)에 있어서, 제 1 바닥부(113)의 갭(G1)이 0.05㎜, 제 2 바닥부(114)의 갭(G2)이 0.13㎜, 제 3 바닥부(115)의 갭(G3)이 0.1㎜이다.
이러한 형상으로 오목부(112e)가 형성된 서셉터(12)를 이용하여, 상기 조건1, 2로 TC를 갖는 웨이퍼를 가열해서 온도 계측을 실시했다. 그 결과를 도 19 및 도 20에 있어서 속이 빈 플롯(백색 환형 또는 백색 사다리꼴)으로 도시했다. 도 19 및 도 20에 있어서의 흑색 칠의 플롯(오목부 없음)과 속이 빈 플롯(오목부 형성)의 비교로부터, 속이 빈 플롯에서는, 웨이퍼(W)의 중앙부와 주연부 사이(중간 영역)의 온도가 저하해서 면내 온도가 균일화하고 있는 것을 알 수 있다. 따라서, 오목부(112e)를 형성함으로써, 웨이퍼 면내에 있어서의 온도차를 작게 할 수 있는 것이 확인되었다.
또, 본 발명은 상기 실시형태에 한정되는 일없이 여러가지 변형하는 것이 가능하다. 예를 들면, 상기 실시형태에서는 본 발명을 TiN, Ti막 성막, 및 W막 성막에 적용했을 경우에 대해서 나타냈지만, 이들 막에 한정되지 않고, 다른 CVD막의 성막에 적용하는 것이 가능하다. 또한, 성막에 한정하지 않고, 가열을 동반하는 처리이면, 다른 처리도 가능하다. 또한, 단지 가열 처리만을 실행하는 장치에 적용하는 것도 가능하다. 더욱이, 기판으로서 반도체 웨이퍼를 사용한 경우에 대해서 나타냈지만, 이것에 한정하지 않고 다른 기판, 예를 들면 액정 표시 장치(LCD)용의 유리 기판 등에도 적용하는 것이 가능하다. 이 경우, 기판의 대형화에 따라, 다수의 히터를 구비한 대형의 탑재대를 사용할 필요가 있으므로, 오목부를 형성해서 온도 조절함으로써 대형 기판의 면내 온도의 균일화를 도모할 수 있는 이점은 커지게 된다.

Claims (18)

  1. 기판에 대한 열처리 또는 기판을 가열하면서 소정의 처리를 실행하는 기판 처리 장치에 있어서,
    챔버와,
    상기 챔버내를 가압하는 배기 수단과,
    상기 챔버내에서 기판을 지지하는 기판 탑재대와,
    상기 기판 탑재대를 거쳐서 기판을 가열하는 가열 수단을 갖고,
    상기 기판 탑재대는, 상기 기판 탑재대의 중앙부에 형성되어 상기 기판을 지지하는 제 1 지지면과, 상기 기판 탑재대의 주연부에 형성되어 상기 기판을 지지하는 제 2 지지면과, 상기 제 1 지지면과 상기 제 2 지지면 사이에 형성된 오목부를 갖고, 상기 기판 탑재대에 탑재된 상기 기판과 상기 오목부의 바닥면 사이에 갭이 형성되어 있는 것을 특징으로 하는
    기판 처리 장치.
  2. 제 1 항에 있어서,
    상기 갭의 크기는 장소에 따라 상이한 것을 특징으로 하는
    기판 처리 장치.
  3. 제 2 항에 있어서,
    상기 오목부의 바닥면에 단차가 마련되어 있는 것을 특징으로 하는
    기판 처리 장치.
  4. 제 3 항에 있어서,
    상기 오목부의 바닥면은, 동심원형상으로 배치된 복수의 환상 영역을 갖고, 인접하는 환상 영역의 높이가 서로 상이한 것을 특징으로 하는
    기판 처리 장치.
  5. 제 1 항에 있어서,
    상기 기판 탑재대는 그 중앙부에 접속된 지지 부재에 의해 지지되어 있는 것을 특징으로 하는
    기판 처리 장치.
  6. 제 5 항에 있어서,
    상기 제 1 지지면이 마련되어 있는 영역은 상기 지지 부재가 마련되어 있는 영역에 거의 대응하여 있는 것을 특징으로 하는
    기판 처리 장치.
  7. 제 1 항에 있어서,
    상기 가열 수단은 상기 기판 탑재대 내에 매설된 저항 히터를 갖는 것을 특 징으로 하는
    기판 처리 장치.
  8. 제 1 항에 있어서,
    상기 가열 수단은 복수의 히터를 갖는 것을 특징으로 하는
    기판 처리 장치.
  9. 제 1 항에 있어서,
    상기 가열 수단은, 상기 기판 탑재대의 중앙부에 배치된 제 1 히터와, 상기 제 1 히터를 둘러싸도록 배치된 제 2 히터를 갖는 것을 특징으로 하는
    기판 처리 장치.
  10. 감압 상태로 유지된 챔버내에서 기판을 지지하고, 가열 수단에 의해 가열되어서 그 열에 의해 기판을 가열하는 기판 탑재대에 있어서,
    상기 기판 탑재대는, 상기 기판 탑재대의 중앙부에 형성되어 상기 기판을 지지하는 제 1 지지면과, 상기 기판 탑재대의 주연부에 형성되어 상기 기판을 지지하는 제 2 지지면과, 상기 제 1 지지면과 상기 제 2 지지면 사이에 형성된 오목부를 갖고, 상기 기판 탑재대에 탑재된 상기 기판과 상기 오목부의 바닥면 사이에 갭이 형성되어 있는 것을 특징으로 하는
    기판 처리 장치.
  11. 제 10 항에 있어서,
    상기 갭의 크기는 장소에 따라 상이한 것을 특징으로 하는
    기판 처리 장치.
  12. 제 11 항에 있어서,
    상기 오목부의 바닥면에 단차가 마련되어 있는 것을 특징으로 하는
    기판 처리 장치.
  13. 제 12 항에 있어서,
    상기 오목부의 바닥면은, 동심원형상으로 배치된 복수의 환상 영역을 갖고, 인접하는 환상 영역의 높이가 서로 상이한 것을 특징으로 하는
    기판 처리 장치.
  14. 제 10 항에 있어서,
    상기 기판 탑재대는 그 중앙부에 접속된 지지 부재에 의해 지지되어 있는 것을 특징으로 하는
    기판 처리 장치.
  15. 제 14 항에 있어서,
    상기 제 1 지지면이 마련되어 있는 영역은 상기 지지 부재가 마련되어 있는 영역에 거의 대응하는 것을 특징으로 하는
    기판 처리 장치.
  16. 제 10 항에 있어서,
    상기 가열 수단은 상기 기판 탑재대 내에 매설된 저항 히터를 갖는 것을 특징으로 하는
    기판 처리 장치.
  17. 제 10 항에 있어서,
    상기 가열 수단은 복수의 히터를 갖는 것을 특징으로 하는
    기판 처리 장치.
  18. 제 10 항에 있어서,
    상기 가열 수단은, 상기 기판 탑재대의 중앙부에 배치된 제 1 히터와, 상기 제 1 히터를 둘러싸도록 배치된 제 2 히터를 갖는 것을 특징으로 하는
    기판 처리 장치.
KR1020077023028A 2005-08-05 2006-08-04 기판 처리 장치 및 기판 탑재대 KR20070110910A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JPJP-P-2005-00227465 2005-08-05
JP2005227465 2005-08-05

Publications (1)

Publication Number Publication Date
KR20070110910A true KR20070110910A (ko) 2007-11-20

Family

ID=37727340

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020077023028A KR20070110910A (ko) 2005-08-05 2006-08-04 기판 처리 장치 및 기판 탑재대

Country Status (5)

Country Link
US (1) US20100162956A1 (ko)
KR (1) KR20070110910A (ko)
CN (1) CN101164156A (ko)
TW (1) TW200711029A (ko)
WO (1) WO2007018157A1 (ko)

Families Citing this family (329)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5042661B2 (ja) * 2007-02-15 2012-10-03 東京エレクトロン株式会社 プラズマ処理装置及びフィルタユニット
KR101094982B1 (ko) * 2008-02-27 2011-12-20 도쿄엘렉트론가부시키가이샤 플라즈마 에칭 처리 장치 및 플라즈마 에칭 처리 방법
JP2010021510A (ja) * 2008-06-13 2010-01-28 Canon Anelva Corp 基板保持装置およびプラズマ処理装置
JP5204721B2 (ja) * 2008-06-16 2013-06-05 株式会社ニューフレアテクノロジー 成膜装置および成膜方法
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
JP5280901B2 (ja) * 2009-03-18 2013-09-04 光洋サーモシステム株式会社 基板処理システムおよび基板処理方法
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8486726B2 (en) 2009-12-02 2013-07-16 Veeco Instruments Inc. Method for improving performance of a substrate carrier
JP5570938B2 (ja) * 2009-12-11 2014-08-13 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
CN102270558A (zh) * 2010-06-04 2011-12-07 中微半导体设备(上海)有限公司 一种用于真空处理***的安装装置
TW201239124A (en) * 2011-03-22 2012-10-01 Chi Mei Lighting Tech Corp Wafer susceptor and chemical vapor deposition apparatus
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) * 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
TWI505400B (zh) * 2011-08-26 2015-10-21 Lg Siltron Inc 基座
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9490150B2 (en) * 2012-07-03 2016-11-08 Applied Materials, Inc. Substrate support for substrate backside contamination control
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP2014093420A (ja) * 2012-11-02 2014-05-19 Toyota Motor Corp ウェハを支持ディスクに接着する治具、および、それを用いた半導体装置の製造方法
CN104718608A (zh) * 2012-11-21 2015-06-17 Ev集团公司 用于容纳及安装晶片的容纳装置
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
CN103556131B (zh) * 2013-11-06 2016-08-17 北京泰科诺科技有限公司 一种用于化学气相沉积的高温加热沉积台
DE102013113045A1 (de) * 2013-11-26 2015-05-28 Aixtron Se Heizvorrichtung
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
TWI734668B (zh) * 2014-06-23 2021-08-01 美商應用材料股份有限公司 在epi腔室中的基材熱控制
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
JP6440298B2 (ja) * 2014-09-04 2018-12-19 国立大学法人 新潟大学 プラズマエッチング装置
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US20170051402A1 (en) * 2015-08-17 2017-02-23 Asm Ip Holding B.V. Susceptor and substrate processing apparatus
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
JP6600588B2 (ja) 2016-03-17 2019-10-30 東京エレクトロン株式会社 基板搬送機構の洗浄方法及び基板処理システム
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US20180102247A1 (en) * 2016-10-06 2018-04-12 Asm Ip Holding B.V. Substrate processing apparatus and method of manufacturing semiconductor device
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US20180148835A1 (en) * 2016-11-29 2018-05-31 Lam Research Corporation Substrate support with varying depths of areas between mesas and corresponding temperature dependent method of fabricating
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
CN106684025B (zh) * 2016-12-23 2019-05-14 北京半导体专用设备研究所(中国电子科技集团公司第四十五研究所) 一种晶圆定位装置
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
KR102417931B1 (ko) * 2017-05-30 2022-07-06 에이에스엠 아이피 홀딩 비.브이. 기판 지지 장치 및 이를 포함하는 기판 처리 장치
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR102435888B1 (ko) * 2017-07-04 2022-08-25 삼성전자주식회사 정전 척, 기판 처리 장치 및 그를 이용한 반도체 소자의 제조방법
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
JP6866255B2 (ja) * 2017-08-09 2021-04-28 東京エレクトロン株式会社 プラズマ処理装置
US11939675B2 (en) 2017-08-11 2024-03-26 Applied Materials, Inc. Apparatus and methods for improving thermal chemical vapor deposition (CVD) uniformity
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
JP7322365B2 (ja) * 2018-09-06 2023-08-08 株式会社レゾナック サセプタ及び化学気相成長装置
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102627584B1 (ko) 2019-02-20 2024-01-22 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 주기적 증착 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
CN110265323B (zh) * 2019-05-31 2021-09-03 拓荆科技股份有限公司 具有接点阵列的晶圆加热座
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US12016092B2 (en) 2019-12-05 2024-06-18 Applied Materials, Inc. Gas distribution ceramic heater for deposition chamber
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN116438335A (zh) * 2021-02-11 2023-07-14 应用材料公司 用于腔室内电阻加热元件的腔室主体穿通
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61215289A (ja) * 1985-03-19 1986-09-25 Toshiba Mach Co Ltd 気相成長装置
JP3021264B2 (ja) * 1993-12-13 2000-03-15 アネルバ株式会社 基板加熱・冷却機構
JP3146112B2 (ja) * 1993-12-24 2001-03-12 シャープ株式会社 プラズマcvd装置
JPH0997765A (ja) * 1995-09-29 1997-04-08 Toshiba Corp 基板処理装置
JPH11111707A (ja) * 1997-10-07 1999-04-23 Hitachi Electron Eng Co Ltd 気相成長装置
JP2000164588A (ja) * 1998-11-30 2000-06-16 Ebara Corp 基板加熱方法及び装置
JP2000260720A (ja) * 1999-03-12 2000-09-22 Kokusai Electric Co Ltd 半導体製造装置
JP4592849B2 (ja) * 1999-10-29 2010-12-08 アプライド マテリアルズ インコーポレイテッド 半導体製造装置
US6494955B1 (en) * 2000-02-15 2002-12-17 Applied Materials, Inc. Ceramic substrate support
BR0115616A (pt) * 2000-11-28 2003-09-16 Pfizer Prod Inc Preparação de inibidores de permutadores sódio-hidrogênio do tipo 1
JP3929879B2 (ja) * 2002-11-21 2007-06-13 京セラ株式会社 ウェハ支持部材
JP2004200156A (ja) * 2002-12-05 2004-07-15 Ibiden Co Ltd 金属ヒータ
JP2005109169A (ja) * 2003-09-30 2005-04-21 Ngk Insulators Ltd 基板加熱装置とその製造方法
JP2005197391A (ja) * 2004-01-06 2005-07-21 Ibiden Co Ltd プラズマ発生装置用電極埋設部材

Also Published As

Publication number Publication date
US20100162956A1 (en) 2010-07-01
CN101164156A (zh) 2008-04-16
TW200711029A (en) 2007-03-16
WO2007018157A1 (ja) 2007-02-15

Similar Documents

Publication Publication Date Title
KR20070110910A (ko) 기판 처리 장치 및 기판 탑재대
JP2007067394A (ja) 基板処理装置およびそれに用いる基板載置台
US20220044956A1 (en) Substrate supporting apparatus, substrate processing apparatus including the same, and substrate processing method
KR102417931B1 (ko) 기판 지지 장치 및 이를 포함하는 기판 처리 장치
US11136665B2 (en) Shadow ring for modifying wafer edge and bevel deposition
US10170342B2 (en) Flow controlled liner having spatially distributed gas passages
US6761771B2 (en) Semiconductor substrate-supporting apparatus
KR100403078B1 (ko) 매엽식열처리장치
US6521292B1 (en) Substrate support including purge ring having inner edge aligned to wafer edge
KR20170030581A (ko) 화학 기상 증착 반응기에서의 서셉터의 설계
US20150368829A1 (en) Substrate thermal control in an epi chamber
US11420217B2 (en) Showerhead for ALD precursor delivery
KR100745854B1 (ko) 화학 증착 방법
WO2017074700A1 (en) High productivity pecvd tool for wafer processing of semiconductor manufacturing
US11236424B2 (en) Process kit for improving edge film thickness uniformity on a substrate
JP5824582B2 (ja) 基板支持ユニット及び基板処理装置,並びに基板支持ユニットの製造方法
US20100248397A1 (en) High temperature susceptor having improved processing uniformity
JP2004052098A (ja) 基板処理装置およびそれに用いるサセプタ
TWI568881B (zh) 具有相異發射率之區域的面板
KR101652868B1 (ko) 박막처리장치 및 이를 이용하는 박막처리공정의 기판가열방법
KR100749375B1 (ko) 플라즈마 화학 증착 장치
KR20040100712A (ko) 스퍼터링 챔버 및 그의 웨이퍼 로딩 장치

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application