KR20030010754A - 플라즈마 반응 이온 에칭 폴리머 제거 방법 - Google Patents

플라즈마 반응 이온 에칭 폴리머 제거 방법 Download PDF

Info

Publication number
KR20030010754A
KR20030010754A KR1020027017496A KR20027017496A KR20030010754A KR 20030010754 A KR20030010754 A KR 20030010754A KR 1020027017496 A KR1020027017496 A KR 1020027017496A KR 20027017496 A KR20027017496 A KR 20027017496A KR 20030010754 A KR20030010754 A KR 20030010754A
Authority
KR
South Korea
Prior art keywords
mixture
rie
reducing gas
product
gas plasma
Prior art date
Application number
KR1020027017496A
Other languages
English (en)
Inventor
카우리앤디
에미피터
티모시달튼
쟌스크리스토퍼빈센트
Original Assignee
인터내셔널 비지네스 머신즈 코포레이션
인피니언 테크놀로지스 노쓰 아메리카 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 인터내셔널 비지네스 머신즈 코포레이션, 인피니언 테크놀로지스 노쓰 아메리카 코포레이션 filed Critical 인터내셔널 비지네스 머신즈 코포레이션
Publication of KR20030010754A publication Critical patent/KR20030010754A/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76804Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics by forming tapered via holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S134/00Cleaning and liquid contact with solids
    • Y10S134/902Semiconductor wafer
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/906Cleaning of wafer as interim step

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

반도체 웨이퍼 표면 또는 미세전자 합성 구조체로부터 반응 이온 에칭 후의 생성물의 제거 방법으로, N2/H2의 혼합물 또는 NH3/H2의 혼합물로 이루어진 그룹으로부터 선택된 형성 가스 혼합물을 함유하는 환원 가스 플라즈마를 진공 챔버에 공급하되, 상기 진공 챔버의 내부에는 반도체 웨이퍼 표면 또는 미세전자 합성 구조체가 상기 환원 가스 플라즈마에 노출된 유기질이 적은 K 물질의 현저한 제거없이 합성 구조체상에 RIE 후 폴리머 물질 생성물을 형성하도록 지지된 단계와, 상기 RIE 후 폴리머 물질 생성물을 습식 세정으로 제거하는 단계로 이루어진다.

Description

플라즈마 반응 이온 에칭 폴리머 제거 방법{PLASMA RIE POLYMER REMOVAL}
기존의 RIE 후의 플라즈마 세정 용액은 산화 화합물을 사용하지만, 이들 화합물은 유기질이 적은 K 유전체 물질에 대해 폴리머 스트립/세정의 극히 낮은 선택성으로 인하여 유기질이 적은 상수(K)의 유기체가 사용될 수 없었다.
특히, 어떤 경우에는 크롬-인 산 탱크 욕조 또는 기타 용제가 주성분인 화합물을 사용함에 의해 RIE 후의 폴리머 세정이 달성된다. 이 공정에 있어서, RIE 프로세스의 완료와 습식 세정사이에 대략 4시간의 시한이 있다.
하지만, 기존의 습식 화학 세정에는 다음과 같은 몇가지의 결점이 있다, 산이 주성분인 화합물(HF없음)은 (지지영역내에 위치된 금속 패드에서 볼수 있는 바와 같이) 고 실리콘 성분을 가지는 폴리머를 제거하는 데는 효과적이지 않고, 용제가 주성분인 화합물은 (전형적인 산을 주성분으로 하는 세정법이 2-4분인 것에 비해 대략 10분으로) 장시간의 공정시간을 요하며, 비용적인 면과 환경 폐기물의 처리로 곤란을 격는다.
미국 특허 제5,780,359호에는 반도체 웨이퍼의 상부 표면들과 측벽들로부터 폴리머를 제거하는 방법이 개시되어 있다. 이 방법은 프로세스 챔버로부터 상류의 플루오르 함유 가스로 이루어진 공급 가스의 흐름을 개시하는 단계와, 플라즈마를 생성하는 공급 가스 수단에 인가하는 단계와, 플라즈마로부터 분리된 반응편의 형태로 플라즈마의 유출물을 프로세스 챔버에 공급하는 단계와, 프로세스 챔버내의 웨이퍼에 무선 주파수 에너지를 인가하여 내부에 낮은 밀도의 플라즈마를 발생하여 웨이퍼에 자기 바이어싱(self-biasing)을 일으키는 단계와, 플라즈마 발생을 증진하도록 웨이퍼에 무선 주파수 에너지를 인가하는 단계동안 수평으로 느리게 회전하는 자계를 프로세스 챔버에 인가하는 단계와, 금속-에칭후 웨이퍼의 상부 표면들과 측벽들로부터 포토레지스트와 폴리머의 잔류물을 반응편들과 낮은 밀도 플라즈마로 스트립핑하는 단계로 이루어지며, 이때, 프로세스 챔버내의 압력은 100 milliTorr이상이다.
Al/Cu 금속배선상에서 RIE 후의 폴리머를 제거하는 프로세스가 미국 특허 제5,980,770호에 개시되어 있다. 이 프로세스는 에칭 가스와 산 중화 가스의 혼합물을, RIE 프로세스로부터 Al/Cu 금속배선의 좌후방에 측벽 폴리머 레일의 수용성 물질을 형성하도록 내부에 합성 구조체가 지지된 진공 챔버에 공급하는 단계와, 수용성 물질을 탈 이온수로 제거하는 단계와, 화학적 다운 스트립 에칭법(chemical down stream etching method)의 수 전용 플라즈마 프로세스에 의하거나, 또는 RIE 프로세스시에 이미 형성된 반도체 또는 미세 전자 합성 구조체의 포토레지스트 층을 스트립하도록 수 전용 플라즈마 프로세스를 형성하는 것에 의해 합성 구조체로부터 포토레지스트를 제거하는 단계와, 구조체가 RIE 프로세스로부터 Al/Cu 금속배선의 좌후방에 측벽 폴리머 레일의 수용성 물질을 형성하도록 구조체가 지지된 진공 챔버에 에칭 가스 및 산 중화 가스의 혼합물을 공급하는 단계와, 수용성 물질을 탈이온수로 제거하는 단계로 구성된다.
미국 특허 제 6,000,888호에는 드라이 에칭후, 웨이퍼로부터 포토레지스트 및 폴리머를 스트립하는 방법이 개시되어 있고, 이 방법은 반도체 구조체상에 포토레지스트 패턴을 형성하고, 1) 퍼옥시이황산염(S2O8 2)(중황산염), 2) 염화수소산(HCI) 및 3) 물로 이루어진 욕내에 기판을 침지시키고, 이 용액내에 반도체 구조체를 교반하되, 상기 욕의 온도가 약 90내지 100℃사이의 범위내에 있는 단계와, 반도체 구조체와 포토레지스트 패턴을 약 150nm 와 300nm 사이의 파장과 약 4내지 8.0eV의 에너지를 가지는 UV 레이저로 조사하고, 포토레지스트를 제거하고자 웨이퍼당 약 10내지 10,000사이의 범위의 레이저 펄스로 조사하는 단계로 구성된다.
종래에는 반도체 물질로부터 RIE 폴리머의 제거를 RIE 후 세정없이 사용하였고, 이는 높은 접촉 저항과 신뢰성에 문제가 있었다.
이와 달리, 종래의 습식 화합물 전용 세정을 이용하면, 이는 습식 화학 욕의 심각한 오염으로 인해 RIE 프로세스동안 증착된 웨이퍼의 표면상에 플르오르폴리머을 적층박리(delaminating)하게된다.
RIE 에칭 물질을 세정하는 기술에 있어서, 유기질 유전체 물질의 과다한 제거없이 유기질이 적은 K 유전체 물질의 표면으로부터 플루오르폴리머/탄화수소를 제거하는 것이 필요하다.
[발명의 요약]
본 발명의 목적은 습식 화합물 세정 이전에 웨이퍼 표면 또는 비아 구조체로부터 반응 이온 에칭(RIE) 후의 생성물을 완전히 제거하는 프로세스를 제공하는 것이다.
본 발명의 다른 목적은 N2/H2의 형성가스 혼합물을 함유하는 환원 가스 플라즈마을 이용함에 의해 습식 화합물 세정전에 웨이퍼 표면 또는 비아 구조체로부터 반응 이온 에칭(RIE) 후의 생성물을 완전히 제거하는 프로세스를 제공하는 것이다.
본 발명의 또 다른 목적은 N2/H2또는 NH3/H2의 형성가스 혼합물을 함유하는 환원 가스 플라즈마에 노출된 유기질이 적은 유전체 상수 물질의 현저한 제거없이습식 화합물 세정전에 웨이퍼 표면 또는 비아 구조체로부터 반응 이온 에칭(RIE) 후의 생성물을 완전히 제거하는 프로세스를 제공하는 것이다.
본 발명의 또 다른 목적은 N2/H2또는 NH3/H2의 형성가스 혼합물을 함유하는 환원 가스 플라즈마를 이용함에 의해 단일 또는 이중의 물결무늬 구조체로부터 반응 이온 에칭(RIE) 후의 플루오르폴리머 스트립을 완전히 제거하는 프로세스를 제공하는 것이다.
본 발명은 반응 이온 에칭(RIE)후 환원 플라즈마 화합물의 사용을 통해 유기질이 적은 K 유전체상의 폴리머 제거 방법에 관한 것이다. 이 방법은 환원 가스 플라즈마의 사용에 의해 RIE 후 유기질이 적은 K 유전체 물질상에 광범위하게 걸쳐있는 폴리머를 제거하며, 습식 화학 세정전의 웨이퍼 표면 또는 비아 구조체상에서 반응 이온 에칭후의 생성물을 완전히 제거하기 위해 (N2/H2)의 형성 가스 혼합물 또는 암모니아/수소(NH3/H2)의 혼합물로 이루어진다. 가스 플라즈마에 노출된 유기질이 적은 K 유전체 물질의 현저한 제거없이 제거가 달성된다.
도 1은 웨이퍼 표면이 이미 에칭되어, RIE 후의 플루오르폴리머가 유기질이 적은 K 유전체상에 배설되어 있음을 나타내는 다이아그램이다.
[바람직한 실시예의 설명]
이상과 같은 본 발명과 그외 목적 및 효과는 이하에 기술된 바람직한 실시예의 상세한 설명으로부터 잘 이해될 것이다.
RIE 후의 플라즈마 세정 용액에 대한 종래의 프로세스에 있어서, 산화 화합물의 사용이 잘 알려져 있다. 하지만, 이러한 화합물은 유기질이 적은 K 유전체 물질에 대한 폴리머 스트립/세정의 선택성이 극히 나쁘기 때문에 유기질이 적은 K 유전체로 사용될 수 없었다.
한편, RIE 플루오르폴리머를 제거하는 종래의 방법이 RIE 후의 세정없이 사용되면, 높은 접촉 저항이 있게되어 신뢰성이 상실되게 되며, 또는 습식 화합물만의 세정을 사용하여 RIE 프로세스동안 웨이퍼의 표면에 증착된 RIE 플루오르폴리머를 제거하고자 하면, 웨이퍼 표면의 층간박리로 인해 습식 화합물 욕에 심각한 오염을 야기한다.
최근 하드 마스크(이산화 실리콘과 질화 실리콘 층)를 사용하는 추세에 비추어 발명을 판단해 보면, RIE 프로세스가 뒤따르는 환원 가스 플라즈마 화합물의 사용은 가스 플라즈마에 노출된 유기질이 적은 유전체 상수 물질의 현저한 제거없이 RIE 후의 폴리머 제거가 효과적으로 달성된다.
이와 관련하여, 도 1에는 상부에 유기질이 적은 K 유전체 물질(11)이 있는 금속(10)과, 질화 실리콘층(12)과 이산화 실리콘층(13)으로 이루어진 웨이퍼 표면을 도시하고 있고, 실리콘층들은 하드 마스크를 구성한다. 이어서 반응 이온 에칭후, 플루오르폴리머층(14)이 남고, 반도체 웨이퍼 또는 칩을 형성하는 프로세스의 완료전에 제거의 필요성이 있다.
포토-레지스트의 노출 및 도포 및 금속배선을 구획하기 위한 RIE의 사용후, 습식 화합물 세정의 사용전에 웨이퍼 표면으로부터 반응 이온 에칭 후의 플루오르폴리머 생성물을 완전히 제거하기 위해 N2/H2의 형성 가스 혼합물을 함유하는 환원 가스 플라즈마에 합성칩의 RIE 플루오르폴리머(14)가 가해진다. 반응 이온 에칭 후의 생성물의 제거는 N2/H2의 형성 가스 혼합물의 가스 플라즈마에 노출된 200Å미만의 유기질이 적은 유전체 상수 물질(11)의 현저한 제거없이 달성된다.
본 발명 프로세스의 다른 실시예에 있어서, 환원 가스 플라즈마는 습식 화합물 세정전에 웨이퍼 표면으로부터 반응 이온 에칭 후의 플루오르폴리머 생성물을 완전히 제거하기 위해 NH3/H2의 형성 가스 혼합물을 함유한다. 이때의 제거 역시 가스 플라즈마에 노출된 200Å미만의 유기질이 적은 유전체 상수 물질의 현저한 제거없이 달성된다.
본 발명에 있어서, N2/H2또는 NH3/H2의 형성 가스 혼합물을 함유하는 특정의 환원 가스 플라즈마는 플루오르폴리머를 제거한 이후 금속배선의 부식의 진행을 방지하기 때문에 세정 이후 양호한 프로세스를 가지는 것이 중요하지만, 본 발명의 형성 가스 혼합물을 함유하는 환원 가스 플라즈마는 기존의 RIE 후의 플라즈마 세정과 달리, 플루오르폴리머의 제거에 대해서는 선택적인 반면, 오직 극소량의 유기질이 적은 K 유전체만을 제거한다.
따라서, 높은 접촉 저항 및 신뢰성이 없는 어떠한 RIE 후의 세정을 사용할 수 없는 종래의 프로세스 또는 RIE 프로세스 동안 증착된 웨이퍼 표면상의 플루오르폴리머의 적층박리로부터 습식 화합물 욕의 심각한 오염을 야기하는 종래의 습식 화합물 세정만의 사용은 피할 수 있다.
본 발명의 프로세스가 웨이퍼 표면으로부터 반응 이온 에칭 후의 폴리머 생성물을 완전히 제거하기 위해 N2/H2또는 NH3/H2의 형성 가스 혼합물중의 하나를 함유하는 환원 가스 플라즈마를 이용하는 반면, 본 발명은 습식 화합물 세정전에 비아 구조체로부터 반응 이온 에칭 후의 폴리머 생성물을 특히 제거하는데 유용한 것과 마찬가지이다.

Claims (9)

  1. 반도체 웨이퍼 표면 또는 미세전자 합성 구조체로부터 반응 이온 에칭 후의 생성물의 제거 방법에 있어서,
    N2/H2의 혼합물 또는 NH3/H2의 혼합물로 이루어진 그룹으로부터 선택된 형성 가스 혼합물을 함유하는 환원 가스 플라즈마를 진공 챔버에 공급하되, 상기 진공 챔버의 내부에는 반도체 웨이퍼 표면 또는 미세전자 합성 구조체가 상기 환원 가스 플라즈마에 노출된 유기질이 적은 K 물질의 현저한 제거없이 합성 구조체상에 RIE 후 폴리머 물질 생성물을 형성하도록 지지된 단계와,
    상기 RIE 후 폴리머 물질 생성물을 습식 세정으로 제거하는 단계로 이루어진 것을 특징으로 하는 방법.
  2. 제 1 항에 있어서,
    상기 형성 가스 혼합물을 함유하는 상기 환원 가스 플라즈마는 N2/H2의 혼합물인 것을 특징으로 하는 방법.
  3. 제 1 항에 있어서,
    상기 형성 가스 혼합물을 함유하는 상기 환원 가스 플라즈마는 NH3/H2의 혼합물인 것을 특징으로 하는 방법.
  4. 제 1 항에 있어서,
    상기 합성 구조체는 비아 구조체인 것을 특징으로 하는 방법.
  5. 제 1 항에 있어서,
    상기 반도체 웨이퍼 표면은 이중 물결무늬 구조인 것을 특징으로 하는 방법.
  6. 제 4 항에 있어서,
    상기 비아 구조체는 단일 물결무늬 구조인 것을 특징으로 하는 방법.
  7. 제 1 항에 있어서,
    상기 RIE 후 폴리머 물질 생성물은 플루오르폴리머/탄화수소 물질인 것을 특징으로 하는 방법.
  8. 제 7 항에 있어서,
    상기 유기질이 적은 K 물질의 제거는 200Å보다 적은 양인 것을 특징으로 하는 방법.
  9. N2/H2의 혼합물 또는 NH3/H2의 혼합물로 이루어진 그룹에서 선택된 형성 가스 혼합물을 함유하는 환원 가스 플라즈마로 반응 이온 에칭 후의 생성물을 제거한 후 유기질이 적은 K 물질을 반도체 웨이퍼 표면으로부터 200Å미만 제거하는 것을 특징으로 하는 방법.
KR1020027017496A 2000-06-23 2001-06-25 플라즈마 반응 이온 에칭 폴리머 제거 방법 KR20030010754A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/603,254 2000-06-23
US09/603,254 US6758223B1 (en) 2000-06-23 2000-06-23 Plasma RIE polymer removal

Publications (1)

Publication Number Publication Date
KR20030010754A true KR20030010754A (ko) 2003-02-05

Family

ID=24414664

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020027017496A KR20030010754A (ko) 2000-06-23 2001-06-25 플라즈마 반응 이온 에칭 폴리머 제거 방법

Country Status (4)

Country Link
US (2) US6758223B1 (ko)
EP (1) EP1292972A2 (ko)
KR (1) KR20030010754A (ko)
WO (1) WO2002007203A2 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2009008958A2 (en) * 2007-07-11 2009-01-15 Lam Research Corporation Method of post etch polymer residue removal

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6861348B2 (en) * 2000-12-14 2005-03-01 Texas Instruments Incorporated Pre-pattern surface modification of low-k dielectrics
KR100555505B1 (ko) * 2003-07-09 2006-03-03 삼성전자주식회사 실리사이드층의 증착 및 제거에 의해서 콘택홀 바닥에서확장된 오픈 선폭을 구현하는 연결 콘택 형성 방법
JP4191096B2 (ja) * 2003-07-18 2008-12-03 Tdk株式会社 磁性材を含む被加工体の加工方法及び磁気記録媒体の製造方法
KR100580584B1 (ko) * 2004-05-21 2006-05-16 삼성전자주식회사 리모트 플라즈마 발생 튜브의 표면 세정 방법과 이를이용하는 기판 처리 방법 및 기판 처리 장치
CN100392821C (zh) * 2004-11-08 2008-06-04 联华电子股份有限公司 去除蚀刻残余的聚合物的方法
US20060148243A1 (en) * 2004-12-30 2006-07-06 Jeng-Ho Wang Method for fabricating a dual damascene and polymer removal
KR100685903B1 (ko) * 2005-08-31 2007-02-26 동부일렉트로닉스 주식회사 반도체 소자의 제조 방법
US20070218697A1 (en) * 2006-03-15 2007-09-20 Chung-Chih Chen Method for removing polymer from wafer and method for removing polymer in interconnect process
US7284653B2 (en) * 2006-03-23 2007-10-23 Laitram, L.L.C. Sorter belt conveyor
EP2205775A1 (en) * 2007-09-26 2010-07-14 Silverbrook Research Pty. Ltd Reactive ion etching process for etching metals
US8138093B2 (en) 2009-08-12 2012-03-20 International Business Machines Corporation Method for forming trenches having different widths and the same depth
KR20140047917A (ko) * 2012-10-15 2014-04-23 삼성전자주식회사 반도체 장치의 제조 방법
WO2015149846A1 (de) 2014-04-01 2015-10-08 Ev Group E. Thallner Gmbh Verfahren und vorrichtung zur oberflächenbehandlung von substraten
US9613826B2 (en) 2015-07-29 2017-04-04 United Microelectronics Corp. Semiconductor process for treating metal gate

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03261138A (ja) * 1990-03-09 1991-11-21 Mitsubishi Electric Corp 半導体装置のクリーニング方法およびクリーニング装置
US5635423A (en) * 1994-10-11 1997-06-03 Advanced Micro Devices, Inc. Simplified dual damascene process for multi-level metallization and interconnection structure
US5788869A (en) * 1995-11-02 1998-08-04 Digital Equipment Corporation Methodology for in situ etch stop detection and control of plasma etching process and device design to minimize process chamber contamination
US5780359A (en) * 1995-12-11 1998-07-14 Applied Materials, Inc. Polymer removal from top surfaces and sidewalls of a semiconductor wafer
US6030902A (en) 1996-02-16 2000-02-29 Micron Technology Inc Apparatus and method for improving uniformity in batch processing of semiconductor wafers
US6159862A (en) * 1997-12-27 2000-12-12 Tokyo Electron Ltd. Semiconductor processing method and system using C5 F8
US5980770A (en) * 1998-04-16 1999-11-09 Siemens Aktiengesellschaft Removal of post-RIE polymer on Al/Cu metal line
US6207583B1 (en) * 1998-09-04 2001-03-27 Alliedsignal Inc. Photoresist ashing process for organic and inorganic polymer dielectric materials
US6242350B1 (en) * 1999-03-18 2001-06-05 Taiwan Semiconductor Manufacturing Company Post gate etch cleaning process for self-aligned gate mosfets
JP3287406B2 (ja) 1999-06-11 2002-06-04 日本電気株式会社 半導体装置の製造方法
US6030901A (en) * 1999-06-24 2000-02-29 Advanced Micro Devices, Inc. Photoresist stripping without degrading low dielectric constant materials
US6361929B1 (en) * 1999-08-13 2002-03-26 United Microelectronics Corp. Method of removing a photo-resist layer on a semiconductor wafer
US6252290B1 (en) * 1999-10-25 2001-06-26 Chartered Semiconductor Manufacturing Ltd. Method to form, and structure of, a dual damascene interconnect device
US6440230B1 (en) * 2000-03-03 2002-08-27 Micron Technology, Inc. Nitride layer forming method

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2009008958A2 (en) * 2007-07-11 2009-01-15 Lam Research Corporation Method of post etch polymer residue removal
WO2009008958A3 (en) * 2007-07-11 2009-04-16 Lam Res Corp Method of post etch polymer residue removal

Also Published As

Publication number Publication date
US6758223B1 (en) 2004-07-06
EP1292972A2 (en) 2003-03-19
US20020088476A1 (en) 2002-07-11
WO2002007203A2 (en) 2002-01-24
WO2002007203A3 (en) 2002-05-30

Similar Documents

Publication Publication Date Title
KR100347648B1 (ko) 포토레지스트및에칭잔류물제거방법
US6849559B2 (en) Method for removing photoresist and etch residues
US7192878B2 (en) Method for removing post-etch residue from wafer surface
KR20030010754A (ko) 플라즈마 반응 이온 에칭 폴리머 제거 방법
JP3326644B2 (ja) シリコン系材料層の加工方法
TW201532141A (zh) 蝕刻後聚合物及硬遮罩移除之加強型移除用方法及硬體
WO2003090270A1 (en) Method for removing photoresist and etch residues
JP2014090192A (ja) 通常の低k誘電性材料および/または多孔質の低k誘電性材料の存在下でのレジスト剥離のための方法
US6184134B1 (en) Dry process for cleaning residues/polymers after metal etch
JP2003332313A (ja) 半導体装置の製造方法
JPH09102483A (ja) 半導体基板上にシリコン材料の上部構造体を形成する製造方法
US8440092B2 (en) Method for selective etching
US6554004B1 (en) Method for removing etch residue resulting from a process for forming a via
JP2003023072A (ja) 半導体装置の製造方法および半導体装置の製造装置
TW200425267A (en) Method of manufacturing semiconductor device
US6143637A (en) Process for production of semiconductor device and cleaning device used therein
US7055532B2 (en) Method to remove fluorine residue from bond pads
US6423646B1 (en) Method for removing etch-induced polymer film and damaged silicon layer from a silicon surface
US6399509B1 (en) Defects reduction for a metal etcher
KR100312985B1 (ko) 반도체소자제조방법
KR100906043B1 (ko) 반도체 소자의 세정 방법
JP4722243B2 (ja) ドライエッチング用ガスおよび半導体デバイスの加工方法
US8846528B2 (en) Method of modifying a low k dielectric layer having etched features and the resulting product
JP2003243335A (ja) レジスト除去方法およびレジスト除去装置
JPH0927473A (ja) レジスト除去方法およびその装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application