US20020088476A1 - Plasma RIE polymer removal - Google Patents

Plasma RIE polymer removal Download PDF

Info

Publication number
US20020088476A1
US20020088476A1 US10/043,751 US4375102A US2002088476A1 US 20020088476 A1 US20020088476 A1 US 20020088476A1 US 4375102 A US4375102 A US 4375102A US 2002088476 A1 US2002088476 A1 US 2002088476A1
Authority
US
United States
Prior art keywords
post
mixture
removal
rie
product
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/043,751
Inventor
Andy Cowley
Peter Emmi
Timothy Dalton
Christopher Jahnes
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Infineon Technologies North America Corp
Original Assignee
Infineon Technologies North America Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Infineon Technologies North America Corp filed Critical Infineon Technologies North America Corp
Priority to US10/043,751 priority Critical patent/US20020088476A1/en
Publication of US20020088476A1 publication Critical patent/US20020088476A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76804Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics by forming tapered via holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S134/00Cleaning and liquid contact with solids
    • Y10S134/902Semiconductor wafer
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/906Cleaning of wafer as interim step

Definitions

  • the invention relates to a process for post reactive ion etching (RIE) polymer removal on an organic low K dielectric through the use of reducing plasma chemistry.
  • the process removes extensive post RIE polymer on an organic low K dielectric material by use of a reducing gas plasma and comprises either a forming gas mixture of (N 2 /H 2 ) or an ammonia/hydrogen (NH 3 /H 2 ) mixture to completely remove the post reactive ion etch by-product from a wafer surface or a Via structure prior to a wet chemical clean.
  • the removal is achieved without significant removal of the organic, low K dielectric material that is exposed to the gas plasma.
  • U.S. Pat. No. 5,780,359 disclose polymer removal from top surfaces and sidewalls of a semiconductor wafer by: initiating a flow of feed gas comprising fluorine-containing gases upstream from the process chamber; applying the feed gas means for producing a plasma; supplying effluents of the plasma in the form of reactive species separated from the plasma to the process chamber; applying radio frequency energy to the wafer in the process chamber to generate a lower intensity plasma therein and accompanying wafer self-biasing; applying a magnetic field that rotates slowly in the horizontal plane to the process chamber during the step of applying radio frequency energy to the wafer to enhance plasma generation; and
  • a process for removal of post-RIE polymer on Al/Cu metal line is disclosed in U.S. Pat. 5,980,770.
  • the process entails: supplying a mixture of an etching gas and an acid neutralizing gas into a vacuum chamber in which the composite structure is supported to form a water soluble material of sidewall polymer rails left behind on the Al/Cu metal line from the RIE process; removing the water soluble material with deionized water; and removing photo-resist from the composite structure by either a water-only plasma process of the chemical down stream etching method; or forming a water-only plasma process to strip the photoresist layer of a semiconductor or microelectronic composite structure previously subjected to a RIE process; supplying a mixture of an etching gas and an acid neutralizing gas into a vacuum chamber on which the structure is supported to form a water soluble material of the sidewall polymer rails left behind on the Al/Cu metal line from the RIE process; and removing the water-soluble material with
  • U.S. Pat. No. 6,009,888 disclose a method of stripping photoresist and polymer from a wafer after a dry etch, comprising: forming a photoresist pattern over a semiconductor structure; immersing the substrate in a bath comprising (a) peroxydisulfate (S 2 O 8 2 ⁇ ) (bisulfate), (2) hydrochloric acid (HCI) and (3) water; and agitating the semiconductor structure in the solution; said bath being at a temperature in a range of between about 90 and 100° C.; irradiating the semiconductor structure, and the photoresist pattern with a UV laser with a wave length between about 150 nm and 300 nm, and with an energy between about 4 and 8.0 eV and laser pulses in a range of between about 10 and 10,000 per wafer to remove the photoresist.
  • a UV laser with a wave length between about 150 nm and 300 nm, and with an energy between about 4 and 8.0 eV and laser pulse
  • One object of the present invention is to provide a process to completely remove post-reactive ion etch (RIE) by-product from a wafer surface or Via structure prior to wet chemical clean.
  • RIE post-reactive ion etch
  • Another object of the present invention is to provide a process for removing post-reactive ion etch (RIE) by-product from a wafer surface or Via structure prior to a wet chemical clean by utilizing a reducing gas plasma incorporating a forming gas mixture of N 2 /H 2 .
  • RIE post-reactive ion etch
  • a further object of the present invention is to provide a process to completely remove post-reactive ion etch (RIE) by-product from a wafer surface or Via structure prior to a wet chemical clean by utilizing a reducing gas plasma incorporating a forming gas mixture of NH 3 /H 2 .
  • RIE post-reactive ion etch
  • a still further object of the present invention is to provide a process for completely removing post-reactive ion etch (RIE) by-product from a wafer surface or Via structure prior to a wet chemical clean without significant removal of an organic, low dielectric constant material which is exposed to either a reducing gas plasma incorporating either a forming gas mixture of N 2 /H 2 or NH 3 /H 2 .
  • RIE post-reactive ion etch
  • a yet further object of the present invention is to provide a process for completely removing post-reactive ion etch (RIE) fluoropolymer strip from either single or dual damascene structures by utilizing a reducing gas plasma incorporating either a forming gas mixture of N 2 /H 2 or NH 3 /H 2 .
  • RIE post-reactive ion etch
  • FIG. 1 is a diagram showing a wafer surface previously etched and on which a post RIE fluoropolymer remnant is disposed on an organic low K dielectric.
  • FIG. 1 depicts a wafer surface comprising metal 10 on which there is an organic low k dielectric material 11 , a silicon nitride layer 12 and a silicon dioxide layer 13 , the latter two of which may constitute hard masks.
  • a fluoropolymer layer 14 remains and is in need of removal prior to completion of the process for forming the semiconductor wafer or chip.
  • the post RIE fluoropolymer 14 of the composite chip is subjected to a reducing gas plasma that incorporates a forming gas mixture of N 2 /H 2 to completely remove the post-reactive ion etch fluoropolymer by-product from the wafer surface prior to the use of a wet chemical clean.
  • the removal of the post-reactive ion etch fluoropolymer by-product is accomplished without significant removal ( ⁇ 200 ⁇ ) of the organic, low dielectric constant material 11 , which has also been exposed to the gas plasma of the forming gas mixture of N 2 /H 2 .
  • the reducing gas plasma incorporates a forming gas mixture of NH 3 /H 2 to completely remove the post-reactive ion etch fluoropolymer by-product from the wafer surface prior to a wet chemical clean. Significantly, this removal is also achieved without significant removal ( ⁇ 200 ⁇ ) of the organic, low dielectric constant material which has also been exposed to the gas plasma.
  • use of the particular reducing gas plasma incorporating a forming gas mixture of N 2 /H 2 or NH 3 /H 2 is important to have a good process as a post-clean, since removing the fluoropolymer prevents enhancement of corrosion of the metal line; however, the reducing gas plasma incorporating the forming gas mixture of the invention, unlike existing post RIE plasma clean solutions is very selective with respect to removal of the fluoropolymer while only removing an insignificant amount of the organic low k dielectric.

Landscapes

  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

A method for removal of post reactive ion etch by-product from a semiconductor wafer surface or microelectronic composite structure comprising:
supplying a reducing gas plasma incorporating a forming gas mixture selected from the group consisting of a mixture of N2/H2 or a mixture of NH3/H2 into a vacuum chamber in which a semiconductor wafer surface or a microelectronic composite structure is supported to form a post-RIE polymer material by-product on the composite structure without significant removal of an organic, low K material which has also been exposed to the reducing gas plasma; and
removing the post-RIE polymer material by-product with a wet clean.

Description

    BACKGROUND OF THE INVENTION
  • 1. Field of Invention [0001]
  • The invention relates to a process for post reactive ion etching (RIE) polymer removal on an organic low K dielectric through the use of reducing plasma chemistry. The process removes extensive post RIE polymer on an organic low K dielectric material by use of a reducing gas plasma and comprises either a forming gas mixture of (N[0002] 2/H2) or an ammonia/hydrogen (NH3/H2) mixture to completely remove the post reactive ion etch by-product from a wafer surface or a Via structure prior to a wet chemical clean. The removal is achieved without significant removal of the organic, low K dielectric material that is exposed to the gas plasma.
  • 2. Description of the Related Art [0003]
  • Existing post RIE plasma clean solutions use oxidizing chemistries; however, these chemistries cannot be utilized with organic low constant (K) dielectrics due to the extremely poor selectivity of the polymer strip/clean to the organic low K dielectric material. [0004]
  • In particular, a case in point is the accomplishment of post RIE polymer cleaning by utilizing a chromic-phosphoric acid tank bath or other solvent based chemistry. In this process, there is a certain time window of approximately 4 hours between completion of the RIE process and the wet cleaning step. [0005]
  • However, some drawbacks of currently existing wet chemical cleaning methods are as follows: an acid based chemistry (without any HF in it) is not efficient in removing polymer that have high silicon content (as found in metal pads located in the support region); and a solvent based chemistry generally takes a longer process time (approximately 10 minutes as compared to 2 to 4 minutes for a typical acid based clean) and typically is encumbered as a result of costs and environmental disposal requirements. [0006]
  • U.S. Pat. No. 5,780,359 disclose polymer removal from top surfaces and sidewalls of a semiconductor wafer by: initiating a flow of feed gas comprising fluorine-containing gases upstream from the process chamber; applying the feed gas means for producing a plasma; supplying effluents of the plasma in the form of reactive species separated from the plasma to the process chamber; applying radio frequency energy to the wafer in the process chamber to generate a lower intensity plasma therein and accompanying wafer self-biasing; applying a magnetic field that rotates slowly in the horizontal plane to the process chamber during the step of applying radio frequency energy to the wafer to enhance plasma generation; and [0007]
  • stripping the photoresist and polymer residues from the top surfaces and sidewalls of the post metal-etch wafer with the reactive species and the lower intensity plasma; wherein the pressure in the process chamber is greater than 100 milliTorr. [0008]
  • A process for removal of post-RIE polymer on Al/Cu metal line is disclosed in U.S. Pat. 5,980,770. The process entails: supplying a mixture of an etching gas and an acid neutralizing gas into a vacuum chamber in which the composite structure is supported to form a water soluble material of sidewall polymer rails left behind on the Al/Cu metal line from the RIE process; removing the water soluble material with deionized water; and removing photo-resist from the composite structure by either a water-only plasma process of the chemical down stream etching method; or forming a water-only plasma process to strip the photoresist layer of a semiconductor or microelectronic composite structure previously subjected to a RIE process; supplying a mixture of an etching gas and an acid neutralizing gas into a vacuum chamber on which the structure is supported to form a water soluble material of the sidewall polymer rails left behind on the Al/Cu metal line from the RIE process; and removing the water-soluble material with deionized water. [0009]
  • U.S. Pat. No. 6,009,888 disclose a method of stripping photoresist and polymer from a wafer after a dry etch, comprising: forming a photoresist pattern over a semiconductor structure; immersing the substrate in a bath comprising (a) peroxydisulfate (S[0010] 2O8 2−) (bisulfate), (2) hydrochloric acid (HCI) and (3) water; and agitating the semiconductor structure in the solution; said bath being at a temperature in a range of between about 90 and 100° C.; irradiating the semiconductor structure, and the photoresist pattern with a UV laser with a wave length between about 150 nm and 300 nm, and with an energy between about 4 and 8.0 eV and laser pulses in a range of between about 10 and 10,000 per wafer to remove the photoresist.
  • Previous approaches for removing RIE polymer from semiconductor materials used no post RIE clean, and this led to high contact resistance and reliability failures. [0011]
  • Alternatively, when previous approaches utilized wet chemical only clean, this caused severe contamination of the wet chemical bath from delaminating fluoropolymer on the wafer surface deposited during RIE processes. [0012]
  • There is a need in the art of cleaning RIE etched materials to remove fluoropolymer/hydrocarbon from the surface of an organic low k dielectric material without excessive removal of organic dielectric material. [0013]
  • SUMMARY OF THE INVENTION
  • One object of the present invention is to provide a process to completely remove post-reactive ion etch (RIE) by-product from a wafer surface or Via structure prior to wet chemical clean. [0014]
  • Another object of the present invention is to provide a process for removing post-reactive ion etch (RIE) by-product from a wafer surface or Via structure prior to a wet chemical clean by utilizing a reducing gas plasma incorporating a forming gas mixture of N[0015] 2/H2.
  • A further object of the present invention is to provide a process to completely remove post-reactive ion etch (RIE) by-product from a wafer surface or Via structure prior to a wet chemical clean by utilizing a reducing gas plasma incorporating a forming gas mixture of NH[0016] 3/H2.
  • A still further object of the present invention is to provide a process for completely removing post-reactive ion etch (RIE) by-product from a wafer surface or Via structure prior to a wet chemical clean without significant removal of an organic, low dielectric constant material which is exposed to either a reducing gas plasma incorporating either a forming gas mixture of N[0017] 2/H2 or NH3/H2.
  • A yet further object of the present invention is to provide a process for completely removing post-reactive ion etch (RIE) fluoropolymer strip from either single or dual damascene structures by utilizing a reducing gas plasma incorporating either a forming gas mixture of N[0018] 2/H2 or NH3/H2.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a diagram showing a wafer surface previously etched and on which a post RIE fluoropolymer remnant is disposed on an organic low K dielectric.[0019]
  • DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • The foregoing and other objects and advantages of the invention will be better understood by resort to the following detailed description of the preferred embodiments of the invention. [0020]
  • In previous processes for post RIE plasma clean solutions, the use of oxidizing chemistries are well known; however, these chemistries cannot be utilized with organic low K dielectrics due to the fact that there is extremely poor selectivity of the polymer strip/clean to the low K dielectric material. [0021]
  • On the other hand, when previous approaches to removing RIE fluoropolymer used no post RIE clean, this led to high contact resistance and reliability failures. Or, when wet chemical only clean was utilized to remove RIE fluoropolymer on wafer surfaces deposited during the RIE process, this caused severe contamination of the wet chemical bath due to delamination of the fluoropolymer on the wafer surface. [0022]
  • In the context of the invention, with the current trend towards the use of hard mask (silicon dioxide and silicon nitride layers), the use of the reducing gas plasma chemistry following the RIE process enables removal of the post-RIE polymer to be achieved efficiently without significant removal of an organic, low dielectric constant material which is exposed to the gas plasma. [0023]
  • In this connection, reference is made to FIG. 1 which depicts a wafer [0024] surface comprising metal 10 on which there is an organic low k dielectric material 11, a silicon nitride layer 12 and a silicon dioxide layer 13, the latter two of which may constitute hard masks. Following post reactive ion etching, a fluoropolymer layer 14 remains and is in need of removal prior to completion of the process for forming the semiconductor wafer or chip.
  • After the exposure and development of the photo-resist, and the use of RIE to define the metal line, the [0025] post RIE fluoropolymer 14 of the composite chip is subjected to a reducing gas plasma that incorporates a forming gas mixture of N2/H2 to completely remove the post-reactive ion etch fluoropolymer by-product from the wafer surface prior to the use of a wet chemical clean. The removal of the post-reactive ion etch fluoropolymer by-product is accomplished without significant removal (<200 Å) of the organic, low dielectric constant material 11, which has also been exposed to the gas plasma of the forming gas mixture of N2/H2.
  • In a further embodiment of the invention process, the reducing gas plasma incorporates a forming gas mixture of NH[0026] 3/H2 to completely remove the post-reactive ion etch fluoropolymer by-product from the wafer surface prior to a wet chemical clean. Significantly, this removal is also achieved without significant removal (<200 Å) of the organic, low dielectric constant material which has also been exposed to the gas plasma.
  • In the present invention, use of the particular reducing gas plasma incorporating a forming gas mixture of N[0027] 2/H2 or NH3/H2 is important to have a good process as a post-clean, since removing the fluoropolymer prevents enhancement of corrosion of the metal line; however, the reducing gas plasma incorporating the forming gas mixture of the invention, unlike existing post RIE plasma clean solutions is very selective with respect to removal of the fluoropolymer while only removing an insignificant amount of the organic low k dielectric.
  • Accordingly, the prior art process of not being able to use any post RIE clean (on the basis that it would led to high contact resistance and reliability failures) or the prior art use of a wet chemical clean only that would cause severe contamination of the wet chemical bath from delamination of the fluoropolymer on the wafer surface (deposited during the RIE process) are avoided. [0028]
  • While the invention process of utilizing a reducing gas plasma incorporating either a forming gas mixture of N[0029] 2/H2 or NH3/H2 to completely remove post reactive ion etch polymer by-product from a wafer surface, the invention is equally as useful for particularly removing post reactive ion etch polymer by-product from Via structures prior to a wet chemical clean.

Claims (9)

We claim:
1. A method for removal of post reactive ion etch by-product from a semiconductor wafer surface or microelectronic composite structure comprising:
supplying a reducing gas plasma incorporating a forming gas mixture selected from the group consisting of a mixture of N2/H2 or a mixture of NH3/H2 into a vacuum chamber in which a semiconductor wafer surface or a microelectronic composite structure is supported to form a post-RIE polymer material by-product on the composite structure without significant removal of an organic, low K material which has also been exposed to said reducing gas plasma; and
removing said post-RIE polymer material by-product with a wet clean.
2. The method of claim 1 wherein said reducing gas plasma incorporating a forming gas mixture is a mixture of N2/H2.
3. The method of claim 1 wherein said reducing gas plasma incorporating a forming gas mixture is a mixture of NH3/H2.
4. The method of claim 1 wherein said composite structure is a Via structure.
5. The method of claim 1 wherein said semiconductor wafer surface is a dual damascene structure.
6. The method of claim 4 wherein said Via structure is a single damascene structure.
7. The method of claim 1 wherein said post-RIE polymer material byproduct is a fluoropolymer/hydrocarbon material.
8. The method of claim 7 wherein removal of said organic low K material is in an amount less than 200 Å.
9. A semiconductor wafer surface characterized by less than 200 Å of removal of organic low K material after removal of post reactive ion etch by-product with a reducing gas plasma that incorporates a forming gas mixture selected from the group consisting of a mixture of N2/H2 or a mixture of NH3/H2.
US10/043,751 2000-06-23 2002-01-10 Plasma RIE polymer removal Abandoned US20020088476A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/043,751 US20020088476A1 (en) 2000-06-23 2002-01-10 Plasma RIE polymer removal

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/603,254 US6758223B1 (en) 2000-06-23 2000-06-23 Plasma RIE polymer removal
US10/043,751 US20020088476A1 (en) 2000-06-23 2002-01-10 Plasma RIE polymer removal

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US09/603,254 Division US6758223B1 (en) 2000-06-23 2000-06-23 Plasma RIE polymer removal

Publications (1)

Publication Number Publication Date
US20020088476A1 true US20020088476A1 (en) 2002-07-11

Family

ID=24414664

Family Applications (2)

Application Number Title Priority Date Filing Date
US09/603,254 Expired - Fee Related US6758223B1 (en) 2000-06-23 2000-06-23 Plasma RIE polymer removal
US10/043,751 Abandoned US20020088476A1 (en) 2000-06-23 2002-01-10 Plasma RIE polymer removal

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US09/603,254 Expired - Fee Related US6758223B1 (en) 2000-06-23 2000-06-23 Plasma RIE polymer removal

Country Status (4)

Country Link
US (2) US6758223B1 (en)
EP (1) EP1292972A2 (en)
KR (1) KR20030010754A (en)
WO (1) WO2002007203A2 (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6861348B2 (en) * 2000-12-14 2005-03-01 Texas Instruments Incorporated Pre-pattern surface modification of low-k dielectrics
CN100392821C (en) * 2004-11-08 2008-06-04 联华电子股份有限公司 Process for removing etching residue polymer
US20090211596A1 (en) * 2007-07-11 2009-08-27 Lam Research Corporation Method of post etch polymer residue removal
CN106463342A (en) * 2014-04-01 2017-02-22 Ev 集团 E·索尔纳有限责任公司 Method and device for the surface treatment of substrates

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100555505B1 (en) * 2003-07-09 2006-03-03 삼성전자주식회사 Method for fabrication interconnection contact to obtain expanded bottom opening in contact hole by deposition and removal of silicide layer
JP4191096B2 (en) * 2003-07-18 2008-12-03 Tdk株式会社 Method for processing workpiece including magnetic material and method for manufacturing magnetic recording medium
KR100580584B1 (en) * 2004-05-21 2006-05-16 삼성전자주식회사 Method for cleaning a surface of a remote plasma generating tube and method and apparatus for processing a substrate using the same
US20060148243A1 (en) * 2004-12-30 2006-07-06 Jeng-Ho Wang Method for fabricating a dual damascene and polymer removal
KR100685903B1 (en) * 2005-08-31 2007-02-26 동부일렉트로닉스 주식회사 Method for manufacturing the semiconductor device
US20070218697A1 (en) * 2006-03-15 2007-09-20 Chung-Chih Chen Method for removing polymer from wafer and method for removing polymer in interconnect process
US7284653B2 (en) * 2006-03-23 2007-10-23 Laitram, L.L.C. Sorter belt conveyor
WO2009039552A1 (en) * 2007-09-26 2009-04-02 Silverbrook Research Pty Ltd Reactive ion etching process for etching metals
US8138093B2 (en) 2009-08-12 2012-03-20 International Business Machines Corporation Method for forming trenches having different widths and the same depth
KR20140047917A (en) * 2012-10-15 2014-04-23 삼성전자주식회사 Method for fabricating a semiconductor device
US9613826B2 (en) 2015-07-29 2017-04-04 United Microelectronics Corp. Semiconductor process for treating metal gate

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03261138A (en) * 1990-03-09 1991-11-21 Mitsubishi Electric Corp Method and apparatus for cleaning semiconductor
US5635423A (en) * 1994-10-11 1997-06-03 Advanced Micro Devices, Inc. Simplified dual damascene process for multi-level metallization and interconnection structure
US5788869A (en) * 1995-11-02 1998-08-04 Digital Equipment Corporation Methodology for in situ etch stop detection and control of plasma etching process and device design to minimize process chamber contamination
US5780359A (en) * 1995-12-11 1998-07-14 Applied Materials, Inc. Polymer removal from top surfaces and sidewalls of a semiconductor wafer
US6030902A (en) 1996-02-16 2000-02-29 Micron Technology Inc Apparatus and method for improving uniformity in batch processing of semiconductor wafers
US6159862A (en) * 1997-12-27 2000-12-12 Tokyo Electron Ltd. Semiconductor processing method and system using C5 F8
US5980770A (en) * 1998-04-16 1999-11-09 Siemens Aktiengesellschaft Removal of post-RIE polymer on Al/Cu metal line
US6207583B1 (en) * 1998-09-04 2001-03-27 Alliedsignal Inc. Photoresist ashing process for organic and inorganic polymer dielectric materials
US6242350B1 (en) * 1999-03-18 2001-06-05 Taiwan Semiconductor Manufacturing Company Post gate etch cleaning process for self-aligned gate mosfets
JP3287406B2 (en) 1999-06-11 2002-06-04 日本電気株式会社 Method for manufacturing semiconductor device
US6030901A (en) * 1999-06-24 2000-02-29 Advanced Micro Devices, Inc. Photoresist stripping without degrading low dielectric constant materials
US6361929B1 (en) * 1999-08-13 2002-03-26 United Microelectronics Corp. Method of removing a photo-resist layer on a semiconductor wafer
US6252290B1 (en) * 1999-10-25 2001-06-26 Chartered Semiconductor Manufacturing Ltd. Method to form, and structure of, a dual damascene interconnect device
US6440230B1 (en) * 2000-03-03 2002-08-27 Micron Technology, Inc. Nitride layer forming method

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6861348B2 (en) * 2000-12-14 2005-03-01 Texas Instruments Incorporated Pre-pattern surface modification of low-k dielectrics
CN100392821C (en) * 2004-11-08 2008-06-04 联华电子股份有限公司 Process for removing etching residue polymer
US20090211596A1 (en) * 2007-07-11 2009-08-27 Lam Research Corporation Method of post etch polymer residue removal
CN106463342A (en) * 2014-04-01 2017-02-22 Ev 集团 E·索尔纳有限责任公司 Method and device for the surface treatment of substrates
US10867783B2 (en) 2014-04-01 2020-12-15 Ev Group E. Thallner Gmbh Method and device for the surface treatment of substrates
US11901172B2 (en) 2014-04-01 2024-02-13 Ev Group E. Thallner Gmbh Method and device for the surface treatment of substrates

Also Published As

Publication number Publication date
KR20030010754A (en) 2003-02-05
EP1292972A2 (en) 2003-03-19
US6758223B1 (en) 2004-07-06
WO2002007203A2 (en) 2002-01-24
WO2002007203A3 (en) 2002-05-30

Similar Documents

Publication Publication Date Title
KR100347648B1 (en) How to remove photoresist and etching residue
US6758223B1 (en) Plasma RIE polymer removal
US8114782B2 (en) Method for etching organic hardmasks
US8058181B1 (en) Method for post-etch cleans
US7084070B1 (en) Treatment for corrosion in substrate processing
US8129281B1 (en) Plasma based photoresist removal system for cleaning post ash residue
US6849559B2 (en) Method for removing photoresist and etch residues
US6130166A (en) Alternative plasma chemistry for enhanced photoresist removal
KR20010033061A (en) Method for high temperature etching of patterned layers using an organic mask stack
JP3326644B2 (en) Processing method of silicon-based material layer
EP0957512A2 (en) Treatment of conductive lines in semiconductor device fabrication
WO2003090270A1 (en) Method for removing photoresist and etch residues
US6589882B2 (en) Copper post-etch cleaning process
US6184134B1 (en) Dry process for cleaning residues/polymers after metal etch
JP2003526897A (en) Method of etching a patterned layer useful as masking during subsequent etching or useful for damascene structures
KR20030093186A (en) Method for removing etch residue resulting from a process for forming a via
US6849153B2 (en) Removal of post-rie polymer on A1/CU metal line
US7413993B2 (en) Process for removing a residue from a metal structure on a semiconductor substrate
US6077777A (en) Method for forming wires of semiconductor device
US7055532B2 (en) Method to remove fluorine residue from bond pads
US6399509B1 (en) Defects reduction for a metal etcher
TW464968B (en) Via etch post cleaning process
US7879533B2 (en) Etching residue removal method and semiconductor device fabrication method using this method
JP3963295B2 (en) Chemical dry etching method
JP3748068B2 (en) Plasma etching processing method

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION