KR20020001653A - 연속 침착 기술로 내화성 금속층을 침착시켜 핵형성 층을형성시키는 방법 및 장치 - Google Patents

연속 침착 기술로 내화성 금속층을 침착시켜 핵형성 층을형성시키는 방법 및 장치 Download PDF

Info

Publication number
KR20020001653A
KR20020001653A KR1020010037550A KR20010037550A KR20020001653A KR 20020001653 A KR20020001653 A KR 20020001653A KR 1020010037550 A KR1020010037550 A KR 1020010037550A KR 20010037550 A KR20010037550 A KR 20010037550A KR 20020001653 A KR20020001653 A KR 20020001653A
Authority
KR
South Korea
Prior art keywords
processing chamber
layer
gas
substrate
nucleation layer
Prior art date
Application number
KR1020010037550A
Other languages
English (en)
Other versions
KR100731399B1 (ko
Inventor
밍 자이
아쇼크 신하
모리스 코리
알프레드더블유. 마크
정수 변
로렌스쭝-레이 레이
후아 쭝
진리앙 루
켄카웅 라이
칼에이. 리타우
Original Assignee
조셉 제이. 스위니
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US09/605,593 external-priority patent/US6551929B1/en
Application filed by 조셉 제이. 스위니, 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 조셉 제이. 스위니
Publication of KR20020001653A publication Critical patent/KR20020001653A/ko
Application granted granted Critical
Publication of KR100731399B1 publication Critical patent/KR100731399B1/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/14Feed and outlet means for the gases; Modifying the flow of the reactive gases
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/10Inorganic compounds or compositions
    • C30B29/38Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

본 발명은 기판을 제 1 및 제 2 반응성 기체에 연속적으로 노출시킨 후 층을 형성하고, 증착을 이용하여 핵형성 층을 제 1 및 제 2 반응성 기체 중 하나를 함유하는 화합물로 벌크 침착시키는 연속 침착 기술을 이용함으로써, 기판을 핵형성시켜 기판 특징부상에 내화성 금속층을 형성시키는 방법 및 시스템에 관한 것이다. 본 발명의 공정은 모든 공정 단계가 공통 챔버에서 이루어지도록 수행될 수 있거나 상이한 챔버에서 이루어질 수 있다. 예를 들어, 핵형성은 벌크 침착이 일어나는 가공 챔버와 상이한 가공 챔버에서 수행될 수 있다. 또한, 핵형성 과정에서 사용되는 담체 기체의 작용으로서 생성된 층에서 플루오르 원자의 존재를 조절하는 기술이 기술된다.

Description

연속 침착 기술로 내화성 금속층을 침착시켜 핵형성 층을 형성시키는 방법 및 장치 {METHOD AND APPARATUS FOR DEPOSITING REFRACTORY METAL LAYERS EMPLOYING SEQUENTIAL DEPOSITION TECHNIQUES TO FORM A NUCLEATION LAYER}
본 발명은 반도체 기판 공정에 관한 것이다. 더욱 구체적으로, 본 발명은 반도체 기판상에 내화성 금속층을 침착시키는 공정의 개선에 관한 것이다.
반도체 공정 산업은 대규모 생산성 및 표면적이 큰 기판상에 침착된 층의 균일성의 증가를 위해 노력해왔다. 대규모 생산성 및 균일성의 증가는 신소재와 함께 기판의 단위 영역에 대해서 회로의 고밀도 집적화를 제공한다. 회로 집적화가 증가함에 따라, 균일성의 증가 및 층 두께와 관련된 공정 제어에 대한 요구가 증가되고 있다. 결과적으로, 비용 절감 방식으로 기판상에 층을 침착시키면서 층의 특징들에 대해서 제어를 유지시키는 다양한 기술이 개발되었다. 화학 증기 침착 (Chemical Vapor Deposition: CVD)은 기판상에 층을 침착시키는데 사용되는 가장 일반적인 침착 공정 중 하나이다. CVD는 원하는 층의 균일한 두께를 수득하기 위해서 가공 챔버내로 도입된 전구체 및 기판 온도의 정확한 조절을 필요로 하는 유속 의존 침착 기술이다. 이러한 필요조건들은 기판 크기가 증가함에 따라 더욱 중요하게 되어, 충분한 균일성을 유지시키는 기체 흐름 기술 및 챔버 디자인이 더욱 복잡해졌다.
CVD에 비해서 우수한 단계 적용범위를 증명하는 CVD의 한 가지 변형은 원자층 침착(Atomic layer Deposition: ALD)이다. ALD는 전자발광 표시장치를 제조하는데 처음부터 사용되는 원자층 적층(Atomic Layer Epitaxy)을 기준으로 하는 것이다. ALD는 기판 표면상에 반응성 전구체 분자의 포화된 단층을 침착시키는 화학흡착을 이용한다. 이는 적당한 반응성 전구체를 침착 챔버로 택일적으로 펄싱(pulsing)시킴으로써 달성된다. 반응성 전구체 각각의 주입은 불활성 기체 퍼지에 의해 분리되어 이전에 침착된 층에 새로운 원자층을 부가적으로 제공함으로써 기판상에 균일한 층을 제공한다. 이러한 사이클을 반복하여 원하는 두께의 층을형성한다. ALD 기술이 갖는 단점은 침착율이 보편적인 CVD 기술에 비해서 하나 이상의 등급이 차이나도록 매우 낮은 점이다.
충분한 단계 적용범위를 제공하는 한편 높은 침착율로 필름 층을 형성시키는 것은 하나를 희생시키고 다른 것을 수득하는 것을 종종 필요로 하는 상충되는 특징이다. 이러한 상충되는 특징은 내화성 금속층이 유전층에 의해 분리되는 이웃하고 있는 금속층을 서로 연결시키는 접촉부의 형성 과정에서 갭 또는 바이어(via)를 커버하도록 침착되는 경우에 특히 그러하다. 전통적으로, CVD 기술은 저렴하고 빠르게 접촉부를 형성하기 위해 내화성 금속과 같은 전도성 물질을 침착시키는데 사용되어 왔다. 반도체 회로의 집적화의 증가로 인해, 우수한 단계 적용범위를 기준으로 하여 텅스텐이 사용되어 왔다. 결과적으로, CVD 기술을 사용하는 텅스텐의 침착은 공정의 고처리량으로 인해 반도체 공정에서의 광범위하게 적용되고 있다.
그러나, 전통적인 CVD 방법에 의한 텅스텐 침착은 여러 가지 단점을 수반한다. 예를 들어, 반도체 웨이퍼상에 텅스텐 층의 블랭킷 침착은 400℃ 미만의 온도에서 시간 소모가 크다. 텅스텐의 침착율은 침착 온도를 예를 들어 약 500 내지 약 550℃로 증가시킴으로써 개선될 수 있으나, 이러한 높은 범위의 온도는 형성되는 집적 회로의 밑부분의 구조적 및 조작적 집적화를 손상시킬 수 있다. 텅스텐의 사용은 또한 반사율이 실리콘 기판 보다 20% 이하로 낮은 비교적 강성의 표면에서 이루어지는 바와 같이 제조 공정 동안에 사진평판 단계를 방해하였다. 마지막으로, 텅스텐은 균일하게 침착시키기 어려운 것으로 판명되었다. 텅스텐의 이용시에 1%를 초과하는 필름 두께 변화율이 관찰됨으로써, 층의 저항율 조절을 방해하였다.상술된 단점들을 극복하려는 여러 시도가 종래에 수행되어 왔다.
예를 들어, 창(Chang) 등의 미국 특허 제 5,028,565호(본 발명의 양수인에게 양도됨)에는 침착 화학을 변화시켜 텅스텐 층의 균일성을 개선시키는 방법이 기술되어 있다. 이 방법은 관련 부분으로 벌크 침착을 통해 텅스텐 층을 침착시키기 전에 중간 장벽층 위에 핵형성 층을 형성시키는 것을 포함한다. 핵형성 층은 텅스텐 헥사플루오라이드, 수소, 실란 및 아르곤의 기체 혼합물로부터 형성된다. 핵형성 층은 성장 부위 층을 제공하여 그 위에 텅스텐 층의 균일한 침착을 촉진시키는 것으로 기술되어 있다. 핵형성 층에 의해 제공된 잇점은 주어진 장벽층에 좌우되는 것으로 기술되어 있다. 예를 들어, 장벽층이 티탄 니트라이드로부터 형성되는 경우에, 텅스텐 층의 두께 균일성이 15% 만큼 개선된다. 장벽층이 스퍼터링된 텅스텐 또는 스퍼터링된 티탄 텅스텐으로부터 형성되는 경우에는, 핵형성 층에 의해 제공된 잇점들이 자명하지 않다.
그러므로, 반도체 기판상에 침착되는 내화성 금속층의 특징들을 개선시키는 기술을 제공하는 것이 요구되고 있다.
본 발명의 목적은 반도체 기판상에 침착되는 내화성 금속층의 특징들을 개선시키는 기술을 제공하는 것이다.
도 1은 본 발명에 따른 반도체 가공 시스템의 투시도이다.
도 2는 도 1에 제시된 가공(processing) 챔버의 상세도이다.
도 3은 연속 침착 과정에서 기판상에 제 1 분자의 침착을 나타내는 개략도이다.
도 4는 내화성 금속층을 형성하기 위해 연속 침착 과정에서 기판상에 제 2 분자의 침착을 나타내는 개략도이다.
도 5는 본 발명에 따라, 도 2에 제시된 가공 챔버내로 도입되는 기체의 농도와 기체가 가공 챔버내에 존재하는 시간을 나타내는 그래프이다.
도 6은 본 발명에 따라, ALD 사이클의 수와 연속 침착 기술을 사용하여 기판상에 형성된 층의 두께와의 관계를 나타내는 그래프이다.
도 7은 본 발명에 따라, 연속 침착 사이클의 수와 연속 침착 기술을 사용하여 기판상에 형성된 층의 저항율과의 관계를 나타내는 그래프이다.
도 8은 연속 침착 기술을 사용하여 기판상에 형성된 층의 침착율과 기판의 온도와의 관계를 나타내는 그래프이다.
도 9는 본 발명에 따라, 연속 침착 기술을 사용하여 기판상에 형성된 층의 저항율과 기판의 온도와의 관계를 나타내는 그래프이다.
도 10은 본 발명에 따라, 연속 침착 기술을 사용하여 핵형성 층이 형성된 패턴화된 기판의 단면도이다.
도 11은 본 발명에 따라, CVD를 사용하는 핵형성 층의 상부에 형성된 내화성 금속층을 갖는, 도 10에 제시된 기판의 부분 단면도이다.
도 12는 본 발명의 제 1 구체예에 따라, 도 3에 제시된 기체의 농도를 나타내는 그래프이다.
도 13은 본 발명의 제 2 구체예에 따라, 도 5에 제시된 기체의 농도를 나타내는 그래프이다.
도 14는 담체 기체가 Ar 또는 N2인 ALD를 사용하여 기판상에 형성된 내화성 금속층의 깊이에 대해서 플루오르 함량을 나타내는 그래프이다.
도 15는 담체 기체가 H2인 ALD를 사용하여 기판상에 형성된 내화성 금속층의 깊이에 대해서 플루오르 함량을 나타내는 그래프이다.
기판을 제 1 및 제 2 반응성 기체에 연속적으로 노출시킨 후 층을 형성하고, 증착을 이용하여 핵형성 층을 제 1 및 제 2 반응성 기체 중 하나를 함유하는 화합물로 벌크 침착시키는 연속 침착 기술을 이용함으로써, 기판을 핵형성시켜 기판 특징부상에 내화성 금속층을 형성시키는 방법 및 시스템에 관한 것이다. 본 발명의 공정은 모든 공정 단계가 공통 챔버에서 이루어지도록 수행될 수 있거나 상이한 챔버에서 이루어질 수 있다. 예를 들어, 핵형성은 벌크 침착이 일어나는 가공 챔버와 상이한 가공 챔버에서 수행될 수 있다. 또한, 핵형성 과정에서 사용되는 담체 기체의 작용으로서 생성된 층에서 플루오르 원자의 존재를 조절하는 기술이 기술된다.
도 1에 있어서, 예시되는 웨이퍼 가공 시스템은 벽(18)로 둘러싸인 공통 작업 영역(16)중에 배치된 하나 이상의 가공 챔버(12 및 14)를 포함한다. 모니터는 보편적으로 가공 챔버(12 및 14)와 관련된 공정에 대한 공통적인 정보를 표시한다. 모니터 중 하나(26)은 벽(18)에 고정되어 있으며, 나머지 모니터(24)는 작업 영역(16)에 배치되어 있다. 가공 챔버(12 및 14)의 조작은 모니터(24 및 26) 중 하나와 결합된 가벼운 펜의 사용으로 제어되어, 제어장치(22)와 소통된다. 예를 들어, 가벼운 펜(28)은 모니터(24)와 결합되어, 모니터(24)를 통해 제어장치(22)와의 소통을 촉진시킨다. 가벼운 펜(39)는 모니터(26)을 통해 제어장치(22)와의 소통을 촉진시키는다.
도 1 및 도 2에 있어서, 각각의 가공 챔버(12 및 14)는 밑벽(32), 밑벽(32)의 반대편에 있는 커버(34), 및 이들 사이에 뻗어 있는 측벽(36)을 갖는 하우징(30)을 포함한다. 하우징(30)은 챔버(37)을 한정하며, 받침대(38)은 가공 챔버(37) 내에 배치되어 반도체 웨이퍼와 같은 기판(42)를 지지한다. 받침대(38)은 변위 메커니즘(도시되지 않음)을 이용하여 커버(34)와 밑벽(32) 사이에서 이동하도록 설치될 수 있으나, 이의 일부는 보편적으로 고정된다. 가공 기체의 공급부(39a, 39b 및 39c)는 샤워헤드(40)을 통해 가공 챔버(37)과 유체 소통된다. 공급부(39a, 39b 및 39c)로부터의 기체의 흐름 조절은 흐름 밸브(41)을 통해 이루어진다.
구체적인 공정에 따라, 기판(42)는 받침대(38)내에 봉입된 가열기를 통해 층 침착 이전에 원하는 온도로 가열될 수 있다. 예를 들어, 받침대(38)은 AC 파워 공급부(43)에서 가열기 부재(44)로 전류를 인가시킴으로써 저항력있게 가열될 수 있다. 한편, 기판(42)는 받침대(38)에 의해 가열되며, 예를 들어 약 20 내지 약 750℃의 원하는 가공 온도 범위내에서 유지될 수 있다. 열전대와 같은 온도 센서(46)가 또한 웨이퍼 지지 받침대(38)에 봉입되어 통상적인 방식으로 받침대(38)의 온도를 모니터한다. 예를 들어, 측정된 온도는 피드백 루프에 사용되어 파워 공급부(43)에 의해 가열기 부재(44)에 인가된 전류를 조절함으로써 기판 온도를 특정 공정 적용에 적합한 원하는 온도로 유지시키거나 조절할 수 있다. 임의로, 받침대(38)은 복사열을 사용하여 가열될 수 있다(도시되지 않음). 진공 펌프(48)은 가공 챔버(37)을 배기시키고, 가공 챔버(37) 내부의 적당한 압력 및 기체 흐름을 유지시키는데 사용된다.
도 1 및 도 3에 있어서, 상술된 가공 챔버(12 및 14) 중 하나 또는 둘 모두는 연속 침착 기술을 이용하여 기판상에 내화성 금속층을 침착시키도록 조작될 수 있다. 본 발명에 따른 연속 침착 기술의 한 가지 예는 원자층 침착(ALD)를 포함한다. 특정 가공 단계에 따라, 내화성 금속층은 기판(42)를 제조하는 물질, 예컨대 SiO2상에 침착될 수 있다. 또한, 내화성 금속층은 기판(42)상에 이전에 형성된 층, 예를 들어 티탄, 티탄 니트라이드 등 위에 침착될 수 있다.
본 발명에 따른 연속 침착 기술 과정에서, Aax의 경우에, 일군의 제 1 가공 기체는 가공 챔버(37)에 노출된 리간드 a의 표면의 갖는 기판(42)상에 침착된 A의 층을 형성한다. 그후, 퍼지 기체가 가공 챔버(37)에 유입하여 기체 Aax를 퍼징시키며, 기체 Aax는 A 층내로 혼입되지 않는다. 가공 챔버(37)로부터 기체 Aax를 퍼징시킨 후에, 제 2 일군의 가공 기체 Bby는 가공 챔버(37)내로 도입된다. 기판 표면상에 존재하는 리간드 a는 리간드 b 및 원자 B와 반응하여, 예를 들어 분자 ab 및 aA를 이탈시키고, 이들 분자는 기판(42)로부터 이동하며 가공 챔버(37)로부터 연속적으로 펌핑된다. 이러한 방식으로, 도 4에 제시된 바와 같이, B 화합물의 층을 포함하는 표면이 기판(42) 위에 남게 되며, 가공 챔버(37)에 노출된다. B 화합물의 층의 조성은 보편적으로 ALD 기술을 이용하여 형성된 원자의 단일층일 수 있다. 대안적으로는, 화합물 B의 층이 다수 원자들의 층을 포함할 수 있다. 이러한 경우에, 제 1 가공 기체는 가공 기체의 혼합물을 포함할 수 있으며, 각각은 기판(42)에 부착하는 원자들을 갖는다. 본 발명의 공정은 원하는 두께가 달성될 때까지 사이클이 계속된다.
도 2 및 도 5에 있어서, 주어진 실시예에서 가공 기체의 어느 한 유형이 사용될 지라도, 가공 기체 Aax는 B2H6를 포함하며, 가공 기체 Bby는 WF6이다. 2가지 퍼지 기체 Ar 및 N2가 사용된다. 각각의 가공 기체는 퍼지 기체 중 하나인 담체 기체와 함께 가공 챔버(37)로 흐르는데, 이러한 실시예에서 WF6는 Ar과 함께 도입되고, B2H6는 N2와 함께 도입된다. 그러나, 퍼지 기체는 하기에서 더 자세히 설명되는 바와 같이 담체 기체와는 상이하다. 본 발명에 따른 ALD 기술의 한가지 사이클은 B2H6가 가공 챔버(37)내로 흐르기 전에, 약 0.01 내지 15초의 시간 t1동안 퍼지 기체 N2를 가공 챔버(37)내로 흐르게 하는 것을 포함한다. 약 0.01 내지 15초의 시간 t2동안에, 가공 기체 B2H6는 담체 기체(이 경우에는 N2)와 함께 가공 챔버내로 흐른다. 0.01 내지 15초가 경과한 후에, B2H6의 흐름은 종결되고 N2의 흐름은 0.01 내지 15초의 부가 시간 t3동안에 계속되며, 이때 가공 기체 B2H6가 퍼징된다. 시간 t4동안에는, 가공 챔버(37)이 모두는 아닐지라도, 대부분의 기체를 제거하도록 펌핑된다. 가공 챔버(37)의 펌핑 후에, 담체 기체 Ar은 0.01 내지 15초의 시간 t5동안에 도입되며, 그후 시간 t6동안에 담체 기체 Ar과 함께 가공 기체 WF6가 가공 챔버(37)내로 도입된다. 시간 t6는 0.01 내지 15초이다. 가공 챔버(37) 내로의 가공 기체 WF6의 흐름은 시작후 약 0.01 내지 15초후에 종결된다. 가공 챔버(37)내로의 WF6의 흐름이 종결된 후에, Ar의 흐름은 부가 1 내지 15초의 시간 t7동안에 계속된다. 그후, 시간 t8동안에 가공 챔버(37)은 펌핑되어 모두는 아닐지라도, 대부분의 기체를 제거한다. 펌핑 처리가 약 30초간 지속됨으로써, 본 발명에 따른 연속 침착 기술의 한 사이클이 마무리된다.
연속 침착 기술을 이용하는 잇점은 여러가지이며, 기판 크기에 관계없이 균일한 침착을 제공하여 유동에 무관하게 층이 형성되는 것을 포함한다. 예를 들어, 동일한 챔버내에서 침착된 200mm 기판과 32mm 기판에서 측정된 두께와 층 균일성의 차이는 무시할만하다. 이는 연속 침착 기술의 자체 제한 특징에 의한 것이다. 또한, 이 기술은 복잡한 지형에 대해서 완벽에 가까운 단계 적용범위를 가능케 한다.
부가적으로, 도 4에 제시된 층 B의 두께는 연속 침착 기술을 이용함으로써 저항율의 최소화시키면서 용이하게 조절될 수 있다. 도 6에는 텅스텐 층 B의 두께가 텅스텐 층 B를 형성하기 위해 사용된 사이클 수에 비례하는 기울기 라인(50)이 도시되어 있다. 그러나, 도 7의 기울기 라인(52)로 도시된 바와 같이, 텅스텐 층의 저항율은 비교적 층의 두께와는 무관하다. 이와 같이, 연속 침착 기술을 사용하는 경우에, 내화성 금속층의 두께는 가공 챔버내로 도입되는 가공 기체의 사이클의 작용으로 용이하게 조절되는 한편 저항율에 대한 효과는 무시할만하다.
도 4 및 도 8에 있어서, 침착율의 조절은 기판(42)의 온도에 좌우되는 것으로 밝혀졌다. 기울기 라인(54)에 도시된 바와 같이, 기판(42)의 온도가 증가함에 따라 텅스텐 층 B의 침착율이 증가하였다. 예를 들어, 지점 56에서, 침착율은 250℃에서 약 2Å/사이클이다. 그러나, 지점 58에서는, 침착율은 450℃에서 약 5Å/사이클이다. 그러나, 텅스텐 층의 저항율은 도 9의 기울기 곡선(59)로 도시된 바와 같이, 궁극적으로 층 두께에 무관하다. 결과적으로, 텅스텐 층의 침착율은 저항율을 손상시키지 않으면서 온도에 따라 조절될 수 있다. 그러나, 내화성 금속의 전체 층을 침착시키는데 필요한 시간을 감소시키는 것이 바람직할 수 있다.
마지막으로, 내화성 금속층의 벌크 침착이 본 발명의 침착 공정에 포함될 수 있다. 보편적으로, 내화성 금속의 벌크 침착은 핵형성 층이 공통 가공 챔버에서 형성된 후에 일어난다. 구체적으로, 본 발명의 실시예에서는, 텅스텐층의 핵형성이 상술된 연속 침착 기술을 사용하여 챔버(12)에서 일어나며, 기판(42)는 200 내지 400℃의 온도에서 가열되고, 가공 챔버(37)은 1 내지 10 Torr 로 가압된다. 도 10에 도시된 바와 같이, 약 12 내지 20nm의 핵형성 층(60)은 패턴화된 기판(42)상에 형성된다. 도시된 바와 같이, 기판(42)는 장벽층(61) 및 다수 바이어(63)을 갖는 패턴화된 층을 포함한다. 핵형성 층은 바이어(63)을 커버하는 패턴화된 층에 이웃하여 형성된다. 도시된 바와 같이, ALD 기술을 이용하여 핵형성 층(60)을 형성하는 100% 단계 적용범위를 제공한다. 텅스텐의 완전한 층을 형성시키는데 필요한 시간을 감소시키기 위해서, 핵형성 층(60) 위에의 텅스텐의 벌크 침착이 CVD 기술을 이용하여 일어나는 반면에, 기판(42)는 도 1에 도시된 바와 같이, 동일한 가공 챔버(12)내에 배치된다. 벌크 침착은 당해 분야에 널리 공지된 방식으로 수행될 수 있다. 이러한 방식으로, 완전한 플러그 충전을 제공하는 텅스텐 층(65)는 도 11에 도시된 바와 같이, 약 6:1의 비율로 바이어를 갖는 패턴화된 층 위에서 달성된다.
대안적인 구체예에서, 2원화된 침착 공정이 실시될 수 있으며, 이 공정에서 내화성 금속층의 핵형성은 내화성 금속층의 나머지 부분이 형성되는 챔버와 상이한 챔버에서 일어난다. 구체적으로, 본 실시예에서, 텅스텐 층의 핵형성은 상술된 ALD와 같은 연속 침착 기술을 사용하여 챔버(12)에서 일어난다. 마지막으로, 기판(42)는 200 내지 400℃에서 가열되며, 챔버(37)은 1 내지 10 Torr로 가압된다. 약 12 내지 20nm의 핵형성 층(60)은 도 10에 도시된 바와 같이 패턴화된 기판(42)상에 형성된다. 도시된 바와 같이, 기판(42)는 장벽층(61) 및 다수 바이어(63)을 갖는 패턴화된 층을 포함한다. 핵형성 층은 바이어(63)을 커버하는 패턴화된 층에 이웃하여 형성된다. 도시된 바와 같이, 연속 침착 기술을 사용하여 핵형성층(60)을 형성하는 것은 100% 단계 적용범위를 제공한다.
CVD 기술을 사용하여, 핵형성층(60)상에 텅스텐을 벌크 침착시키며, 기판(42)는 도 1에 도시된 바와 같이 가공 챔버(14)에 배치된다. 벌크 침착은 당해 분야에 널리 공지된 방식으로 수행될 수 있다. 이러한 방식으로, 완전한 플러그 충전을 제공하는 텅스텐 층(65)는 도 11에 도시된 바와 같이, 약 6:1의 비율로 바이어를 갖는 패턴화된 층 위에서 달성된다. 상술된 2원화된 침착 공정을 이용하여 개선된 특징을 갖는 텅스텐을 층을 형성시키는데 필요한 시간을 감소시킬 수 있다.
상술된 바와 같이, 본 발명의 대안적인 구체예에서, 담체 기체는 도 12에 도시된 바와 같이, 퍼지 기체와 상이할 수 있다. 시간 간격 t1, t3, t5및 t7로 도입되는 퍼지 기체는 Ar을 포함한다. 시간 간격 t2및 t6으로 도입되는 퍼지 기체는 N2를 포함한다. 이와 같이, 시간 간격 t2에서, 가공 챔버내로 도입되는 기체들은 B2H6와 N2의 혼합물을 포함하고, 시간 간격 t6에서, 가스 혼합물은 WF6와 N2의 혼합물을 포함한다. 시간 간격 t4및 t8동안의 펌프 공정은 도 5와 관련하여 상기에 기술된 펌프 공정과 동일하다. 도 13에 도시된 또 다른 구체예에서, t2및 t6동안의 담체 기체는 H2를 포함하며, 시간 간격 t1, t3, t5및 t7에서 도입된 퍼지 기체는 Ar으로 이루어진다. 시간 간격 t4및 t8에서의 펌프 공정은 상술된 바와 같다. 결과적으로, 시간 간격 t2에서, 가공 챔버(37)내로 도입된 기체 혼합물은 B2H6와 H2로 이루어지고, 시간 간격 t6에서는 WF6와 H2로 이루어진다.
H2담체 기체를 사용함으로써 수득되는 장점은 텅스텐 층 B의 안정성이 개선될 수 있는 점이다. 구체적으로, 도 14의 곡선(66)과 도 15의 곡선(68)을 비교함으로써, 도 10에 도시된 핵혁성 층(60)중의 플루오르의 온도가 담체 기체로서 N2또는 Ar을 사용했을때보다 담체 기체로서 H2를 사용했을때 훨씬 낮은 것으로 나타났다.
도 14 및 도 15에 있어서, 곡선(66)의 극대점과 극소점은 플루오르 농도가 cm3당 1×1021개의 원자를 초과하는 수준 및 cm3당 1×1019개의 원자보다 낮은 수준으로 달성됨을 보여주고 있다. 그러나, 곡선(68)은 플루오르 농도가 극대점에서 cm3당 1×1021개의 원자 미만이고, 극소점에서는 cm3당 1×1017개의 원자 미만임을 보여준다. 이와 같이, 담체 기체로서 H2기체를 사용하는 경우 훨씬 더 안정한 필름, 즉 기판내로 확산하는 플루오르의 가능성이 제공되거나, 이웃하는 층이 감소된다. 이는 또한 증가된 플루오르 농도로부터 수득될 수 있는 금속 플루오라이드의 형성을 피함으로써 내화성 금속층의 저항을 감소시킨다. 이와 같이, 핵형성 층의 안정성 및 이의 저항율은 사용된 담체 기체의 작용으로서 조절될 수 있다. 이는 또한 내화성 금속층이 ALD 기술을 전적으로 사용하여, 즉 CVD와 같은 다른 침착 기술을 사용하지 않는 경우에 그러하다.
도 2에 있어서, 텅스텐 층을 침착시키는 공정은 제어장치(22)에 의해 실행되는 컴퓨트 프로그램 제품을 사용하여 제어될 수 있다. 마지막으로, 제어장치(22)는 중앙처리장치(CPU) 70, 랜덤 액세스 메모리(RAM) 72 와 같은 휘발성 기억장치 및 플로피 디스켓으로 사용하기 위한 플로피 디스크 드라이브와 같은 영구 저장 매체, 또는 하드 디스크 드라이브(74)를 포함한다. 컴퓨트 프로그램 코드는 통상적인 컴퓨트 판독 프로그램 언어, 예를 들어, 68000 어셈블리 언어, C, C++, 파스칼, 포트란 등으로 쓰여질 수 있다. 적합한 프로그램 코드는 통상적인 편집 문서를 사용하여 단일 파일 또는 다수 파일내로 입력되고 저장하거나 하드 디스크 드라이브 74와 같은 컴퓨트 판독 매체내로 삽입된다. 입력된 코드 문서가 고수준의 언어인 경우에, 코드는 컴파일되고, 그후 생성된 컴파일 코드는 사전컴파일된 윈도우스®라이브러리 루틴의 객체 코드와 결합된다. 결합되고 편집된 객체 코드를 실행하기 위해서, 시스템 사용자는 객체 코드를 호출하여, CPU 70을 RAM 70중에서 코드를 로딩시킬 것이다. 그후, CPU 70을 판독하고 코드를 실행시켜 프로그램에서 확인되는 작업을 수행한다.
본 발명이 특정 구체예와 관련하여 기술될지라도, 당업자들은 반응 조건, 즉 온도, 압력, 필름 두께 등이 변할 수 있고 이는 본원에 포함됨을 인지할 것이다. 부가적으로, 2원화된 침착 공정은 공통 시스템에서 일어나는 것으로 기술되는 한편, 벌크 침착은 핵형성 층을 침착시키는데 사용되는 가공 챔버가 정위된 본체 침착 시스템과 상이한 본체 침착 시스템의 가공 챔버에서 일어날 수 있다. 최종적으로, 텅스텐 이외에 다른 내화성 금속이 침착될 수 있으며, 다른 침착 기술이 CVD 대신에 사용될 수 있다. 예를 들어, 물리적 증착(physical vapor deposition: PVD) 기술, 또는 CVD 및 PVD 기술 모두의 조합이 사용될 수 있다. 본 발명의 범위는 상술된 설명 보다는 오히려 첨부되는 청구범위와 이의 균등한 범위를 기준으로 한다.
본 발명에 따라 반도체 기판상에 침착되는 내화성 금속층의 특징들을 개선된다.

Claims (14)

  1. 가공(processing) 챔버내에 침착된 기판상에 층을 형성시키는 방법으로서,
    제 1 및 제 2 반응성 기체에 기판을 연속적으로 노출시킴으로써 핵형성 층을 형성시키는 단계; 및
    증착을 이용하여 핵형성 층을 제 1 및 제 2 반응성 기체 중 하나를 함유하는 화합물로 벌크 침착시켜, 핵형성 층의 상부에 벌크 침착 층을 형성시키는 단계를 포함하는 방법.
  2. 제 1항에 있어서, 핵형성 층 및 벌크 침착 층이 공통 가공 챔버에서 형성됨을 특징으로 하는 방법.
  3. 제 1항에 있어서, 제 1 및 제 2 가공 챔버를 공급하고, 핵형성 층을 형성시키기 전에 제 1 가공 챔버에 기판을 배치하고, 벌크 침착 층을 형성시키기 전에 제 2 가공 챔버내로 기판을 배치하는 것을 포함하며, 핵형성 층이 제 1 가공 챔버에서 형성되고, 벌크 침착 층이 제 2 가공 챔버에서 형성됨을 특징으로 하는 방법.
  4. 제 1항에 있어서, 제 2 반응성 기체가 핵형성 층과 관련된 플루오르 원자를 포함하고, 각각의 제 1 및 제 2 반응성 기체가 담체 기체와 함께 가공 챔버내로 도입되며, 담체 기체의 작용으로서 핵형성 층과 관련된 플루오르 원자의 양을 조절하는 것을 포함함을 특징으로 하는 방법.
  5. 제 1항에 있어서, 핵형성 층의 상부에 화학적 증착을 이용하여 벌크 침착 층을 형성시키는 것을 포함함을 특징으로 하는 방법.
  6. 제 1항에 있어서, 핵형성 층의 상부에 물리적 증착을 이용하여 벌크 침착 층을 형성시키는 것을 포함함을 특징으로 하는 방법.
  7. 제 1항에 있어서, 핵형성 층을 형성시키는 단계가 제 1 및 제 2 기체를 도입하여, 제 2 반응성 기체에 기판을 노출시키기 전에 퍼지 기체를 도입시킴으로써 제 1 반응성 기체의 가공 챔버를 퍼징시키는 것을 포함함을 특징으로 하는 방법.
  8. 제 1항에 있어서, 핵형성 층을 형성시키는 단계가 제 2 반응성 기체를 도입시키기 전에 챔버내에 있는 모든 기체를 제거하도록 가공 챔버를 펌핑시킴으로써 제 1 반응성 기체의 가공 챔버를 퍼징시키는 것을 포함함을 특징으로 하는 방법.
  9. 제 1항에 있어서, 핵형성 층을 형성시키는 단계가 퍼지 기체를 도입시킴으로써 제 1 반응성 기체의 가공 챔버를 퍼징시키고, 연속적으로 기판을 제 2 반응성 기체에 노출시키기 전에 챔버내에 있는 모든 기체를 제거하도록 가공 챔버를 펌핑시키는 것을 포함함을 특징으로 하는 방법.
  10. 제 1항에 있어서, 핵형성 층을 형성시키는 단계가 수소 함유 화합물 및 내화성 금속의 교호적 층을 형성시키는 것을 포함함을 특징으로 하는 방법.
  11. 가공 챔버를 한정하는 본체;
    기판을 지지하기 위해, 가공 챔버내에 배치된 홀더;
    가공 챔버와 유체 소통이 이루어지는 기체 운반 시스템;
    가공 챔버와 열 소통이 이루어지는 온도 조절 시스템;
    가공 챔버와 유체 소통이 이루어지는 압력 조절 시스템;
    기체 운반 시스템, 온도 조절 시스템 및 압력 조절 시스템과 전기 소통이 이루어지는 제어장치; 및
    제어장치와 데이타 통신이 이루어지는 메모리로서, 기체 운반 시스템을 제어하여, 기판을 제 1 및 제 2 반응성 기체에 연속적으로 노출시킴으로써 핵형성 층을 형성시키는 제 1 세트의 지시부 및 기체 운반 시스템을 제어하여, 핵형성 층을 제 1 및 제 2 반응성 기체 중 하나를 함유하는 화합물로 증착시킴으로써 핵형성 층의 상부에 벌크 침착 층을 형성시키는 제 2 세트의 지시부를 포함하는 컴퓨터 판독 프로그램이 삽입된 컴퓨트 판독 매체를 포함하는 메모리를 포함하는 기판용 가공 시스템.
  12. 제 11항에 있어서,
    부가 가공 챔버를 한정하는 부가 본체;
    기판을 지지하기 위해 제 2 가공 챔버내에 배치된 부가 홀더;
    제 2 가공 챔버와 열 소통이 이루어지는 부가 온도 조절 시스템;
    제 2 가공 챔버와 유체 소통이 이루어지는 부가 압력 조절 시스템;
    제 1 가공 챔버와 부가 가공 챔버 사이에 배치된 로보트식 핸들러;
    부가 가공 챔버와 유체 소통이 이루어지는 기체 운반 시스템;
    부가 온도 조절 시스템, 압력 조절 시스템 및 로보트식 핸들러와 전기 소통이 이루어지는 제어장치; 및
    기체 운반 시스템을 제어하여, 기판이 가공 챔버내에 배치되는 동안 핵형성 층을 형성시키는 제 1 서브 루틴을 추가로 포함하는 제 1 세트의 지시부와, 로보트식 핸들러를 제어하여 가공 챔버와 부가 가공 챔버 사이에서 기판을 이동시키고 기체 운반 시스템을 제어하여 기판이 제 2 가공 챔버내에 배치되는 동안 벌크 침착 층을 형성시키는 제 2 서브 루틴을 포함하는 제 2 세트의 지시부를 추가로 포함함을 특징으로 하는 가공 시스템.
  13. 제 12항에 있어서, 제 2 반응성 기체가 핵형성 층과 관련된 플루오르 원자를 포함하고, 제 1 세트의 지시부가 가공 챔버내로 담체 기체와 함께 제 1 및 제 2 반응성 기체를 각각 도입시키는 서브 루틴을 포함하고, 컴퓨터 판독 프로그램이 담체 기체의 작용으로서 핵형성 층과 관련된 플루오르 원자의 양을 조절하는 제 3 세트의 지시부를 포함함을 특징으로 하는 가공 시스템.
  14. 제 13항에 있어서, 컴퓨터 판독 프로그램이 가공 챔버내에 퍼지 기체를 도입시킴으로써 제 2 반응성 기체를 도입시키기 전에 제 1 반응성 기체의 제 1 가공 챔버를 퍼징시키는 부가 세트의 지시부를 포함함을 특징으로 하는 가공 시스템.
KR1020010037550A 2000-06-28 2001-06-28 연속 침착 기술로 내화성 금속층을 침착시켜 핵형성 층을형성시키는 방법 및 장치 KR100731399B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US09/605,593 2000-06-28
US09/605,593 US6551929B1 (en) 2000-06-28 2000-06-28 Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US09/678,266 US7101795B1 (en) 2000-06-28 2000-10-03 Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US09/678,266 2000-10-03

Publications (2)

Publication Number Publication Date
KR20020001653A true KR20020001653A (ko) 2002-01-09
KR100731399B1 KR100731399B1 (ko) 2007-06-21

Family

ID=27084989

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020010037550A KR100731399B1 (ko) 2000-06-28 2001-06-28 연속 침착 기술로 내화성 금속층을 침착시켜 핵형성 층을형성시키는 방법 및 장치

Country Status (6)

Country Link
US (5) US7101795B1 (ko)
EP (1) EP1167567A1 (ko)
JP (1) JP5021123B2 (ko)
KR (1) KR100731399B1 (ko)
CN (1) CN1332267A (ko)
TW (1) TWI291497B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100707092B1 (ko) * 2005-12-29 2007-04-13 동부일렉트로닉스 주식회사 반도체 장치의 박막 및 금속 배선 형성 방법

Families Citing this family (99)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US6974766B1 (en) 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US6475276B1 (en) 1999-10-15 2002-11-05 Asm Microchemistry Oy Production of elemental thin films using a boron-containing reducing agent
FI20000099A0 (fi) 2000-01-18 2000-01-18 Asm Microchemistry Ltd Menetelmä metalliohutkalvojen kasvattamiseksi
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US6936538B2 (en) 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US6551929B1 (en) * 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US7732327B2 (en) * 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US6592942B1 (en) 2000-07-07 2003-07-15 Asm International N.V. Method for vapour deposition of a film onto a substrate
US6827796B2 (en) * 2000-11-02 2004-12-07 Composite Tool Company, Inc. High strength alloys and methods for making same
US6951804B2 (en) * 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
US9076843B2 (en) 2001-05-22 2015-07-07 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
WO2003029515A2 (en) * 2001-07-16 2003-04-10 Applied Materials, Inc. Formation of composite tungsten films
JP4032872B2 (ja) * 2001-08-14 2008-01-16 東京エレクトロン株式会社 タングステン膜の形成方法
AU2002333601A1 (en) 2001-09-14 2003-04-01 Asm America, Inc. Metal nitride deposition by ald using gettering reactant
TW589684B (en) * 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US7150789B2 (en) 2002-07-29 2006-12-19 Micron Technology, Inc. Atomic layer deposition methods
US7097782B2 (en) 2002-11-12 2006-08-29 Micron Technology, Inc. Method of exposing a substrate to a surface microwave plasma, etching method, deposition method, surface microwave plasma generating apparatus, semiconductor substrate etching apparatus, semiconductor substrate deposition apparatus, and microwave plasma generating antenna assembly
US7022605B2 (en) * 2002-11-12 2006-04-04 Micron Technology, Inc. Atomic layer deposition methods
JP2004207281A (ja) * 2002-12-20 2004-07-22 Fujitsu Ltd 多層配線構造およびその形成方法、半導体装置
JP3956049B2 (ja) * 2003-03-07 2007-08-08 東京エレクトロン株式会社 タングステン膜の形成方法
US6818517B1 (en) 2003-08-29 2004-11-16 Asm International N.V. Methods of depositing two or more layers on a substrate in situ
US7405143B2 (en) 2004-03-25 2008-07-29 Asm International N.V. Method for fabricating a seed layer
CN100523293C (zh) * 2004-11-30 2009-08-05 东京毅力科创株式会社 成膜方法及成膜装置
JP4863625B2 (ja) * 2005-02-17 2012-01-25 アイメック フィルム成長開始の強化法
US7335594B1 (en) * 2005-04-27 2008-02-26 Spansion Llc Method for manufacturing a memory device having a nanocrystal charge storage region
JP4945937B2 (ja) 2005-07-01 2012-06-06 東京エレクトロン株式会社 タングステン膜の形成方法、成膜装置及び記憶媒体
US8993055B2 (en) 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
TWI331770B (en) 2005-11-04 2010-10-11 Applied Materials Inc Apparatus for plasma-enhanced atomic layer deposition
US8268409B2 (en) 2006-10-25 2012-09-18 Asm America, Inc. Plasma-enhanced deposition of metal carbide films
US7713874B2 (en) 2007-05-02 2010-05-11 Asm America, Inc. Periodic plasma annealing in an ALD-type process
CN100590803C (zh) * 2007-06-22 2010-02-17 中芯国际集成电路制造(上海)有限公司 原子层沉积方法以及形成的半导体器件
US20090032949A1 (en) * 2007-08-02 2009-02-05 Micron Technology, Inc. Method of depositing Tungsten using plasma-treated tungsten nitride
JP5428151B2 (ja) * 2007-11-26 2014-02-26 富士通セミコンダクター株式会社 半導体装置の製造方法
KR101540077B1 (ko) 2008-04-16 2015-07-28 에이에스엠 아메리카, 인코포레이티드 알루미늄 탄화수소 화합물들을 이용한 금속 카바이드 막들의 원자층 증착법
US7666474B2 (en) 2008-05-07 2010-02-23 Asm America, Inc. Plasma-enhanced pulsed deposition of metal carbide films
JP2010093116A (ja) * 2008-10-09 2010-04-22 Panasonic Corp 半導体装置及び半導体装置の製造方法
US20100267230A1 (en) * 2009-04-16 2010-10-21 Anand Chandrashekar Method for forming tungsten contacts and interconnects with small critical dimensions
US9159571B2 (en) 2009-04-16 2015-10-13 Lam Research Corporation Tungsten deposition process using germanium-containing reducing agent
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
KR101604054B1 (ko) * 2009-09-03 2016-03-16 삼성전자주식회사 반도체 소자 및 그 형성방법
WO2011049816A2 (en) 2009-10-20 2011-04-28 Asm International N.V. Processes for passivating dielectric films
CN113862634A (zh) 2012-03-27 2021-12-31 诺发***公司 钨特征填充
KR101990051B1 (ko) 2012-08-31 2019-10-01 에스케이하이닉스 주식회사 무불소텅스텐 배리어층을 구비한 반도체장치 및 그 제조 방법
US8853080B2 (en) 2012-09-09 2014-10-07 Novellus Systems, Inc. Method for depositing tungsten film with low roughness and low resistivity
US9169556B2 (en) * 2012-10-11 2015-10-27 Applied Materials, Inc. Tungsten growth modulation by controlling surface composition
US9412602B2 (en) 2013-03-13 2016-08-09 Asm Ip Holding B.V. Deposition of smooth metal nitride films
US8841182B1 (en) 2013-03-14 2014-09-23 Asm Ip Holding B.V. Silane and borane treatments for titanium carbide films
US8846550B1 (en) 2013-03-14 2014-09-30 Asm Ip Holding B.V. Silane or borane treatment of metal thin films
US9153486B2 (en) 2013-04-12 2015-10-06 Lam Research Corporation CVD based metal/semiconductor OHMIC contact for high volume manufacturing applications
JP6336866B2 (ja) * 2013-10-23 2018-06-06 株式会社日立国際電気 半導体デバイスの製造方法、基板処理装置およびプログラム
US9589808B2 (en) 2013-12-19 2017-03-07 Lam Research Corporation Method for depositing extremely low resistivity tungsten
US9394609B2 (en) 2014-02-13 2016-07-19 Asm Ip Holding B.V. Atomic layer deposition of aluminum fluoride thin films
JP6147913B2 (ja) * 2014-03-28 2017-06-14 株式会社日立国際電気 半導体デバイスの製造方法、基板処理装置およびプログラム
US10643925B2 (en) 2014-04-17 2020-05-05 Asm Ip Holding B.V. Fluorine-containing conductive films
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US10002936B2 (en) 2014-10-23 2018-06-19 Asm Ip Holding B.V. Titanium aluminum and tantalum aluminum thin films
US9953984B2 (en) 2015-02-11 2018-04-24 Lam Research Corporation Tungsten for wordline applications
US9978605B2 (en) 2015-05-27 2018-05-22 Lam Research Corporation Method of forming low resistivity fluorine free tungsten film without nucleation
US9613818B2 (en) 2015-05-27 2017-04-04 Lam Research Corporation Deposition of low fluorine tungsten by sequential CVD process
US9754824B2 (en) 2015-05-27 2017-09-05 Lam Research Corporation Tungsten films having low fluorine content
US9941425B2 (en) 2015-10-16 2018-04-10 Asm Ip Holdings B.V. Photoactive devices and materials
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
TWI720106B (zh) * 2016-01-16 2021-03-01 美商應用材料股份有限公司 Pecvd含鎢硬遮罩膜及製造方法
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
WO2018144198A1 (en) 2017-02-01 2018-08-09 Applied Materials, Inc. Boron doped tungsten carbide for hardmask applications
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
JP6788545B2 (ja) * 2017-04-26 2020-11-25 東京エレクトロン株式会社 タングステン膜を形成する方法
US10504901B2 (en) 2017-04-26 2019-12-10 Asm Ip Holding B.V. Substrate processing method and device manufactured using the same
US11158500B2 (en) 2017-05-05 2021-10-26 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of oxygen containing thin films
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
KR20200032756A (ko) 2017-08-14 2020-03-26 램 리써치 코포레이션 3차원 수직 nand 워드라인을 위한 금속 충진 프로세스
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
KR102344996B1 (ko) * 2017-08-18 2021-12-30 삼성전자주식회사 전구체 공급 유닛, 기판 처리 장치 및 그를 이용한 반도체 소자의 제조방법
US20190067003A1 (en) * 2017-08-30 2019-02-28 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film on a dielectric surface of a substrate and related semiconductor device structures
US10991573B2 (en) 2017-12-04 2021-04-27 Asm Ip Holding B.V. Uniform deposition of SiOC on dielectric and metal surfaces
US11028480B2 (en) 2018-03-19 2021-06-08 Applied Materials, Inc. Methods of protecting metallic components against corrosion using chromium-containing thin films
WO2019209401A1 (en) 2018-04-27 2019-10-31 Applied Materials, Inc. Protection of components from corrosion
US11549175B2 (en) 2018-05-03 2023-01-10 Lam Research Corporation Method of depositing tungsten and other metals in 3D NAND structures
US11009339B2 (en) 2018-08-23 2021-05-18 Applied Materials, Inc. Measurement of thickness of thermal barrier coatings using 3D imaging and surface subtraction methods for objects with complex geometries
US10636705B1 (en) 2018-11-29 2020-04-28 Applied Materials, Inc. High pressure annealing of metal gate structures
US11972952B2 (en) 2018-12-14 2024-04-30 Lam Research Corporation Atomic layer deposition on 3D NAND structures
US20200199743A1 (en) * 2018-12-19 2020-06-25 Entegris, Inc. Methods for depositing a tungsten or molybdenum layer in the presence of a reducing co-reactant
JP2022523689A (ja) 2019-01-28 2022-04-26 ラム リサーチ コーポレーション 金属膜の蒸着
WO2020185618A1 (en) * 2019-03-11 2020-09-17 Lam Research Corporation Precursors for deposition of molybdenum-containing films
KR20210141762A (ko) 2019-04-11 2021-11-23 램 리써치 코포레이션 고 단차 커버리지 (step coverage) 텅스텐 증착
EP3959356A4 (en) 2019-04-26 2023-01-18 Applied Materials, Inc. METHODS FOR PROTECTING AEROSPACE ELEMENTS AGAINST CORROSION AND OXIDATION
US11794382B2 (en) 2019-05-16 2023-10-24 Applied Materials, Inc. Methods for depositing anti-coking protective coatings on aerospace components
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components
US11466364B2 (en) 2019-09-06 2022-10-11 Applied Materials, Inc. Methods for forming protective coatings containing crystallized aluminum oxide
US11519066B2 (en) 2020-05-21 2022-12-06 Applied Materials, Inc. Nitride protective coatings on aerospace components and methods for making the same
WO2022005696A1 (en) 2020-07-03 2022-01-06 Applied Materials, Inc. Methods for refurbishing aerospace components
US11976002B2 (en) 2021-01-05 2024-05-07 Applied Materials, Inc. Methods for encapsulating silver mirrors on optical structures

Family Cites Families (352)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SE393967B (sv) 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
FI57975C (fi) 1979-02-28 1980-11-10 Lohja Ab Oy Foerfarande och anordning vid uppbyggande av tunna foereningshinnor
US4389973A (en) 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
FI64878C (fi) 1982-05-10 1984-01-10 Lohja Ab Oy Kombinationsfilm foer isynnerhet tunnfilmelektroluminensstrukturer
US5259881A (en) 1991-05-17 1993-11-09 Materials Research Corporation Wafer processing cluster tool batch preheating and degassing apparatus
US5294286A (en) 1984-07-26 1994-03-15 Research Development Corporation Of Japan Process for forming a thin film of silicon
GB2162207B (en) 1984-07-26 1989-05-10 Japan Res Dev Corp Semiconductor crystal growth apparatus
US5693139A (en) 1984-07-26 1997-12-02 Research Development Corporation Of Japan Growth of doped semiconductor monolayers
JPH0766910B2 (ja) 1984-07-26 1995-07-19 新技術事業団 半導体単結晶成長装置
US5250148A (en) 1985-05-15 1993-10-05 Research Development Corporation Process for growing GaAs monocrystal film
WO1987003740A1 (en) 1985-12-09 1987-06-18 Nippon Telegraph And Telephone Corporation Process for forming thin film of compound semiconductor
US4917556A (en) 1986-04-28 1990-04-17 Varian Associates, Inc. Modular wafer transport and processing system
US4838983A (en) 1986-07-03 1989-06-13 Emcore, Inc. Gas treatment apparatus and method
US4767494A (en) 1986-07-04 1988-08-30 Nippon Telegraph & Telephone Corporation Preparation process of compound semiconductor
JPH0834180B2 (ja) 1986-08-26 1996-03-29 セイコー電子工業株式会社 化合物半導体薄膜の成長方法
US5246536A (en) 1986-09-08 1993-09-21 Research Development Corporation Of Japan Method for growing single crystal thin films of element semiconductor
JPH0639357B2 (ja) 1986-09-08 1994-05-25 新技術開発事業団 元素半導体単結晶薄膜の成長方法
JP2587623B2 (ja) 1986-11-22 1997-03-05 新技術事業団 化合物半導体のエピタキシヤル結晶成長方法
JP2929291B2 (ja) 1986-12-04 1999-08-03 セイコーインスツルメンツ株式会社 絶縁ゲート電界効果トランジスタの製造方法
US4951601A (en) 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US5882165A (en) * 1986-12-19 1999-03-16 Applied Materials, Inc. Multiple chamber integrated process system
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
DE3704505A1 (de) 1987-02-13 1988-08-25 Leybold Ag Einlegegeraet fuer vakuumanlagen
JPH0727861B2 (ja) 1987-03-27 1995-03-29 富士通株式会社 ▲iii▼−▲v▼族化合物半導体結晶の成長方法
JPH0812844B2 (ja) 1987-03-27 1996-02-07 日本電気株式会社 ▲iii▼−v族化合物半導体およびその形成方法
DE3721637A1 (de) 1987-06-30 1989-01-12 Aixtron Gmbh Gaseinlass fuer eine mehrzahl verschiedener reaktionsgase in reaktionsgefaesse
US5348911A (en) 1987-06-30 1994-09-20 Aixtron Gmbh Material-saving process for fabricating mixed crystals
JPH0666274B2 (ja) 1987-07-01 1994-08-24 日本電気株式会社 ▲iii▼−v族化合物半導体の形成方法
DE3884682T2 (de) 1987-07-01 1994-05-05 Nippon Electric Co Verfahren zur Züchtung eines Halbleiterkristalles aus III-V-Gruppen-Verbindung auf einem Si-Substrat.
FI81926C (fi) 1987-09-29 1990-12-10 Nokia Oy Ab Foerfarande foer uppbyggning av gaas-filmer pao si- och gaas-substrater.
DE3743938C2 (de) 1987-12-23 1995-08-31 Cs Halbleiter Solartech Verfahren zum Atomschicht-Epitaxie-Aufwachsen einer III/V-Verbindungshalbleiter-Dünnschicht
US5166092A (en) 1988-01-28 1992-11-24 Fujitsu Limited Method of growing compound semiconductor epitaxial layer by atomic layer epitaxy
US5130269A (en) 1988-04-27 1992-07-14 Fujitsu Limited Hetero-epitaxially grown compound semiconductor substrate and a method of growing the same
DE3851701T2 (de) 1988-06-03 1995-03-30 Ibm Verfahren zur Herstellung künstlicher Hochtemperatur-Supraleiter mit mehrschichtiger Struktur.
US4927670A (en) 1988-06-22 1990-05-22 Georgia Tech Research Corporation Chemical vapor deposition of mixed metal oxide coatings
US5234561A (en) 1988-08-25 1993-08-10 Hauzer Industries Bv Physical vapor deposition dual coating process
US4931132A (en) 1988-10-07 1990-06-05 Bell Communications Research, Inc. Optical control of deposition of crystal monolayers
US5013683A (en) 1989-01-23 1991-05-07 The Regents Of The University Of California Method for growing tilted superlattices
JPH0824191B2 (ja) 1989-03-17 1996-03-06 富士通株式会社 薄膜トランジスタ
US5186718A (en) 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
EP0413982B1 (en) 1989-07-27 1997-05-14 Junichi Nishizawa Impurity doping method with adsorbed diffusion source
US5028565A (en) 1989-08-25 1991-07-02 Applied Materials, Inc. Process for CVD deposition of tungsten layer on semiconductor wafer
US5290748A (en) 1990-01-16 1994-03-01 Neste Oy Polymerization catalyst for olefines
US5338389A (en) 1990-01-19 1994-08-16 Research Development Corporation Of Japan Method of epitaxially growing compound crystal and doping method therein
JPH07105497B2 (ja) 1990-01-31 1995-11-13 新技術事業団 半導体デバイス及びその製造方法
US5316615A (en) 1990-03-23 1994-05-31 International Business Machines Corporation Surfactant-enhanced epitaxy
JPH042699A (ja) 1990-04-18 1992-01-07 Mitsubishi Electric Corp 結晶成長方法
US5173474A (en) 1990-04-18 1992-12-22 Xerox Corporation Silicon substrate having an epitaxial superconducting layer thereon and method of making same
US5091320A (en) 1990-06-15 1992-02-25 Bell Communications Research, Inc. Ellipsometric control of material growth
US5225366A (en) 1990-06-22 1993-07-06 The United States Of America As Represented By The Secretary Of The Navy Apparatus for and a method of growing thin films of elemental semiconductors
US5483919A (en) 1990-08-31 1996-01-16 Nippon Telegraph And Telephone Corporation Atomic layer epitaxy method and apparatus
DE4027628A1 (de) 1990-08-31 1992-03-05 Wolters Peter Fa Vorrichtung zur steuerung oder regelung von laepp-, hon- oder poliermaschinen
US5085885A (en) 1990-09-10 1992-02-04 University Of Delaware Plasma-induced, in-situ generation, transport and use or collection of reactive precursors
US5286296A (en) 1991-01-10 1994-02-15 Sony Corporation Multi-chamber wafer process equipment having plural, physically communicating transfer means
US5705224A (en) 1991-03-20 1998-01-06 Kokusai Electric Co., Ltd. Vapor depositing method
US5316793A (en) 1992-07-27 1994-05-31 Texas Instruments Incorporated Directed effusive beam atomic layer epitaxy system and method
US5270247A (en) 1991-07-12 1993-12-14 Fujitsu Limited Atomic layer epitaxy of compound semiconductor
US6001669A (en) 1991-09-09 1999-12-14 Philips Electronics North America Corporation Method for producing II-VI compound semiconductor epitaxial layers having low defects
US5311055A (en) 1991-11-22 1994-05-10 The United States Of America As Represented By The Secretary Of The Navy Trenched bipolar transistor structures
JP2987379B2 (ja) 1991-11-30 1999-12-06 科学技術振興事業団 半導体結晶のエピタキシャル成長方法
US5336324A (en) 1991-12-04 1994-08-09 Emcore Corporation Apparatus for depositing a coating on a substrate
US5397428A (en) 1991-12-20 1995-03-14 The University Of North Carolina At Chapel Hill Nucleation enhancement for chemical vapor deposition of diamond
JPH05206081A (ja) * 1992-01-28 1993-08-13 Sony Corp ドライエッチング方法
US5480818A (en) 1992-02-10 1996-01-02 Fujitsu Limited Method for forming a film and method for manufacturing a thin film transistor
US5256244A (en) 1992-02-10 1993-10-26 General Electric Company Production of diffuse reflective coatings by atomic layer epitaxy
US5458084A (en) 1992-04-16 1995-10-17 Moxtek, Inc. X-ray wave diffraction optics constructed by atomic layer epitaxy
AU4378893A (en) 1992-05-22 1993-12-30 Minnesota Mining And Manufacturing Company Ii-vi laser diodes with quantum wells grown by atomic layer epitaxy and migration enhanced epitaxy
US5278435A (en) 1992-06-08 1994-01-11 Apa Optics, Inc. High responsivity ultraviolet gallium nitride detector
JP3415207B2 (ja) * 1992-07-24 2003-06-09 東京エレクトロン株式会社 化学気相成長による金属薄膜形成方法
US5306666A (en) * 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
JPH0750690B2 (ja) 1992-08-21 1995-05-31 日本電気株式会社 ハロゲン化物を用いる半導体結晶のエピタキシャル成長方法とその装置
JP3405466B2 (ja) 1992-09-17 2003-05-12 富士通株式会社 流体切替弁および半導体装置の製造装置
US5532511A (en) 1992-10-23 1996-07-02 Research Development Corp. Of Japan Semiconductor device comprising a highspeed static induction transistor
US5455072A (en) 1992-11-18 1995-10-03 Bension; Rouvain M. Initiation and bonding of diamond and other thin films
JPH06177349A (ja) * 1992-12-02 1994-06-24 Matsushita Electric Ind Co Ltd 高密度dramの製造方法および高密度dram
US5607009A (en) 1993-01-28 1997-03-04 Applied Materials, Inc. Method of heating and cooling large area substrates and apparatus therefor
JP3265042B2 (ja) 1993-03-18 2002-03-11 東京エレクトロン株式会社 成膜方法
JP3124861B2 (ja) 1993-03-24 2001-01-15 富士通株式会社 薄膜成長方法および半導体装置の製造方法
US5443647A (en) 1993-04-28 1995-08-22 The United States Of America As Represented By The Secretary Of The Army Method and apparatus for depositing a refractory thin film by chemical vapor deposition
US5526244A (en) 1993-05-24 1996-06-11 Bishop; Vernon R. Overhead luminaire
US5330610A (en) 1993-05-28 1994-07-19 Martin Marietta Energy Systems, Inc. Method of digital epilaxy by externally controlled closed-loop feedback
JPH0729897A (ja) 1993-06-25 1995-01-31 Nec Corp 半導体装置の製造方法
JPH0794727A (ja) * 1993-09-21 1995-04-07 Toshiba Corp 半導体装置の製造方法
JPH0794425A (ja) * 1993-09-24 1995-04-07 Toshiba Corp 金属薄膜の形成方法および金属薄膜の形成装置
JP3181171B2 (ja) 1994-05-20 2001-07-03 シャープ株式会社 気相成長装置および気相成長方法
US5665640A (en) * 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
JP3008782B2 (ja) 1994-07-15 2000-02-14 信越半導体株式会社 気相成長方法およびその装置
US5796116A (en) 1994-07-27 1998-08-18 Sharp Kabushiki Kaisha Thin-film semiconductor device including a semiconductor film with high field-effect mobility
TW295677B (ko) 1994-08-19 1997-01-11 Tokyo Electron Co Ltd
US5641984A (en) 1994-08-19 1997-06-24 General Electric Company Hermetically sealed radiation imager
US5730801A (en) 1994-08-23 1998-03-24 Applied Materials, Inc. Compartnetalized substrate processing chamber
US5644128A (en) 1994-08-25 1997-07-01 Ionwerks Fast timing position sensitive detector
JP3358328B2 (ja) * 1994-10-27 2002-12-16 ソニー株式会社 高融点金属膜の成膜方法
JPH08148431A (ja) 1994-11-24 1996-06-07 Mitsubishi Electric Corp Mbe装置、及びガス分岐配管装置
FI97730C (fi) * 1994-11-28 1997-02-10 Mikrokemia Oy Laitteisto ohutkalvojen valmistamiseksi
FI97731C (fi) 1994-11-28 1997-02-10 Mikrokemia Oy Menetelmä ja laite ohutkalvojen valmistamiseksi
FI100409B (fi) * 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
JP3288200B2 (ja) 1995-06-09 2002-06-04 東京エレクトロン株式会社 真空処理装置
KR0167248B1 (ko) 1995-07-24 1999-02-01 문정환 반도체 기판의 전처리방법
KR100310249B1 (ko) 1995-08-05 2001-12-17 엔도 마코토 기판처리장치
US5804488A (en) 1995-08-24 1998-09-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a tungsten silicide capacitor having a high breakdown voltage
US6084302A (en) 1995-12-26 2000-07-04 Micron Technologies, Inc. Barrier layer cladding around copper interconnect lines
US6026967A (en) 1997-01-30 2000-02-22 Electrocom Automation Method and apparatus for sorting flat articles
US5667592A (en) 1996-04-16 1997-09-16 Gasonics International Process chamber sleeve with ring seals for isolating individual process modules in a common cluster
US5788799A (en) 1996-06-11 1998-08-04 Applied Materials, Inc. Apparatus and method for cleaning of semiconductor process chamber surfaces
US6062798A (en) * 1996-06-13 2000-05-16 Brooks Automation, Inc. Multi-level substrate processing apparatus
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5747113A (en) 1996-07-29 1998-05-05 Tsai; Charles Su-Chang Method of chemical vapor deposition for producing layer variation by planetary susceptor rotation
US5830270A (en) 1996-08-05 1998-11-03 Lockheed Martin Energy Systems, Inc. CaTiO3 Interfacial template structure on semiconductor-based material and the growth of electroceramic thin-films in the perovskite class
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
JP2923753B2 (ja) * 1996-08-21 1999-07-26 工業技術院長 Iii族原子層の形成方法
US6001420A (en) 1996-09-23 1999-12-14 Applied Materials, Inc. Semi-selective chemical vapor deposition
US5923056A (en) 1996-10-10 1999-07-13 Lucent Technologies Inc. Electronic components with doped metal oxide dielectric materials and a process for making electronic components with doped metal oxide dielectric materials
US5807792A (en) 1996-12-18 1998-09-15 Siemens Aktiengesellschaft Uniform distribution of reactants in a device layer
US6335280B1 (en) 1997-01-13 2002-01-01 Asm America, Inc. Tungsten silicide deposition process
US6043177A (en) * 1997-01-21 2000-03-28 University Technology Corporation Modification of zeolite or molecular sieve membranes using atomic layer controlled chemical vapor deposition
US6051286A (en) * 1997-02-12 2000-04-18 Applied Materials, Inc. High temperature, high deposition rate process and apparatus for depositing titanium layers
US6174377B1 (en) * 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US5879459A (en) * 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US5855675A (en) * 1997-03-03 1999-01-05 Genus, Inc. Multipurpose processing chamber for chemical vapor deposition processes
JPH10308283A (ja) * 1997-03-04 1998-11-17 Denso Corp El素子およびその製造方法
US5866795A (en) * 1997-03-17 1999-02-02 Applied Materials, Inc. Liquid flow rate estimation and verification by direct liquid measurement
TW417249B (en) * 1997-05-14 2001-01-01 Applied Materials Inc Reliability barrier integration for cu application
US6156382A (en) * 1997-05-16 2000-12-05 Applied Materials, Inc. Chemical vapor deposition process for depositing tungsten
US6162715A (en) * 1997-06-30 2000-12-19 Applied Materials, Inc. Method of forming gate electrode connection structure by in situ chemical vapor deposition of tungsten and tungsten nitride
US6309713B1 (en) * 1997-06-30 2001-10-30 Applied Materials, Inc. Deposition of tungsten nitride by plasma enhanced chemical vapor deposition
US5882413A (en) * 1997-07-11 1999-03-16 Brooks Automation, Inc. Substrate processing apparatus having a substrate transport with a front end extension and an internal substrate buffer
US5904565A (en) * 1997-07-17 1999-05-18 Sharp Microelectronics Technology, Inc. Low resistance contact between integrated circuit metal levels and method for same
KR100385946B1 (ko) * 1999-12-08 2003-06-02 삼성전자주식회사 원자층 증착법을 이용한 금속층 형성방법 및 그 금속층을장벽금속층, 커패시터의 상부전극, 또는 하부전극으로구비한 반도체 소자
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
KR100269306B1 (ko) 1997-07-31 2000-10-16 윤종용 저온처리로안정화되는금속산화막으로구성된완충막을구비하는집적회로장치및그제조방법
JP4097747B2 (ja) * 1997-08-07 2008-06-11 株式会社アルバック バリア膜形成方法
KR100261017B1 (ko) 1997-08-19 2000-08-01 윤종용 반도체 장치의 금속 배선층을 형성하는 방법
US5801634A (en) 1997-09-08 1998-09-01 Sony Corporation Signal tower controller
US6348376B2 (en) 1997-09-29 2002-02-19 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same
US6197683B1 (en) * 1997-09-29 2001-03-06 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact of semiconductor device using the same
KR100274603B1 (ko) 1997-10-01 2001-01-15 윤종용 반도체장치의제조방법및그의제조장치
US7829144B2 (en) 1997-11-05 2010-11-09 Tokyo Electron Limited Method of forming a metal film for electrode
US6099904A (en) 1997-12-02 2000-08-08 Applied Materials, Inc. Low resistivity W using B2 H6 nucleation step
FI104383B (fi) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
KR100269328B1 (ko) * 1997-12-31 2000-10-16 윤종용 원자층 증착 공정을 이용하는 도전층 형성방법
US6015917A (en) 1998-01-23 2000-01-18 Advanced Technology Materials, Inc. Tantalum amide precursors for deposition of tantalum nitride on a substrate
US6025627A (en) * 1998-05-29 2000-02-15 Micron Technology, Inc. Alternate method and structure for improved floating gate tunneling devices
KR100319888B1 (ko) * 1998-06-16 2002-01-10 윤종용 선택적 금속층 형성방법, 이를 이용한 커패시터 형성 및 콘택홀 매립방법
KR100278657B1 (ko) 1998-06-24 2001-02-01 윤종용 반도체장치의금속배선구조및그제조방법
JP2000031387A (ja) 1998-07-14 2000-01-28 Fuji Electric Co Ltd 誘電体薄膜コンデンサの製造方法
KR100275738B1 (ko) 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
KR20000013654A (ko) 1998-08-12 2000-03-06 윤종용 원자층 증착 방법으로 형성한 알루미나/알루미늄나이트라이드복합 유전체막을 갖는 캐패시터와 그제조 방법
KR100287180B1 (ko) * 1998-09-17 2001-04-16 윤종용 계면 조절층을 이용하여 금속 배선층을 형성하는 반도체 소자의 제조 방법
KR100327328B1 (ko) * 1998-10-13 2002-05-09 윤종용 부분적으로다른두께를갖는커패시터의유전막형성방버뵤
KR100297719B1 (ko) 1998-10-16 2001-08-07 윤종용 박막제조방법
JP3580159B2 (ja) 1998-12-18 2004-10-20 東京エレクトロン株式会社 タングステン膜の成膜方法
KR100331544B1 (ko) 1999-01-18 2002-04-06 윤종용 반응챔버에 가스를 유입하는 방법 및 이에 사용되는 샤워헤드
WO2000047404A1 (en) * 1999-02-12 2000-08-17 Gelest, Inc. Chemical vapor deposition of tungsten nitride
US6540838B2 (en) * 2000-11-29 2003-04-01 Genus, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6305314B1 (en) 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6958174B1 (en) 1999-03-15 2005-10-25 Regents Of The University Of Colorado Solid material comprising a thin metal film on its surface and methods for producing the same
KR100347379B1 (ko) * 1999-05-01 2002-08-07 주식회사 피케이엘 복수매 기판의 박막 증착 공정이 가능한 원자층 증착장치
FI118342B (fi) 1999-05-10 2007-10-15 Asm Int Laite ohutkalvojen valmistamiseksi
US6218298B1 (en) 1999-05-19 2001-04-17 Infineon Technologies North America Corp. Tungsten-filled deep trenches
US6124158A (en) 1999-06-08 2000-09-26 Lucent Technologies Inc. Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
US6174812B1 (en) * 1999-06-08 2001-01-16 United Microelectronics Corp. Copper damascene technology for ultra large scale integration circuits
US6524952B1 (en) 1999-06-25 2003-02-25 Applied Materials, Inc. Method of forming a titanium silicide layer on a substrate
US6551292B1 (en) * 1999-06-28 2003-04-22 The Procter & Gamble Company Shaped flange for a urine collector
KR20010017820A (ko) 1999-08-14 2001-03-05 윤종용 반도체 소자 및 그 제조방법
US6984415B2 (en) 1999-08-20 2006-01-10 International Business Machines Corporation Delivery systems for gases for gases via the sublimation of solid precursors
US6391785B1 (en) * 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6326297B1 (en) 1999-09-30 2001-12-04 Novellus Systems, Inc. Method of making a tungsten nitride barrier layer with improved adhesion and stability using a silicon layer
DE10049257B4 (de) 1999-10-06 2015-05-13 Samsung Electronics Co., Ltd. Verfahren zur Dünnfilmerzeugung mittels atomarer Schichtdeposition
FI117942B (fi) 1999-10-14 2007-04-30 Asm Int Menetelmä oksidiohutkalvojen kasvattamiseksi
US6475276B1 (en) * 1999-10-15 2002-11-05 Asm Microchemistry Oy Production of elemental thin films using a boron-containing reducing agent
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
KR100304714B1 (ko) 1999-10-20 2001-11-02 윤종용 금속 할로겐 가스를 사용한 반도체 소자의 금속 박막 형성방법
US6534404B1 (en) 1999-11-24 2003-03-18 Novellus Systems, Inc. Method of depositing diffusion barrier for copper interconnect in integrated circuit
US6780704B1 (en) 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
KR100624903B1 (ko) 1999-12-22 2006-09-19 주식회사 하이닉스반도체 반도체 소자의 캐패시터 제조방법
KR100705926B1 (ko) 1999-12-22 2007-04-11 주식회사 하이닉스반도체 반도체 소자의 캐패시터 제조방법
JP4817210B2 (ja) 2000-01-06 2011-11-16 東京エレクトロン株式会社 成膜装置および成膜方法
FI20000099A0 (fi) 2000-01-18 2000-01-18 Asm Microchemistry Ltd Menetelmä metalliohutkalvojen kasvattamiseksi
JP4362919B2 (ja) 2000-02-04 2009-11-11 株式会社デンソー 原子層エピタキシャル成長法による成膜方法
WO2001066832A2 (en) * 2000-03-07 2001-09-13 Asm America, Inc. Graded thin films
US6274484B1 (en) 2000-03-17 2001-08-14 Taiwan Semiconductor Manufacturing Company Fabrication process for low resistivity tungsten layer with good adhesion to insulator layers
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
KR100363088B1 (ko) 2000-04-20 2002-12-02 삼성전자 주식회사 원자층 증착방법을 이용한 장벽 금속막의 제조방법
WO2001088972A1 (en) * 2000-05-15 2001-11-22 Asm Microchemistry Oy Process for producing integrated circuits
US6759325B2 (en) 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
US6194310B1 (en) * 2000-06-01 2001-02-27 Sharp Laboratories Of America, Inc. Method of forming amorphous conducting diffusion barriers
US6797608B1 (en) 2000-06-05 2004-09-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming multilayer diffusion barrier for copper interconnections
KR100647442B1 (ko) 2000-06-07 2006-11-17 주성엔지니어링(주) 원자층 증착법을 이용한 박막 형성방법
KR100403611B1 (ko) 2000-06-07 2003-11-01 삼성전자주식회사 금속-절연체-금속 구조의 커패시터 및 그 제조방법
EP2293322A1 (en) * 2000-06-08 2011-03-09 Genitech, Inc. Method for forming a metal nitride layer
US7253076B1 (en) * 2000-06-08 2007-08-07 Micron Technologies, Inc. Methods for forming and integrated circuit structures containing ruthenium and tungsten containing layers
KR100387255B1 (ko) * 2000-06-20 2003-06-11 주식회사 하이닉스반도체 반도체 소자의 금속 배선 형성 방법
KR100332313B1 (ko) * 2000-06-24 2002-04-12 서성기 Ald 박막증착장치 및 증착방법
US6620723B1 (en) * 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US6551929B1 (en) * 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7101795B1 (en) * 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US7405158B2 (en) * 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US6936538B2 (en) 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US6585823B1 (en) 2000-07-07 2003-07-01 Asm International, N.V. Atomic layer deposition
KR100444149B1 (ko) * 2000-07-22 2004-08-09 주식회사 아이피에스 Ald 박막증착설비용 클리닝방법
US6368954B1 (en) * 2000-07-28 2002-04-09 Advanced Micro Devices, Inc. Method of copper interconnect formation using atomic layer copper deposition
KR100630666B1 (ko) * 2000-08-09 2006-10-02 삼성전자주식회사 금속 콘택 및 커패시터를 포함하는 반도체 소자 제조방법
KR100396879B1 (ko) 2000-08-11 2003-09-02 삼성전자주식회사 동일 물질로 이루어진 이중막을 포함하는 다중막으로캡슐화된 캐패시터를 구비한 반도체 메모리 소자 및 그의제조 방법
US6903005B1 (en) 2000-08-30 2005-06-07 Micron Technology, Inc. Method for the formation of RuSixOy-containing barrier layers for high-k dielectrics
US6498091B1 (en) 2000-11-01 2002-12-24 Applied Materials, Inc. Method of using a barrier sputter reactor to remove an underlying barrier layer
US6355561B1 (en) * 2000-11-21 2002-03-12 Micron Technology, Inc. ALD method to improve surface coverage
US6613695B2 (en) 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
EP1340269B1 (en) 2000-11-30 2009-02-25 Asm International N.V. Thin films for magnetic devices
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
KR100386034B1 (ko) * 2000-12-06 2003-06-02 에이에스엠 마이크로케미스트리 리미티드 확산 방지막의 결정립계를 금속산화물로 충진한 구리 배선구조의 반도체 소자 제조 방법
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US20020104481A1 (en) 2000-12-06 2002-08-08 Chiang Tony P. System and method for modulated ion-induced atomic layer deposition (MII-ALD)
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
US6949450B2 (en) 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US20020197402A1 (en) * 2000-12-06 2002-12-26 Chiang Tony P. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
SE518981C2 (sv) * 2000-12-14 2002-12-17 Shl Medical Ab Autoinjektor
US6630201B2 (en) 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US20020076507A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
US6800173B2 (en) 2000-12-15 2004-10-05 Novellus Systems, Inc. Variable gas conductance control for a process chamber
US20020073924A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Gas introduction system for a reactor
US20020076481A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Chamber pressure state-based control for a reactor
KR20020049875A (ko) 2000-12-20 2002-06-26 윤종용 반도체 메모리 소자의 강유전체 커패시터 및 그 제조방법
JP3963078B2 (ja) 2000-12-25 2007-08-22 株式会社高純度化学研究所 ターシャリーアミルイミドトリス(ジメチルアミド)タンタルとその製造方法及びそれを用いたmocvd用原料溶液並びにそれを用いた窒化タンタル膜の形成方法
KR20020056260A (ko) 2000-12-29 2002-07-10 박종섭 반도체 소자의 금속 게이트 형성방법
US20020086111A1 (en) 2001-01-03 2002-07-04 Byun Jeong Soo Method of forming refractory metal nitride layers using chemisorption techniques
KR100400031B1 (ko) 2001-01-17 2003-09-29 삼성전자주식회사 반도체 소자의 콘택 플러그 및 그 형성 방법
JP2002222934A (ja) 2001-01-29 2002-08-09 Nec Corp 半導体装置およびその製造方法
US6844604B2 (en) 2001-02-02 2005-01-18 Samsung Electronics Co., Ltd. Dielectric layer for semiconductor device and method of manufacturing the same
US6951804B2 (en) 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
KR100400033B1 (ko) 2001-02-08 2003-09-29 삼성전자주식회사 다층 배선 구조를 갖는 반도체 소자 및 그의 제조방법
KR100395766B1 (ko) 2001-02-12 2003-08-25 삼성전자주식회사 강유전체 기억 소자 및 그 형성 방법
EP1421607A2 (en) 2001-02-12 2004-05-26 ASM America, Inc. Improved process for deposition of semiconductor films
US20020117399A1 (en) 2001-02-23 2002-08-29 Applied Materials, Inc. Atomically thin highly resistive barrier layer in a copper via
US20020121241A1 (en) 2001-03-02 2002-09-05 Nguyen Anh N. Processing chamber and method of distributing process fluids therein to facilitate sequential deposition of films
US6660126B2 (en) 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
FI109770B (fi) * 2001-03-16 2002-10-15 Asm Microchemistry Oy Menetelmä metallinitridiohutkalvojen valmistamiseksi
US7348042B2 (en) * 2001-03-19 2008-03-25 Novellus Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6369430B1 (en) * 2001-04-02 2002-04-09 Motorola, Inc. Method of preventing two neighboring contacts from a short-circuit caused by a void between them and device having the same
US6812101B2 (en) 2001-04-02 2004-11-02 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method for manufacture thereof
US20020144657A1 (en) * 2001-04-05 2002-10-10 Chiang Tony P. ALD reactor employing electrostatic chuck
US20020144655A1 (en) * 2001-04-05 2002-10-10 Chiang Tony P. Gas valve system for a reactor
US6420189B1 (en) 2001-04-27 2002-07-16 Advanced Micro Devices, Inc. Superconducting damascene interconnected for integrated circuit
US6447933B1 (en) 2001-04-30 2002-09-10 Advanced Micro Devices, Inc. Formation of alloy material using alternating depositions of alloy doping element and bulk material
US6596643B2 (en) * 2001-05-07 2003-07-22 Applied Materials, Inc. CVD TiSiN barrier for copper integration
US7262125B2 (en) * 2001-05-22 2007-08-28 Novellus Systems, Inc. Method of forming low-resistivity tungsten interconnects
US6635965B1 (en) 2001-05-22 2003-10-21 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US7141494B2 (en) * 2001-05-22 2006-11-28 Novellus Systems, Inc. Method for reducing tungsten film roughness and improving step coverage
US7005372B2 (en) * 2003-01-21 2006-02-28 Novellus Systems, Inc. Deposition of tungsten nitride
KR100363332B1 (en) * 2001-05-23 2002-12-05 Samsung Electronics Co Ltd Method for forming semiconductor device having gate all-around type transistor
US6828218B2 (en) * 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US6849545B2 (en) * 2001-06-20 2005-02-01 Applied Materials, Inc. System and method to form a composite film stack utilizing sequential deposition techniques
US7211144B2 (en) * 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
US20070009658A1 (en) * 2001-07-13 2007-01-11 Yoo Jong H Pulse nucleation enhanced nucleation technique for improved step coverage and better gap fill for WCVD process
WO2003029515A2 (en) * 2001-07-16 2003-04-10 Applied Materials, Inc. Formation of composite tungsten films
US20030017697A1 (en) * 2001-07-19 2003-01-23 Kyung-In Choi Methods of forming metal layers using metallic precursors
US7105444B2 (en) * 2001-07-19 2006-09-12 Samsung Electronics Co., Ltd. Method for forming a wiring of a semiconductor device, method for forming a metal layer of a semiconductor device and apparatus for performing the same
US7098131B2 (en) * 2001-07-19 2006-08-29 Samsung Electronics Co., Ltd. Methods for forming atomic layers and thin films including tantalum nitride and devices including the same
WO2003030224A2 (en) 2001-07-25 2003-04-10 Applied Materials, Inc. Barrier formation using novel sputter-deposition method
US20030029715A1 (en) 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US6548906B2 (en) * 2001-08-22 2003-04-15 Agere Systems Inc. Method for reducing a metal seam in an interconnect structure and a device manufactured thereby
US6806145B2 (en) * 2001-08-31 2004-10-19 Asm International, N.V. Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer
US20030042630A1 (en) * 2001-09-05 2003-03-06 Babcoke Jason E. Bubbler for gas delivery
US6718126B2 (en) 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
AU2002333601A1 (en) 2001-09-14 2003-04-01 Asm America, Inc. Metal nitride deposition by ald using gettering reactant
US20030049931A1 (en) * 2001-09-19 2003-03-13 Applied Materials, Inc. Formation of refractory metal nitrides using chemisorption techniques
KR20030025494A (ko) 2001-09-21 2003-03-29 삼성전자주식회사 루테늄막과 금속층간의 콘택을 포함하는 반도체 장치 및그의 제조 방법
US6607976B2 (en) 2001-09-25 2003-08-19 Applied Materials, Inc. Copper interconnect barrier layer structure and formation method
US6936906B2 (en) 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
US20030059538A1 (en) * 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US20030057526A1 (en) * 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US7049226B2 (en) 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
US6960537B2 (en) * 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
TW589684B (en) 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
US20030072884A1 (en) 2001-10-15 2003-04-17 Applied Materials, Inc. Method of titanium and titanium nitride layer deposition
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US6423619B1 (en) 2001-11-30 2002-07-23 Motorola, Inc. Transistor metal gate structure that minimizes non-planarity effects and method of formation
US6773507B2 (en) 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6729824B2 (en) 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
US6809026B2 (en) * 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
US6939801B2 (en) * 2001-12-21 2005-09-06 Applied Materials, Inc. Selective deposition of a barrier layer on a dielectric material
US20030116087A1 (en) 2001-12-21 2003-06-26 Nguyen Anh N. Chamber hardware design for titanium nitride atomic layer deposition
US20030123216A1 (en) 2001-12-27 2003-07-03 Yoon Hyungsuk A. Deposition of tungsten for the formation of conformal tungsten silicide
US6674138B1 (en) 2001-12-31 2004-01-06 Advanced Micro Devices, Inc. Use of high-k dielectric materials in modified ONO structure for semiconductor devices
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6824816B2 (en) 2002-01-29 2004-11-30 Asm International N.V. Process for producing metal thin films by ALD
US6827978B2 (en) 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US6777352B2 (en) 2002-02-11 2004-08-17 Applied Materials, Inc. Variable flow deposition apparatus and method in semiconductor substrate processing
US20030157760A1 (en) 2002-02-20 2003-08-21 Applied Materials, Inc. Deposition of tungsten films for dynamic random access memory (DRAM) applications
US6833161B2 (en) * 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6972267B2 (en) * 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US6753618B2 (en) * 2002-03-11 2004-06-22 Micron Technology, Inc. MIM capacitor with metal nitride electrode materials and method of formation
US6846516B2 (en) * 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US6720027B2 (en) 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US20030194825A1 (en) * 2002-04-10 2003-10-16 Kam Law Deposition of gate metallization for active matrix liquid crystal display (AMLCD) applications
US6875271B2 (en) * 2002-04-09 2005-04-05 Applied Materials, Inc. Simultaneous cyclical deposition in different processing regions
US7279432B2 (en) * 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US6932871B2 (en) * 2002-04-16 2005-08-23 Applied Materials, Inc. Multi-station deposition apparatus and method
US20030203616A1 (en) * 2002-04-24 2003-10-30 Applied Materials, Inc. Atomic layer deposition of tungsten barrier layers using tungsten carbonyls and boranes for copper metallization
US7164165B2 (en) * 2002-05-16 2007-01-16 Micron Technology, Inc. MIS capacitor
KR100505043B1 (ko) * 2002-05-25 2005-07-29 삼성전자주식회사 커패시터 형성 방법
US20030224217A1 (en) * 2002-05-31 2003-12-04 Applied Materials, Inc. Metal nitride formation
US7264846B2 (en) 2002-06-04 2007-09-04 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
US7404985B2 (en) * 2002-06-04 2008-07-29 Applied Materials, Inc. Noble metal layer formation for copper film deposition
US7041335B2 (en) 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
US7910165B2 (en) * 2002-06-04 2011-03-22 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
KR100476926B1 (ko) 2002-07-02 2005-03-17 삼성전자주식회사 반도체 소자의 듀얼 게이트 형성방법
US6838125B2 (en) 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US20040009336A1 (en) 2002-07-11 2004-01-15 Applied Materials, Inc. Titanium silicon nitride (TISIN) barrier layer for copper diffusion
US20040013803A1 (en) * 2002-07-16 2004-01-22 Applied Materials, Inc. Formation of titanium nitride films using a cyclical deposition process
US6955211B2 (en) * 2002-07-17 2005-10-18 Applied Materials, Inc. Method and apparatus for gas temperature control in a semiconductor processing system
US7186385B2 (en) * 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US7524374B2 (en) * 2002-07-17 2009-04-28 Applied Materials, Inc. Method and apparatus for generating a precursor for a semiconductor processing system
US7081409B2 (en) * 2002-07-17 2006-07-25 Samsung Electronics Co., Ltd. Methods of producing integrated circuit devices utilizing tantalum amine derivatives
KR100468852B1 (ko) * 2002-07-20 2005-01-29 삼성전자주식회사 캐패시터 구조체 형성 방법
US6772072B2 (en) 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
US7300038B2 (en) * 2002-07-23 2007-11-27 Advanced Technology Materials, Inc. Method and apparatus to help promote contact of gas with vaporized material
US6915592B2 (en) * 2002-07-29 2005-07-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
KR100542736B1 (ko) * 2002-08-17 2006-01-11 삼성전자주식회사 원자층 증착법을 이용한 산화막의 형성방법 및 이를이용한 반도체 장치의 캐패시터 형성방법
US6958300B2 (en) * 2002-08-28 2005-10-25 Micron Technology, Inc. Systems and methods for forming metal oxides using metal organo-amines and metal organo-oxides
US6790773B1 (en) 2002-08-28 2004-09-14 Novellus Systems, Inc. Process for forming barrier/seed structures for integrated circuits
JP4188033B2 (ja) * 2002-08-30 2008-11-26 本田技研工業株式会社 油圧緩衝機の取付構造
US6784096B2 (en) * 2002-09-11 2004-08-31 Applied Materials, Inc. Methods and apparatus for forming barrier layers in high aspect ratio vias
US20040065255A1 (en) 2002-10-02 2004-04-08 Applied Materials, Inc. Cyclical layer deposition system
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US6905737B2 (en) * 2002-10-11 2005-06-14 Applied Materials, Inc. Method of delivering activated species for rapid cyclical deposition
EP1420080A3 (en) 2002-11-14 2005-11-09 Applied Materials, Inc. Apparatus and method for hybrid chemical deposition processes
US7244683B2 (en) * 2003-01-07 2007-07-17 Applied Materials, Inc. Integration of ALD/CVD barriers with porous low k materials
US7262133B2 (en) 2003-01-07 2007-08-28 Applied Materials, Inc. Enhancement of copper line reliability using thin ALD tan film to cap the copper line
US6737313B1 (en) * 2003-04-16 2004-05-18 Micron Technology, Inc. Surface treatment of an oxide layer to enhance adhesion of a ruthenium metal layer
JP5342110B2 (ja) 2003-05-27 2013-11-13 アプライド マテリアルズ インコーポレイテッド 前駆物質を含むソースキャニスタ及びこれを用いて特徴部を充填する方法
JP2007523994A (ja) * 2003-06-18 2007-08-23 アプライド マテリアルズ インコーポレイテッド バリヤ物質の原子層堆積
US20050104142A1 (en) 2003-11-13 2005-05-19 Vijav Narayanan CVD tantalum compounds for FET get electrodes
US20050252449A1 (en) * 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8323754B2 (en) * 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US20060062917A1 (en) * 2004-05-21 2006-03-23 Shankar Muthukrishnan Vapor deposition of hafnium silicate materials with tris(dimethylamino)silane
US20060019033A1 (en) * 2004-05-21 2006-01-26 Applied Materials, Inc. Plasma treatment of hafnium-containing materials
US20060153995A1 (en) 2004-05-21 2006-07-13 Applied Materials, Inc. Method for fabricating a dielectric stack
US7241686B2 (en) * 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
US20060156979A1 (en) 2004-11-22 2006-07-20 Applied Materials, Inc. Substrate processing apparatus using a batch processing chamber
US7429402B2 (en) 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US7265048B2 (en) 2005-03-01 2007-09-04 Applied Materials, Inc. Reduction of copper dewetting by transition metal deposition
US20070020890A1 (en) * 2005-07-19 2007-01-25 Applied Materials, Inc. Method and apparatus for semiconductor processing
US7317229B2 (en) * 2005-07-20 2008-01-08 Applied Materials, Inc. Gate electrode structures and methods of manufacture
TWI331770B (en) 2005-11-04 2010-10-11 Applied Materials Inc Apparatus for plasma-enhanced atomic layer deposition
US7977791B2 (en) * 2007-07-09 2011-07-12 Taiwan Semiconductor Manufacturing Company, Ltd. Selective formation of boron-containing metal cap pre-layer

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100707092B1 (ko) * 2005-12-29 2007-04-13 동부일렉트로닉스 주식회사 반도체 장치의 박막 및 금속 배선 형성 방법

Also Published As

Publication number Publication date
US7101795B1 (en) 2006-09-05
US7465665B2 (en) 2008-12-16
TWI291497B (en) 2007-12-21
JP5021123B2 (ja) 2012-09-05
US20040209465A1 (en) 2004-10-21
KR100731399B1 (ko) 2007-06-21
EP1167567A1 (en) 2002-01-02
US7220673B2 (en) 2007-05-22
US20070218688A1 (en) 2007-09-20
JP2002038271A (ja) 2002-02-06
US20060264031A1 (en) 2006-11-23
US7709385B2 (en) 2010-05-04
US20090156003A1 (en) 2009-06-18
CN1332267A (zh) 2002-01-23

Similar Documents

Publication Publication Date Title
KR100731399B1 (ko) 연속 침착 기술로 내화성 금속층을 침착시켜 핵형성 층을형성시키는 방법 및 장치
US7033922B2 (en) Method and system for controlling the presence of fluorine in refractory metal layers
JP4705325B2 (ja) 表面処理後にタングステンを堆積して膜特性を改善するための方法
US6849545B2 (en) System and method to form a composite film stack utilizing sequential deposition techniques
US7867896B2 (en) Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130531

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20140529

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20160330

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20170330

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20180601

Year of fee payment: 12