KR20010102930A - 캐쏘드에서 접지형 축전기를 가지는 다중 주파수 플라즈마챔버 - Google Patents

캐쏘드에서 접지형 축전기를 가지는 다중 주파수 플라즈마챔버 Download PDF

Info

Publication number
KR20010102930A
KR20010102930A KR1020010024071A KR20010024071A KR20010102930A KR 20010102930 A KR20010102930 A KR 20010102930A KR 1020010024071 A KR1020010024071 A KR 1020010024071A KR 20010024071 A KR20010024071 A KR 20010024071A KR 20010102930 A KR20010102930 A KR 20010102930A
Authority
KR
South Korea
Prior art keywords
electrode
plasma
capacitance
capacitors
capacitor
Prior art date
Application number
KR1020010024071A
Other languages
English (en)
Inventor
셍 선
제프씨. 올슨
샌제이 야다브
콴유안 생
캄에스. 로
Original Assignee
조셉 제이. 스위니
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 조셉 제이. 스위니, 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 조셉 제이. 스위니
Publication of KR20010102930A publication Critical patent/KR20010102930A/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Physics & Mathematics (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

플라즈마 챔버내의 제 1 전극 및 제 2 전극이 저주파수 및 고 주파수 RF 전력 공급원으로 각각 접속되는 전자 워크피스를 제조하기 위한 장치 및 방법에 관한 것이다. 하나 이상의 축전기는 상기 제 1 전극과 전기적 접지부 사이에 접속된다. 하나 이상의 축전기는 상기 두 개의 전극 사이의 영역 외부에 소정의 플라즈마로 고주파수 RF 전력의 직접적인 연결을 감소 또는 제거시킬 수 있다. 결론적으로, 본 발명은 상기 두 개의 전극 사이의 영역에 RF 전력을 더욱 집중시킴으로써 플라즈마 프로세스의 실행을 개선시킬 수 있다.

Description

캐쏘드에서 접지형 축전기를 가지는 다중 주파수 플라즈마 챔버{MULTIPLE FREQUENCY PLASMA CHAMBER WITH GROUNDING CAPACITOR AT CATHODE}
본 발명은 일반적으로 플라즈마가 아노드 및 캐소드 전극 사이에 인가되는 RF 전력에 의하여 여기되는 전기 기판을 제조하기 위한 플라즈마 챔버에 관한 것이다. 더욱 상세하게는, 본 발명은 아노드 및 캐쏘드가 상이한 주파수에서 RF 전력을 수용하고 축전기가 캐쏘드 전극과 전기 접지부 사이에 접속되는 챔버에 관한 것이다.
평 패널 디스플레이부 및 직접 회로와 같은 전자 장치는 층이 통상적으로 기판상에 증착되고 증착된 층이 소정의 패턴으로 에칭되는 일련의 프로세스 단계에 의하여 제조된다. 프로세스 단계는 통상적으로 플라즈마 강화형 화학 증기 증착(CVD) 프로세스 및 플라즈마 에칭 프로세스를 포함한다.
플라즈마 프로세스는 프로세스 가스 혼합물을 플라즈마 챔버라고 지칭되는 진공 챔버로 공급하는 단계, 및 프로세스 가스를 플라즈마 상태로 여기하기 위한 전기 또는 전자기력을 적용하는 단계가 요구된다. 플라즈마는 가스 혼합물을 바람직한 증착 또는 에칭 프로세스를 형성하는 이온 종(ion species)으로 분해한다.
전기용량적으로 여기된 프로세스 챔버에서, 플라즈마는 아노드 전극과 캐쏘드 전극 사이에 인가된 RF 전력에 의하여 여기된다. 일반적으로 기판은 캐소드 전극으로서 기능하는 페데스탈(pedestal) 또는 서셉터(susceptor)에 설치되고, 아노드 전극은 기판으로부터 짧은 거리로 이격되고 평행하게 설치된다.
통상적으로, 또한 아노드 전극은 챔버로 프로세스 가스 혼합물을 적용하기 위한 가스 분배판으로서 기능한다. 아노드 전극은 프로세스 가스 혼합물이 아노드와 캐쏘드 사이의 갭으로 유동하는 수백 또는 수천개의 오리피스로 천공된다. 오리피스는 기판에 인접하여 프로세스 가스 혼합물의 공간적 균질성을 최대화할 수 있도록 가스 분배판의 표면을 가로질러 이격된다. 이 같은 가스 분배판은 통상적으로 "샤워 헤드(shower head)"로 명명되고, 일반 양도되고 창(Chang) 등에게 1989년 8월 8일 발행된 미국 특허 제 4,854,263호에 설명된다.
플라즈마의 RF 여기는 두 개의 전극(즉, 아노드 또는 캐쏘드)중 하나를 RF 전력 공급원의 출력으로 접속하고 다른 전극을 전기 접지부로 접속함으로써 수행된다. 그러나, 통상적으로 이용되는 많은 플라즈마 강화형 CVD 및 에칭 프로세스는 두개의 상이한 주파수에서 동시적인 RF 여기를 요구하는데, 고주파수 RF 전력 공급원은 아노드 전극으로 접속되고 저주파수 RF 전력 공급원은 캐쏘드 전극으로 접속된다(또는 반대로).
전극이 전기적으로 접지되지 않도록 캐쏘드 전극(서셉터) 및 아노드 전극(가스 분배판)을 별개의 전력 공급원으로 연결하는 것은 소정의 RF 전력이 이러한 전극들중 하나와 전기적으로 접지된 챔버 벽과 같은 전기적으로 접지된 챔버 부품 사이에 연결될 수 있기 때문에 프로세스 성능을 저하시킬 수 있다. 이것은 RF 전력이 소정의 플라즈마 강화형 CVD 또는 에칭 프로세스를 수행하기 위해 요구되는, 서셉터와 가스 분배판 사이의 영역으로부터 RF 전력을 전환시킨다. 질화 규소 또는 산화 규소와 같은 필름을 증착하기 위한 CVD 프로세스의 경우에서, 서셉터의 뒤 또는 옆을 따라 RF 전력을 플라즈마로 변화시키는 것은 인장 필름 응력, 저밀도, 및 과도한 수소 함량과 같은 원치않는 특성을 가지는 필름을 형성할 수 있다.
본 발명은 챔버내의 두 개의 전극 사이에 설치되는 전자 워크피스(electronic workpiece) 또는 기판을 제조하기 위한 방법 및 플라즈마 챔버 장치에 관한 것이다. 저주파수 RF 전력 공급원은 제 1 전극으로 전력을 공급하며 고주파수 RF 전력 공급원은 제 2 전극으로 전력을 공급한다.
종래 설계와 달리, 본 발명은 제 1 전극과 전기 접속부 사이에 접속되는 하나 이상의 축전기를 더 포함한다. 하나 이상의 축전기는 두 개의 전극 사이의 영역 외부로 직접 소정의 플라즈마로 고주파수 RF 전력의 연결을 감소 또는 제거할 수 있다. 결론적으로, 본 발명은 두 개의 전극 사이의 영역에 RF 전력을 더 많이 집중시킴으로써 플라즈마 프로세스의 수행을 개선할 수 있다. 특히 CVD 프로세스에서, 본 발명은 고 밀도, 인장 응력이 아닌 바람직한 정도의 압축 응력 및 다른 원하는 필름 품질을 가지는 필름의 증착이 가능하다.
본 발명은 워크피스 또는 기판이 제 1 전극상 또는 인접하여 설치되는 평 패널 디스플레이부를 제조하기 위한 유리 기판과 같은 유전체 재료인 경우 특히 가치가 있다. 반도체 기판과 비교하면, 유전체 기판은 제 1 전극과 플라즈마 바디 사이의 더 큰 RF 임피던스를 삽입하는데, 이러한 임피던스는 선택적인 RF 전류 통로 옆을 따라 제 1 전극 뒤를 통하여 RF 전력을 연결함으로써 제 2 플라즈마 바디를 형성하는 위험이 증가된다.
또한 본 발명은 워크피스가 평 패널 디스플레이부를 제조하기 위해 이용되는 550 x 650 mm 또는 더 큰 기판과 같은 대형인 경우 가치가 있다. 더 큰 기판에 대해, 본 발명의 바람직한 실시예는 제 1 전극의 배면 또는 주변에 걸쳐 분포된 각각의 접촉 영역으로 연결되는 다수의 축전기가 적용된다.
도 1은 본 발명에 따른 접지형 축전기를 포함하는 플라즈마 챔버의 측면 부분 단면도.
도 2는 축전기가 접속되는 접촉 영역을 보여주는 서셉터의 부분 저면도.
* 도면의 주요 부분에 대한 부호의 설명 *
10 : 챔버 벽 12 : 서셉터
13 : 서셉터의 전면 14 : 기판(유리)
15 : 서셉터의 배면 16 : 축, 서셉터
18 : 리드 20 : 샤워 헤드
22 : 유입 매니폴드 측벽 24 : 유입 매니폴드 보강판
26 : 유입 매니폴드 주위의 유전체 스페이서
28 : 가스 유입 오리피스 30 : HF 전력 공급원
31 : 고주파 통과 필터 32 : LF 전력 공급원
33 : 저주파 통과 필터 36 : 배기 슬릿
38 : 배기 플레넘 50 : 축전기, 축
51 내지 54 : 축전기, 코너 60 내지 64 : 접지 스트랩, 금속
66 : 클램핑 블록
70 : CVD 중 바이어스 전력을 점진적으로 변화시키기 위한 제어기
80 : 서셉터 지지 셀프 81 : 지지 셀프의 원통형 섹션
82 : 가동 리프트 플랫폼 84 : 리프트 베이스
86 : 벨로우즈 88 : 오링
플라즈마 챔버
도 1은 본 발명에 따른 캐쏘드 전극과 접지부 사이에 접속된 축전기를 포함하는 플라즈마 챔버를 보여준다. 챔버의 종래의 특징은 본 발명의 상세한 설명 전에 설명될 것이다.
본 발명은 평 패널 디스플레이부를 위한 실리콘 웨이퍼 또는 글래스 기판(glass substrate)과 같은 임의의 종류의 전자 기판 또는 워크피스를 제조하기 위한 플라즈마 챔버에 유용하다. 도시된 챔버는 글래스 기판상으로 필름의 플라즈마 강화형 화학 증기 증착(CVD)을 수행하기 위해 설계된다. 그러나, 또한 본 발명은 플라즈마 에칭(plasma etching)과 같은 다른 플라즈마 보조형 프로세스을 수행하기 위한 챔버에 유용하다.
플라즈마 챔버 또는 진공 챔버는 하우징 또는 벽(10)을 가지는데, 이 하우징 또는 벽은 챔버 내부의 측면 및 바닥면을 둘러싸며 전기적으로 접지된다. 금속 페데스탈(metal pedestal) 또는 서셉터(susceptor)(12)는 캐쏘드 전극(cathode electrode)으로서 기능하며 워크피스 또는 기판(14)을 지지하는 전면(13)을 가진다. 본 명세서에서는 서셉터의 대응면을 서셉터의 배면(15)으로서 지칭한다.
선택적으로, 워크피스는 서셉터와 직접 접촉할 필요가 없지만, 예를 들면, 다수의 리프트 핀(도시안됨)에 의하여 서셉터의 전면으로부터 짧은 거리로 고정될 수 있다. 본 명세서에서, "척(chuck)"은 워크 피스를 제위치 또는 서셉터의 전면 근처에 고정하기 위하여 이용하는 수단을 지칭하는 용어로 이용된다. 서셉터 자체는 워크피스가 단순히 서셉터에 놓이는 경우 척이다. 더욱 통상적으로, 워크피스는 워크 피스의 주변에 배치되는 클램프 링 또는 직각 새도우 프레임 또는 서셉터에 매립된 정전형 척에 의하여 서셉터상에 고정된다.
중공, 원통형 금속 도관 또는 축(16)은 서셉터의 중앙으로부터 하방으로 연장되고 챔버의 바닥벽을 통과한다. 도관 또는 축의 주요 기능은 서셉터에 매립될 수 있는 정전형 척 및/또는 전기 히터에 전력을 공급하는 와이어링(wiring)을 둘러싸는 것이다.
설명된 바람직한 실시예에서, 서셉터 중앙 축(16)은 임의의 삽입된 절연체 없이 서셉터로 직접 부착되어, 상기 축은 RF 핫(hot)이며 서셉터로 LF 전력 공급원을 접속하는 RF 전달 라인의 부분으로서 기능한다. 후술되는 바와 같이 축은 원통형 유전체(81)에 의하여 전기적으로 접지된 챔버벽으로부터 전기적으로 절연된다.도시되지 않았지만 선택적 설계는 축을 전기적으로 접지하고 축과 서셉터 사이의 유전체 스페이서에 의하여 서셉터로부터 축을 절연하여야 한다. 이와 같은 경우, RF 전달 라인은 서셉터로 LF 전력 공급원을 접속하는 중공형 축내에 제공될 수 있다.
챔버 내부는 중앙에 가스 유입 매니폴드가 설치되는 제거가능한 리드(18)에 의하여 상부가 둘러싸인다. 가스 유입 매니폴드는 천공된 가스 분배판 또는 샤워헤드(showerhead; 20), 유입 매니폴드 측벽(22), 및 보강판(24)을 포함한다. 가스 분배판은 수 백 또는 수 천개의 오리피스로 천공되고, 전기 전도 재료로 이루어져 아노드 전극(anode electrode)으로서 기능한다. 가스 유입 매니폴드가 RF 전력을 받을 수 있도록, 가스 유입 매니폴드(20 내지 24)는 유전체 스페이서(26)에 의하여 전기적으로 접지된 리드(18) 및 챔버벽(10)으로부터 절연된다.
프로세스 가스는 보강판의 가스 유입 오리피스(28)를 통하여 가스 유입 매니폴드로 공급된다. 그때 가스는 가스 분배판의 오리피스를 통하여 유동되어 가스 분배판과 서셉터(12) 사이의 챔버 내부의 영역으로 유입된다.
RF 전력은 두개의 상이한 RF 주파수에서 작동하는 두 개의 RF 전력 공급원에 의하여 챔버내의 가스로 공급된다. HF 전력 공급원으로 지칭되는 고주파수 RF 전력 공급원(30)을 위한 통상적인 작동 주파수는 13 MHz이다. "바이어스(bias)" 또는 LF 전력 공급원으로 지칭되는 저주파수 RF 전력 공급원(32)을 위한 통상적인 작동 주파수는 400 kHz이다.
각각의 전력 공급원은 두개의 출력 단자 사이의 출력 전압을 발생시키며, 두개의 출력 단자중 하나는 전기적으로 접지된다. 각각의 전력 공급원의 접지된 출력은 전기적으로 접속된 챔버 벽(10)으로 접속된다. 도시된 CVD 챔버에서, LF 전력 공급원(32)의 접지되지 않은 출력은 서셉터(12)로 전기적으로 접속되고, 서셉터는 캐쏘드 전극으로서 기능한다. HF 전력 공급원(30)의 미접지된 또는 "핫(hot)" 출력 단자는 가스 분배판(20)으로 전기적으로 연결되고, 가스 분배판은 아노드 전극으로서 기능한다.[도 1에 도시된 바와 같이, 가스 분배판(20)으로 HF 전력 공급원을 전기적으로 접속하는 RF 케이블은 보강판(24)에 물리적으로 접속될 수 있으며, 보강판은 전기 전도성 매니폴드 측벽(22)을 통하여 가스 분배판으로 전기적으로 접속된다.]
소정의 반도체 제조 프로세스, 특히 에칭 프로세스를 위해, HF 및 LF 전력 공급원은 도시된 CVD 챔버에 대해 서로 교환한다.; 즉 HF 및 LF 전력 공급원은 캐쏘드 전극(서셉터(12)) 및 아노드 전극(가스 분배판(20))에 각각 연결된다.
LF 전력 공급원(32)과 이의 임피던스 정합 네트 워크의 설계에 따라, LF 전력 공급원과 캐쏘드 전극(12) 사이에 저주파수 통과 필터(33)를 직렬로 접속하는 것이 필요하다. 이 같은 저주파수 통과 필터없이, HF 전력은 LF 전력 공급원의 정합 네트워크를 혼동 또는 교란시킬 수 있으며 적절한 임피던스 정합을 달성하는 것을 방지할 수 있다. 저주파수 통과 필터는 HF 및 LF 전력 공급원의 주파수 사이의 차단 주파수를 가져 HF 전력이 LF 전력 공급원으로 연결되는 것을 차단한다.
고주파수 통과 필터(31)는 HF 전력 공급원 및 아노드 전력 사이에 삽입되어 LF 전력이 HF 전력 공급원으로 연결되는 것을 차단한다. 후술되는 CVD 프로세스에서와 같이, LF 전력 레벨이 HF 전력 레벨보다 상당히 낮은 경우 고주파수 통과 필터는 필요하지 않다.
캐쏘드 전극[서셉터(12)]과 아노드 전극[가스 분배판(20)] 사이에 인가된 RF전력은 두 개의 전극 사이의 영역에 전자기장을 형성하고 전자기장은 상기 영역에 있는 가스를 플라즈마 상태로 여기한다. 플라즈마는 프로세스 가스 혼합물로부터 반응성 종(reactive species)을 발생시키고, 반응성 종은 소정의 증착 또는 에칭 프로세스를 수행하기 위해 워크피스에 노출된 재료와 반응한다.
도시되지 않은 진공 펌프는 챔버내에 바람직한 레벨의 진공을 유지하고 고리형 배기 슬릿(36)을 통하여 챔버로부터 프로세스 가스 및 반응물을 배출한 다음 고리형 배기 플레넘(annular exhaust plenum;38)으로 배출하고 나서 도시되지 않은 배기 채널을 통하여 펌프로 배출한다.
(본 명세서에서, 용어 "고리형(annular)"은 원형 주변부를 가지는 대상물에 제한되지 않지만, 두개의 동심의 폐쇄형 통로에 의하여 정해진 소정의 대상물을 의미하는 것으로 의도된다. 도시된 챔버는 직각 단면을 가지는데, 이는 직각 기판을 제조하는 것으로 의도되기 때문이며, 따라서 고리형 배기 슬릿 및 배기 플레넘은 직각인 내측 및 외측 주변부를 가진다.)
자주식 리프트 메카니즘(motorized lift mechanism; 80 내지 88)은 워크피스가 챔버내로 또는 챔버외로 이동되는 동안 서셉터를 하부 위치(도시안됨)로 이동시키며 플라즈마 프로세스가 워크피스에 수행되는 동안 서셉터를 상부 위치(도 1)로 이동시킨다. 상세하게는, 서셉터(12)는 세라믹 지지 셀프(ceramic support shelf;80)에 의하여 중앙에 지지된다. 지지 셀프는 서셉터 축(16)을 둘러싸고 챔버 바닥벽의 개구를 통하여 하방으로 연장되는 원통형 중앙 섹션(cylindrical center section; 81)을 포함한다. 도시되지 않은 모터는 고정된 베이스판(84)에 대해 리프트 플랫폼을 제어가능하게 상승 및 하강시켜, 서셉터(12) 및 서셉터 축(16)을 상승 및 하강시킨다.
벨로우즈(86) 및 오링(88)은 챔버 벽의 개구 둘레의 진공 밀봉을 제공하고, 상기 개구를 통하여 서셉터 축(16)이 연장하고 상기 축의 수직 이동이 수용된다.
상술된 모든 챔버 부품은 챔버에서 수행되어지는 반도체 제조 프로세스를 오염시키지 않으며 프로세스 가스에 의한 부식에 저항하는 재료로 이루어져야 한다. 양극처리된 알루미늄은 리프트 메카니즘의 세라믹 부품(80 내지 84) 및 세라믹 스페이서(26)가 아닌 부품 모두에 대해 바람직한 재료이다.
상술된 플라즈마 챔버의 모든 부분은 종래 기술이다. 종래의 플라즈마 CVD 및 에칭 챔버의 설계 및 작동은 일반적으로 양도되고 각각의 전체 내용이 본 특허 명세서의 참고 문헌으로서 첨부된 다음과 같은 미국 특허에 설명된다. 창(Chang) 등에게 1989년 8월 8일 발생된 미국 특허 제 4,854,263호, 엔구연(Nguyen) 등에게 1994년 10월 18일 발생된 미국 특허 제 5,356,722호, 왕(Wang) 등에게 1998년 5월 26일 발생된 미국 특허 제 5,755,886호, 창 등에게 1998년 6월 30일 발행된 미국 특허 제 5,773,100호, 화이트(White) 등에게 1998년 12월 1일 발행된 미국 특허 제 5,844,205호, 및 로(Law) 등에게 2000년 2월 15일 발행된 미국 특허 제 6,024,044호.
서셉터와 접지부 사이에 접속된 축전기
종래의 플라즈마 챔버와는 달리, 본 발명은 서셉터(12)(즉 캐쏘드 전극)과 전기적 접지부 사이에 접속된 하나 이상의 축전기(50 내지 54)를 포함한다.(도 1 및 도 2 참조) 축전기는 캐쏘드의 뒤 또는 캐쏘드의 주변부 외부의 소정의 플라즈마로 RF 전력의 연결을 감소 또는 제거한다. 즉, 축전기는 이 같이 원치않는 플라즈마의 세기를 감소시키며 바람직하게는 제로로 감소시킨다. 이것은 프로세스 가스가 분배되는 영역, 즉 서셉터(캐쏘드 전극)와 가스 분배판(20)(아노드 전극) 사이의 영역에서 RF 전력을 더 많이 집중시킴으로써 챔버에서 수행되는 화학적 프로세스의 실행을 개선한다. 또한 우리는 축전기가 이 같은 영역에서 플라즈마의 공간적 균질성을 개선할 수 있어 낮은 또는 높은 플라즈마 밀도의 국부적 영역을 최소화 또는 제거할 수 있음으로써, 워크피스에 수행되는 증착 또는 에칭 프로세스의 공간적 균질성을 개선시킨다. 특히 유전체 CVD 프로세스에서, RF 전력의 이러한 증가된 집중 및 균질성은 인장 응력, 고 밀도, 및 다른 바람직한 필름 품질이 아닌 압축 응력의 바람직한 정도를 가지는 필름의 증착을 가능하게 한다.
캐쏘드 전극과 접지부 사이의 소정의 축전기없이 종래의 플라즈마 챔버에서, HF 신호의 주파수에서 캐쏘드로부터 접지부로의 유일한 통로는 LF 전력 공급원(32)의 출력 임피던스이다. 본 발명에서, 축전기(50 내지 54)는 캐쏘드로부터 접지부로의 통로를 제공하는데, 이 통로는 HF 전력 공급원(30)의 주파수에서 낮은 임피던스를 가진다. 본 발명이 작동의 특정된 이론에 제한되지는 않지만, 축전기(50 내지 54)에 의하여 제공된 접지부로의 낮은 임피던스 통로가 챔버 벽(10)의 근처의영역과 캐쏘드 사이의 HF 전압을 감소시켜, 캐쏘드의 주변부의 외부 또는 캐쏘드 뒤의 소정의 플라즈마와 서셉터 사이의 HF 전력의 연결을 감소 또는 제거한다.
본 발명은 워크피스 또는 기판(14)이 평 패널 디스플레이부를 제조하기 위한 글래스 기판과 같은 유전체 재료일 때 특히 가치가 있다. 특히, 이 같은 워크 피스는 일반적으로 다수의 반도체, 컨덕터, 및 유리와 같은 유전체 기판에 형성된 유전체 층으로 구성된다. 결론적으로, 워크피스의 대다수의 재료는 유전체이다. 반도체 기판과 비교하면, 유전체 기판은 캐쏘드 전극(12)과 플라즈마 바디 사이의 더 큰 RF 임피던스를 차단하는데, 이러한 RF 임피던스는 캐쏘드 전극 뒤 또는 옆에 RF 전류 통로를 통하여 RF 전력을 연결함으로써 제 2 플라즈마 바디를 형성하는 위험을 증가시킨다.
전기적 임피더스에 대하여 넓게 정의된, 서셉터와 접지부 사이에 접속된 축전기(50 내지 54)의 조합된 전기용량 값은 축전기의 부재시의 임피던스에 비하여 HF 전력 공급원(30)의 주파수에서 서셉터와 접지부 사이의 전기적 임피던스를 충분히 감소시키기에 충분할 정도로 커야한다. 바람직하게는, 조합된 전기용량은 HF 주파수에서 서셉터와 접지부 사이의 직접적인 전기 접속을 효과적으로 제공하기에 충분하게 커야 한다.
캐쏘오드와 아노드 전극 사이의 소정의 프라즈마상의 효과에 대하여 넓게 정의된, 전기용량은 전기용량의 부재시의 플라즈마의 세기에 비하여 이 같은 플라즈마의 세기를 충분히 증가시키기에 충분하도록 커야 한다.
선택적으로, 원치않는 플라즈마상의 효과에 대하여 넓게 정의된, 전기용량의부재시의 상기 플라즈마의 세기에 비하여 소정의 플라즈마 뒤 또는 캐쏘드 전극의 주변 외부의 세기를 충분히 감소시킬 정도로 전기용량이 커야 한다.
임의의 경우, 조합된 전기용량(50 내지 54)은 LF 전력 공급원(32)의 주파수에서 캐쏘드(12)와 접지부 사이의 전기적 임피던스가 낮아서 LF 전력 공급원(32)과 임피던스 정합 네트워크가 상당한 RF 전력을 서셉터로 연결할 수 없도록 커야한다. 즉, 전기용량은 LF 주파수에서 서셉터를 접지부로 전기적으로 회로를 쇼팅시키기에 충분하도록 크지 않아야 한다.
더욱이, 캐쏘드 전극과 접지부 사이에 접속된 축전기의 조합된 전기용량 값이 캐쏘드와 아노드 전극 사이의 영역에 직접적으로 플라즈마의 밀도의 공간적 균질성을 최대화하는 최적치를 통상적으로 가진다. 후술되는 바와 같이, 이러한 최적 전기용량 값은 소정의 프로세스 수행 파라미터를 최적화하고 플라즈마 비균질성, 즉 상대적으로 높거나 낮은 밀도 플라즈마의 국부적 영역을 최소화하도록 실험적으로 결정되는 것이 바람직하다.
조합된 전기용량(50 내지 54)이 LF 전력 공급원의 주파수에서 보다 HF 전력 공급원의 주파수에서 충분히 낮은 임피던스를 가질 수 있도록, 두 개의 전력 공급원의 주파수가 2 이상의 팩터(factor) 만큼 상이한 것이 바람직하고, 10 이상의 팩터(factor)만큼 상이한 것이 더 바람직하다.
본 발명의 바람직한 실시예는 전기적 접지부와 서셉터의 중앙 사이에 접속된 축전기(50) 및 전기 접지부와 서셉터의 배면(15)의 각각의 4개의 코너 사이에 접속된 부가적인 축전기(51 내지 54)를 포함한다. 각각의 5 개의 축전기는 가요적이고전기 전도성인 각각의 스트랩(60 내지 64)에 의하여 서셉터에 연결되는 것이 바람직하다.(도 1 및 도 2 참조) 전도성의 스트랩(60 내지 64)은 전술된 바와 같이 축 및 서셉터의 수직운동을 수용하기 위하여 가요적이다. 예를 들면, 각각의 스트랩은 유연한 금속의 시트 또는 밴드일 수 있으며, 상기 금속은 가요적이고 축 및 서셉터의 운동의 완전한 범위를 수용하기에 충분하도록 길고 얇다. 본 발명의 실시예에서, 각각의 스트랩은 약 0.5 인치의 폭과 1/16 인치의 두께를 가지는 알루미늄 밴드이다.
모든 축전기는 축전기 단자에서 나사형성부를 통하여 스크류에 의하여 이루어진 전기 접속부를 구비한 높은 전력 RF 인가를 위해 설계된 세라믹 타입인 것이 바람직하다. 각각의 코너 축전기(51 내지 54)의 하나의 단자가 챔버의 전기적으로 접지된 바닥 벽으로 볼트 결합되어 각각의 축전기는 프로세스 가스에 노출되는 축전기의 표면 영역을 최소화하기 위하여 챔버와 같은 높이가 된다. 각각의 코너 축전기의 다른 단자는 서셉터의 배면으로 볼팅결합되거나 클램핑되는 대응 금속 스트랩(61 내지 64)로 볼트 결합된다. 본 발명의 실시예에서, 만곡면을 구비한 4개의 알루미늄 블록(6)은 서셉터의 4개의 코너에 각각 볼트 결합되고, 각각의 금속 스트랩(61 내지 64)은 서셉터와 상기 블록중 하나에 삽입된다. 볼트는 조여져 상기 블록이 금속 스트랩을 서셉터로 단단히 클램핑시킨다.
4개의 가요적이고 전도성인 스트랩(61 내지 64)이 설치된 서셉터(12)의 각각의 4개의 코너에서 낮은 임피던스 접촉 영역을 제공하기 위하여, 정선 알루미늄(clean aluminum)이 각각의 4개의 코너에서 접속 영역에서 노출되도록 알루미늄 서셉터를 양극 처리하기 전에 접촉 영역을 마스크한다. 도 2에는 4개의 스트랩(61 내지 64)이 서셉터의 하부로 부착되는 접촉 영역을 보여준다. 4개의 스트랩에 접속된 4개의 축전기(51 내지 54)가 개략적으로 보여준다. 또한 도 2는 후술되는 바와 같은 가요적이고 전도성의 스트랩(60) 및 중앙 축(16)을 경유하여 서셉터의 중앙에 접속된 가변 축전기(50)를 개략적으로 보여준다.
선택적으로, 서셉터의 배면(15)으로 스트랩을 접속하는 대신, 스트랩은 각각의 4개의 코너 근처의 서셉터의 주변면(19)으로 접속될 수 있다.
또 다른 가능한 실시예는 4개의 축전기(51 내지 54) 대신 전기적으로 접지된 챔버벽으로 하나의 축전지만을 볼트 결합하는 것이다. 하나의 축전기가 서셉터의 4개의 각각의 코너로 접속되는 각각의 4개의 스트랩(61 내지 64)으로 접속된다.
상술된 바와 같이, 서셉터 지지 축(16)이 임의의 삽입되는 절연체없이 서셉터로 직접 부착되어, 축이 RF 핫이고 서셉터로 LF 전력 공급원을 접속하는 RF 전달 라인의 부분으로서 기능한다. 그러므로, 중앙 축전기(50)는 축으로 축전기를 접속함으로써 서셉터의 중앙으로 효과적으로 접속될 수 있다. 또한, 축으로 접속부는 축의 하부로 이루어질 수 있는데, 상기 축은 챔버 진공 외부가 되도록 진공 밀봉부(벨로우즈(86) 및 오링(88)) 아래이다. 이것은 다른 전기적으로 접지된 부품 또는 챔버 벽의 외부에 중앙 축전기를 장착하는 것을 허용한다. 이것은 챔버내의 플라즈마에 중앙 축전기의 소정의 노출을 피하는 것이 바람직하고, 이것은 중앙 축전기에 대한 가변 축전기의 이용을 촉진시킨다. 축의 수직 운동을 수용하기 위하여, 중앙 축전기가 다른 축전기를 서셉터로 접속하는 스트랩(61 내지 64)에 유사한 가요성 금속 스트랩(60)을 이용하여 축으로 접속되는 것이 바람직하다.
본 발명은 중앙 축전기(50)로만 실행되고 코너 축전기(51 내지 54)로 실행되지 않으며, 또는 코너 축전기로만 실행되고 중앙 축전기로 실행되지 않는다. 코너(또는 주변) 축전기와 서셉터의 중앙 또는 서셉터의 중앙 근처로 접속된 축전기 사이의 비율은 워크피스(14)에 수행되는 증착 또는 에칭 프로세스의 공간적 균질성에 영향을 미친다. 워크피스의 폭 또는 표면 영역이 클수록, 워크피스에 수행되는 반도체 제조 프로세스에 대한 공간적 균질성의 주어진 레베을 달성하기 위하여 요구되도록 기대되는 축전기의 수가 많아진다. 서셉터의 주변 둘레에 공간적으로 분포된 포인트 또는 서셉터의 배면에 공간적으로 분포된 다른 포인트에 접속된 부가적인 축전기는 테스트되는 550 x 650 mm 보다 큰 서셉터에 대해 바람직할 수 있다.
HF 및 LF 전력 공급원의 주파수가 13.56 MHz 및 400 MHz인 바람직한 실시예에서, 각각, 150 pf 내지 300 pf의 3개 또는 4개의 코너 축전기 및 300 내지 1700 pf의 범위의 중앙 축전기로 양호한 결과를 얻는다. 즉, 조합된 전기용량은 750 pf로부터 3000 pf의 범위이다.
LF 전력 공급원(32)은 동력 공급원이 첫번째로 턴온(turn on)되었을 때 향후 전력(로드 전력이 아닌)을 천천히 증가시키도록 프로그래밍된 전력 조절기를 포함하여 플라즈마 챔버에서 아킹(arcing)을 발생시킬 수 있는 전력에서의 급격한 증가를 피하도록 하는 것이 바람직하다.
상대적으로 높은 RF 전력 레벨에서, 플라즈마 비균질성, 즉 과열점과 같은상대적으로 낮거나 높은 밀도 플라즈마의 국부적 영역을 피하기 위하여 플라즈마의 밀도의 공간적 균질성을 최대화하는 최적 전기용량치를 선택하는 것이 더 중요할 수 있다. 예를 들면, 2800으로부터 4500 와트 범위에 있는 두 개의 전력 공급원으로부터의 총 RF 전력을 이용하는 테스트에서, 400 내지 1700 pf 가변 축전기인 중앙 축전기(50)의 값을 조정함으로써 조합된 전기용량을 조정한다. 너무 낮은 전기 용량은 서셉터 지지 축의 바닥 근처 또는 샤워 헤드(20)의 코너 근처의 플라즈마에서의 가시적으로 명백한 과열점을 발생시킨다. 역으로, 너무 높은 전기용량은 챔버의 바닥 근처 또는 코너 축전기로 접속된 접지 스트랩(55) 근처의 다른 영역에 플라즈마에서 과열점을 발생시킨다.
우리는 평 패널 디스플레이부를 제조하기 위하여 통상적으로 이용되는 타입의 550 mm x 650 mm 직각 글래스 기판상에 질화 규소 유전체 필름을 증착하기 위한 다른 종래의 CVD 프로세스를 도 1에 도시된 플라즈마 챔버에서 테스트한다. HF 및 LF 전력 공급원의 주파수는 각각 13.56 MHz 및 400 MHz이다. 프로세스 가스 유동률은 400 sccm SiH4, 1400 sccm NH3및 4000 sccm N2이며, 챔버 압력은 1.5 내지 1.8 토르이다.
각각의 코너 축전기(51 내지 54)는 150 pf이며, 우리는 중앙 축 축전기(50)의 상이한 4개의 값을 테스트한다. 두 개의 전력 공급원에 의하여 인가된 총 RF 전력은 2400 와트 HF 및 400 와트 LF, 또는 2600 와트 HF 및 200 와트 LF로 2800 W이다.
각 300 pf, 600 pf 및 900 pf의 중앙 축전기로 3개의 개별적인 테스트에서, 증착률은 1460으로부터 2210 Å/min으로 점차적으로 증가하며, 습식 에칭률(wet etch rate)은 893으로부터 375 Å/min로 점차적으로 감소하며, 필름 응력은 +2.6(인장)으로부터 -4.3(압축)x109dyne/cm2으로 점차적으로 증가한다. 기판 주변의 외측 20mm를 제외하고, 필름 두께 균질성은 첫번째 두 개의 경우에서 14%이고 마지막 경우에서 4%이다. 그러므로, 900 pf 중앙 축전기는 최상의 결과를 발생시킨다.
동일한 연속적인 테스트에서, 중앙 축전기는 1200 pf로 더 증가되지만, 4개의 코너 축전기중 하나는 제거되었다. 놀랍게도, 많은 테스트 결과는 300 pf 중앙 축전기에 대한 결과보다 나빴다. 증착율은 1160 Å/min으로 낮아지고, 습식 에칭률은 1295 Å/min으로 증가되었으며, 응력은 +3.65 x109dyne/cm2으로 증가되었다. 이 테스트 결과는 뿐만 아니라 주변(예를 들면, 코너) 전기용량으로의 중앙 전기용량의 최적 비율 뿐만 아니라 총 전기용량의 최적치를 결정하기 위하여 수행하는 실험적 테스트의 바람직함을 나타낸다.
실제로, 플라즈마 임피던스는 RF 전력, 챔버 압력, 프로세스 가스 유동률, 및 챔버 부품의 크기 및 형상에 따라 폭 넓게 변화한다. 그러므로, 캐쏘드 전극 및 접지부 사이에 접속된 조합된 전기용량의 최적치는 실험적으로 선택되어야 한다. 또한, 플라즈마 임피던스는 워크피스에 증착 또는 에칭 프로세스를 수행하는 도중 변화하며, 이는 증착되거나 에칭되는 필름의 두게가 프로세스중 점차적으로 증가 또는 감소하고 플라즈마에서의 반응물 및 반응 부산물의 비율이 프로세스중변화될 수 있기 때문이다. 결론적으로, 소정의 프로세스에서, 주어진 워크피스에서 프로세스를 수행하는 도중 하나 이상의 축전기(50 내지 54)를 조정함으로써 서셉터와 접지부 사이의 총 전기용량의 값을 점차적으로 조정하는 것이 바람직하다.
예를 들면, 전술된 질화 규소 CVD 프로세스의 테스트에서, 중앙 축전기에 대해 테스트된 고정치를 발견하였으며, 플라즈마가 충분히 비 균질하여 플라즈마에서의 과열점이 가시적으로 명백한 CVD 프로세스를 수행하는 도중 시간 간격이 있다. 그러므로, 이러한 CVD 프로세스에 대해, CVD 프로세스중 증착된 질화 규소 필름의 두께가 점차적으로 증가될 때 하나 이상의 축전기의 값을 점차적으로 조정하는 것이 바람직하다.
결론적으로, 바람직한 실시예는 프로그래밍된 마이크로프로세서와 같은 제어기 회로(70)를 포함하는데, 이는 캐쏘드 전극과 접지부 사이의 총 전기용량(50 내지 54)을 총괄적으로 결정하는 하나 이상의 가변 축전기의 세팅을 제어하는 서보 모터로 전기 제어 신호를 주기적으로 송신한다. 전술된 바와 같이, 5개의 축전기중 하나만, 즉 400 내지 1700 pf 가변 중앙 축전기(50)를 조정함으로써 총 전기용량을 조정하는 것이 가장 간단하다. 제어기는 증착 시간 또는 플라즈마 프로세스에 의하여 증착되는(또는, 선택적으로 에칭되는) 층의 두께에 따라 중앙 축전기의 전기용량 값을 점차적으로 변경하도록 모터에 명령한다.
전기용량에 의하여 변화되는 양은 플라즈마 과열점을 피하고 층착된 필름의 품질을 최대화하기 위하여 실험적으로 결정되는 것이 바람직하다. 특히, 시간 또는 층 두께에 따른 최적 전기용량 값은 플라즈마에서 가시적으로 명백한 또는 감지가능한 과열점을 피하기 위하여 필요한 정도로 전기용량을 수동으로 조정함으로써 증착 또는 에칭 프로세스의 수행중 실험적으로 결정될 수 있다. 실험적으로 결정되는 일련의 전기용량 값은 제어기(70)에서 프로그램 단계로서 저장될 수 있다.
선택적으로, 전기용량은 플라즈마의 RF 임피던스가 증착 또는 에칭 프로세스의 수행중 가능한 일정하도록 조정될 수 있다. 플라즈마 임피던스는 두개의 RF 전력 공급원중 하나의 출력에서 로드 임피던스의 측정으로부터 추론될 수 있다.
설명된 CVD 챔버에서, 저주파수 RF 전력 공급원(32) 및 접지 축전기(50 내지 54)는 워크피스(14)가 설치되는 서셉터 전극(12)으로 접속된다. 그러나, 소정의 에칭 챔버와 같은, 소정의 플라즈마 챔버에서, 고주파수 전력 공급원(30)은 워크피스가 설치되는 서셉터에 접속되고, 저주파수 전력 공급원은 아노드 전극(20)으로 접속된다. 이 같은 경우에서, 하나 이상의 축전기(50 내지 54)는 전기 접지부와 아노드 전극, 즉 저주파수 전력 공급원이 접속되는 전극 사이에 접속된다.
본 발명은 상기 두 개의 전극 사이의 영역에 RF 전력을 더욱 집중시킴으로써 플라즈마 프로세스의 실행을 개선시키는 효과가 있다.

Claims (31)

  1. 전자 워크피스를 제조하기 위한 장치로서,
    플라즈마 챔버,
    상기 플라즈마 챔버에 설치된 제 1 전극 및 제 2 전극,
    상기 제 1 전극 및 제 2 전극 사이에 상기 전자 워크피스를 배치하기 위한 척,
    제 1 주파수를 가지는 전기 신호를 상기 제 1 전극으로 인가하기 위하여 접속된 저주파수 전력공급원,
    상기 제 1 주파수보다 충분히 높은 제 2 주파수를 가지는 전기 신호를 상기 제 2 전극으로 인가하기 위하여 접속된 고주파수 전력공급원, 및
    상기 제 1 전극 및 전기적 접지부 사이에 접속된 하나 이상의 축전기를 포함하는 장치.
  2. 제 1 항에 있어서, 상기 제 1 전극은 전면 및 배면을 가지고 있고, 상기 제 1 면은 상기 제 2 전극과 전체적으로 직면하고 있으며, 상기 척은 상기 제 1 전극의 전면에 인접하여 상기 워크피스를 배치시키는 장치.
  3. 제 1 항에 있어서, 상기 하나 이상의 축전기는 상기 제 1 전극의 주변 근처의 각각 공간적으로 분포된 포인트에서 상기 제 1 전극으로 각각 접속된 다수의 축전기를 포함하는 장치.
  4. 제 1 항에 있어서, 상기 제 1 전극은 전면 및 배면을 가지고 있고, 상기 제 1 면은 상기 제 2 전극과 전체적으로 직면하고 있으며, 상기 하나 이상의 축전기는 상기 제 1 전극의 표면 근처에 걸쳐 공간적으로 분포된 각각의 포인트에서 상기 제 1 전극으로 각각 접속된 다수의 축전기를 포함하는 장치.
  5. 제 1 항에 있어서, 상기 하나 이상의 축전기는, 상기 제 1 전극의 중앙 근처의 포인트에서 상기 제 1 전극으로 전기적으로 접속된 제 1 축전기를 포함하는 장치.
  6. 제 5 항에 있어서, 상기 제 1 전극의 중앙 근처의 포인트에서 상기 제 1 전극으로 접속된 전기 전도성 축을 더 포함하며, 상기 하나 이상의 축전기는 상기 축에 직접 전기적으로 접속된 제 1 축전기를 포함하는 장치.
  7. 제 1 항에 있어서, 상기 하나 이상의 축전기는 750 pf 내지 3000 pf 범위의 총 전기용량을 가지는 장치.
  8. 제 1 항에 있어서, 조합되어 있는 상기 고주파수 및 저주파수 전력공급원은 상기 제 1 전극과 제 2 전극 사이의 영역에서 플라즈마를 여기시키며, 상기 하나이상의 축전기 부존재시의 상기 플라즈마의 세기에 비하여 상기 하나 이상의 축전기는 상기 영역에서 상기 플라즈마의 세기를 충분히 증가시키는 전기용량을 가지는 장치.
  9. 제 1 항에 있어서, 조합되어 있는 상기 고주파수 및 저 주파수 전력공급원은 상기 제 1 전극과 제 2 전극 사이의 영역에 플라즈마를 여기시키며, 상기 하나 이상의 축전기 부존재시의 상기 플라즈마의 밀도의 공간적 균질성에 비하여 상기 하나 이상의 축전기는 상기 영역에서 상기 플라즈마의 밀도의 공간적 균질성을 충분히 증가시키는 전기용량을 가지는 장치.
  10. 제 1 항에 있어서, 상기 하나 이상의 축전기 부존재시의 상기 플라즈마의 세기에 비하여 상기 하나 이상의 축전기는 상기 제 1 전극 뒤의 소정의 플라즈마 세기를 충분히 감소시키는 전기용량을 가지는 장치.
  11. 제 1 항에 있어서, 상기 하나 이상의 축전기는 상기 제 2 주파수에서 접지되는 상기 제 1 전극을 전기적으로 접속하도록 충분히 큰 전기용량을 가지는 장치.
  12. 제 1 항에 있어서, 상기 하나 이상의 축전기는 상기 저주파수 전력 공급원이 상기 제 1 전극으로 상당한 전력을 공급하도록 충분히 작은 전기용량을 가지는 장치.
  13. 제 1 항에 있어서, 상기 하나 이상의 축전기는 상기 플라즈마 챔버내에 소정의 가시적으로 명백한 플라즈마 과열점을 방지하는 전기용량을 가지는 장치.
  14. 제 1 항에 있어서, 상기 하나 이상의 축전기는 전기용량을 특징으로 하는 가변 축전기를 포함하며, 상기 플라즈마 챔버는 상기 챔버의 작동중 상기 가변 축전기의 전기용량의 점진적인 변화를 위한 제어기를 더 포함하는 장치.
  15. 제 1 항에 있어서, 상기 제 2 주파수가 상기 제 1 주파수보다 두 배이상 큰 장치.
  16. 제 1 항에 있어서, 상기 제 2 주파수가 상기 제 1 주파수보다 10 배 이상 큰 장치.
  17. 전자 워크피스를 제조하기 위한 방법으로서,
    플라즈마 챔버내에 제 1 전극 및 제 2 전극을 설치하는 단계,
    상기 제 1 전극과 제 2 전극 사이에 상기 전자 워크피스를 배치하는 단계,
    상기 제 1 전극으로 제 1 주파수를 가지는 제 1 전기 신호를 인가하는 단계,
    상기 제 2 전극으로 상기 제 1 주파수보다 충분히 높은 제 2 주파수를 가지는 제 2 전기 신호를 인가하는 단계, 및
    상기 제 1 전극과 전기 접지부 사이에 하나 이상의 축전기를 접속하는 단계를 포함하는 방법.
  18. 제 17 항에 있어서, 상기 워크피스가 주로 유전체 재료로 구성되는 방법.
  19. 제 17 항에 있어서, 조합되어 있는 상기 제 1 전기 신호 및 제 2 전기 신호가 상기 제 1 전극과 제 2 전극 사이의 영역에서 플라즈마를 여기시키며,
    상기 하나 이상의 축전기의 부존재시의 상기 플라즈마의 세기에 비하여 상기 하나 이상의 축전기는 상기 영역에서 상기 플라즈마의 세기를 충분히 증가시키는 전기 용량을 가지는 방법.
  20. 제 17 항에 있어서, 조합되어 있는 상기 제 1 전기 신호 및 제 2 전기 신호는 상기 제 1 전극과 제 2 전극 사이의 영역에서 플라즈마를 여기시키며,
    상기 하나 이상의 축전기의 부존재시의 상기 플라즈마의 밀도의 공간적 균질성에 대해 상기 하나 이상의 축전기는 상기 영역에서 상기 플라즈마의 밀도의 공간적 균질성을 충분히 증가시키는 전기용량을 가지는 방법.
  21. 제 17 항에 있어서, 상기 하나 이상의 축전기의 부존재시의 상기 플라즈마의 세기에 비하여 상기 하나 이상의 축전기는 상기 제 1 전극 뒤의 소정의 플라즈마의 세기를 충분히 감소시키는 전기용량을 가지는 방법.
  22. 제 17 항에 있어서, 상기 하나 이상의 축전기는 상기 제 2 주파수에서 접지하기 위하여 상기 제 1 전극을 전기적으로 접속되도록 충분히 큰 전기용량을 가지는 방법.
  23. 제 17 항에 있어서, 상기 하나 이상의 축전기는 상기 저주파수 전력공급원이 상기 제 1 전극으로 상당한 전력을 공급하도록 충분히 작은 전기용량을 가지는 방법.
  24. 제 17 항에 있어서, 상기 하나 이상의 축전기는 상기 플라즈마 챔버내에 가시적으로 명백한 플라즈마 과열점의 형성을 방지하는 전기용량을 가지는 방법.
  25. 제 17 항에 있어서, 상기 하나 이상의 축전기는 전기용량을 특징으로 하는 가변 축전기를 포함하며, 상기 챔버의 작동중 상기 가변 축전기의 전기용량을 점진적으로 변화시키는 단계를 더 포함하는 방법.
  26. 제 25 항에 있어서, 상기 플라즈마 챔버내의 상기 전자 워크피스상에 재료를 증착하는 단계를 더 포함하며, 상기 전기용량을 점진적으로 변화시키는 단계는 상기 재료가 증착되는 단계동안 상기 전기용량을 변화시키는 단계를 포함하는 방법.
  27. 제 25 항에 있어서, 상기 플라즈마 챔버내의 상기 전자 워크피스상에 재료를 에칭하는 단계를 더 포함하며, 상기 전기용량을 점진적으로 변화시키는 단계는 상기 재료가 에칭되는 단계동안 상기 전기용량을 변화시키는 단계를 포함하는 방법.
  28. 제 25 항에 있어서, 조합되어 있는 상기 제 1 전기 신호 및 제 2 전기 신호는 상기 제 1 전극과 상기 제 2 전극 사이의 영역에서 플라즈마를 여기시키며, 상기 전기용량을 점진적으로 변화시키는 단계는 상기 챔버의 작동중 상기 플라즈마의 임피던스의 변화량을 감소시키도록 상기 전기용량을 변화시키는 단계를 포함하는 방법.
  29. 제 25 항에 있어서, 조합되어 있는 상기 제 1 전기 신호와 제 2 전기 신호는 상기 제 1 전극과 제 2 전극 사이의 영역에서 플라즈마를 여기시키며, 상기 전기용량을 점진적으로 변화시키는 단계는 상기 챔버의 작동중 상기 플라즈마의 밀도의 공간적 균질성의 변화량을 감소시키도록 상기 전기용량을 변화시키는 단계를 포함하는 방법.
  30. 제 17 항에 있어서, 상기 제 2 주파수는 상기 제 1 주파수보다 두 배이상 큰 방법.
  31. 제 17 항에 있어서, 상기 제 2 주파수는 상기 제 1 주파수보다 10 배이상 큰방법.
KR1020010024071A 2000-05-03 2001-05-03 캐쏘드에서 접지형 축전기를 가지는 다중 주파수 플라즈마챔버 KR20010102930A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/563,963 US6857387B1 (en) 2000-05-03 2000-05-03 Multiple frequency plasma chamber with grounding capacitor at cathode
US09/563,963 2000-05-03

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020060113939A Division KR20060123696A (ko) 2000-05-03 2006-11-17 캐소드에서 접지용 커패시터를 가지는 다중 주파수플라즈마 챔버

Publications (1)

Publication Number Publication Date
KR20010102930A true KR20010102930A (ko) 2001-11-17

Family

ID=24252614

Family Applications (5)

Application Number Title Priority Date Filing Date
KR1020010024071A KR20010102930A (ko) 2000-05-03 2001-05-03 캐쏘드에서 접지형 축전기를 가지는 다중 주파수 플라즈마챔버
KR1020060113939A KR20060123696A (ko) 2000-05-03 2006-11-17 캐소드에서 접지용 커패시터를 가지는 다중 주파수플라즈마 챔버
KR1020070123727A KR20070118998A (ko) 2000-05-03 2007-11-30 캐소드에서 접지용 커패시터를 가지는 다중 주파수플라즈마 챔버
KR1020090060654A KR100971840B1 (ko) 2000-05-03 2009-07-03 캐소드에서 접지용 커패시터를 가지는 다중 주파수 플라즈마 챔버
KR1020100018516A KR101012407B1 (ko) 2000-05-03 2010-03-02 캐소드에서 접지용 커패시터를 가지는 다중 주파수 플라즈마 챔버

Family Applications After (4)

Application Number Title Priority Date Filing Date
KR1020060113939A KR20060123696A (ko) 2000-05-03 2006-11-17 캐소드에서 접지용 커패시터를 가지는 다중 주파수플라즈마 챔버
KR1020070123727A KR20070118998A (ko) 2000-05-03 2007-11-30 캐소드에서 접지용 커패시터를 가지는 다중 주파수플라즈마 챔버
KR1020090060654A KR100971840B1 (ko) 2000-05-03 2009-07-03 캐소드에서 접지용 커패시터를 가지는 다중 주파수 플라즈마 챔버
KR1020100018516A KR101012407B1 (ko) 2000-05-03 2010-03-02 캐소드에서 접지용 커패시터를 가지는 다중 주파수 플라즈마 챔버

Country Status (5)

Country Link
US (1) US6857387B1 (ko)
JP (2) JP4817528B2 (ko)
KR (5) KR20010102930A (ko)
SG (1) SG91920A1 (ko)
TW (1) TWI241649B (ko)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100596329B1 (ko) * 2004-07-02 2006-07-06 주식회사 에이디피엔지니어링 플라즈마 처리장치의 접지수단
KR100646104B1 (ko) * 2004-07-02 2006-11-15 주식회사 에이디피엔지니어링 접지장치
KR100855597B1 (ko) * 2004-11-04 2008-09-03 어플라이드 머티어리얼스, 인코포레이티드 육불화황 원격 플라즈마 소스 세정
KR100965758B1 (ko) * 2003-05-22 2010-06-24 주성엔지니어링(주) 액정표시장치용 플라즈마 강화 화학기상증착 장치의샤워헤드 어셈블리
KR101011407B1 (ko) * 2007-05-03 2011-01-28 어플라이드 머티어리얼스, 인코포레이티드 직사각형 서셉터의 비대칭 접지
KR101490428B1 (ko) * 2008-02-15 2015-02-11 엘아이지에이디피 주식회사 플라즈마 처리장비용 접지장치

Families Citing this family (56)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6772827B2 (en) * 2000-01-20 2004-08-10 Applied Materials, Inc. Suspended gas distribution manifold for plasma chamber
US6477980B1 (en) * 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
JP4557400B2 (ja) * 2000-09-14 2010-10-06 キヤノン株式会社 堆積膜形成方法
US7316761B2 (en) * 2003-02-03 2008-01-08 Applied Materials, Inc. Apparatus for uniformly etching a dielectric layer
KR100490049B1 (ko) * 2003-04-14 2005-05-17 삼성전자주식회사 일체형 디퓨저 프레임을 가지는 cvd 장치
US7083702B2 (en) * 2003-06-12 2006-08-01 Applied Materials, Inc. RF current return path for a large area substrate plasma reactor
US7107125B2 (en) * 2003-10-29 2006-09-12 Applied Materials, Inc. Method and apparatus for monitoring the position of a semiconductor processing robot
JP4698251B2 (ja) * 2004-02-24 2011-06-08 アプライド マテリアルズ インコーポレイテッド 可動又は柔軟なシャワーヘッド取り付け
CH706979B1 (en) * 2004-04-30 2014-03-31 Tel Solar Ag Method for producing a disc-shaped workpiece based on a dielectric substrate and vacuum treatment plant therefor.
KR100710923B1 (ko) * 2004-06-02 2007-04-23 동경 엘렉트론 주식회사 플라즈마 처리장치 및 임피던스 조정방법
JP4550507B2 (ja) * 2004-07-26 2010-09-22 株式会社日立ハイテクノロジーズ プラズマ処理装置
US7641762B2 (en) * 2005-09-02 2010-01-05 Applied Materials, Inc. Gas sealing skirt for suspended showerhead in process chamber
KR100714882B1 (ko) 2006-02-01 2007-05-04 주식회사 에스에프에이 평면디스플레이용 화학 기상 증착장치
KR101197020B1 (ko) 2006-06-09 2012-11-06 주성엔지니어링(주) 균일한 플라즈마 방전을 위한 기판처리장치 및 이를이용하여 플라즈마 방전세기를 조절하는 방법
KR101346081B1 (ko) * 2006-06-20 2013-12-31 참엔지니어링(주) 플라스마 에칭 챔버
US7416677B2 (en) * 2006-08-11 2008-08-26 Tokyo Electron Limited Exhaust assembly for plasma processing system and method
US7776178B2 (en) * 2006-10-25 2010-08-17 Applied Materials, Inc. Suspension for showerhead in process chamber
US7758763B2 (en) * 2006-10-31 2010-07-20 Applied Materials, Inc. Plasma for resist removal and facet control of underlying features
US8004293B2 (en) * 2006-11-20 2011-08-23 Applied Materials, Inc. Plasma processing chamber with ground member integrity indicator and method for using the same
TW200823571A (en) * 2006-11-30 2008-06-01 Univ Nat Chiao Tung Plasma device for liquid crystal alignment
US20080142481A1 (en) * 2006-12-18 2008-06-19 White John M In-situ particle collector
KR100889703B1 (ko) * 2007-04-10 2009-03-24 주식회사 에스에프에이 평면디스플레이용 화학 기상 증착장치
JP4887202B2 (ja) * 2007-04-17 2012-02-29 東京エレクトロン株式会社 プラズマ処理装置及び高周波電流の短絡回路
KR20080105617A (ko) * 2007-05-31 2008-12-04 삼성모바일디스플레이주식회사 화학기상증착장치 및 플라즈마강화 화학기상증착장치
JP5660753B2 (ja) * 2007-07-13 2015-01-28 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated プラズマエッチング用高温カソード
US8519724B2 (en) * 2007-10-05 2013-08-27 Lam Research Corporation Electrode for use in measuring dielectric properties of parts
US20090107955A1 (en) * 2007-10-26 2009-04-30 Tiner Robin L Offset liner for chamber evacuation
WO2009082753A2 (en) * 2007-12-25 2009-07-02 Applied Materials, Inc. Asymmetrical rf drive for electrode of plasma chamber
JP5069581B2 (ja) * 2008-02-01 2012-11-07 富士フイルム株式会社 ガスバリア膜の成膜方法、ガスバリアフィルムおよび有機el素子
KR101625516B1 (ko) * 2008-02-08 2016-05-30 램 리써치 코포레이션 플라즈마 프로세싱 장치 및 플라즈마 프로세싱 장치에서 반도체 기판을 처리하는 방법
CN102017057B (zh) * 2008-05-02 2012-11-28 欧瑞康太阳能股份公司(特吕巴赫) 用于基板的等离子体辅助处理的等离子体处理装置和方法
WO2010008827A2 (en) * 2008-06-24 2010-01-21 Applied Materials, Inc. Pedestal heater for low temperature pecvd application
JP5157741B2 (ja) * 2008-08-12 2013-03-06 コニカミノルタホールディングス株式会社 プラズマ放電処理装置
JP5156552B2 (ja) * 2008-09-08 2013-03-06 富士フイルム株式会社 ガスバリアフィルムの製造方法
US20100089319A1 (en) * 2008-10-09 2010-04-15 Applied Materials, Inc. Rf return path for large plasma processing chamber
US20100136261A1 (en) * 2008-12-03 2010-06-03 Applied Materials, Inc. Modulation of rf returning straps for uniformity control
WO2010091205A2 (en) * 2009-02-04 2010-08-12 Applied Materials, Inc. Ground return for plasma processes
KR101617781B1 (ko) * 2009-02-13 2016-05-03 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 챔버 전극을 위한 rf 버스 및 rf 리턴 버스
US9039864B2 (en) * 2009-09-29 2015-05-26 Applied Materials, Inc. Off-center ground return for RF-powered showerhead
TWI432100B (zh) * 2009-11-25 2014-03-21 Ind Tech Res Inst 電漿產生裝置
JP5375763B2 (ja) * 2010-07-27 2013-12-25 三菱電機株式会社 プラズマ装置およびこれを用いた半導体薄膜の製造方法
JP5922352B2 (ja) * 2011-08-11 2016-05-24 Sppテクノロジーズ株式会社 窒化膜の製造装置及びその製造方法、並びにその製造プログラム
KR101886740B1 (ko) * 2011-11-01 2018-09-11 삼성디스플레이 주식회사 기상 증착 장치 및 유기 발광 표시 장치 제조 방법
US8911588B2 (en) * 2012-03-19 2014-12-16 Lam Research Corporation Methods and apparatus for selectively modifying RF current paths in a plasma processing system
US9230779B2 (en) * 2012-03-19 2016-01-05 Lam Research Corporation Methods and apparatus for correcting for non-uniformity in a plasma processing system
KR101493254B1 (ko) * 2012-07-09 2015-02-16 엘아이지에이디피 주식회사 원자층 박막 증착장비
KR101493250B1 (ko) * 2012-07-09 2015-02-16 엘아이지에이디피 주식회사 원자층 박막 증착장비
KR20150022163A (ko) * 2013-08-22 2015-03-04 삼성디스플레이 주식회사 플라즈마 처리 장치용 스트랩 및 이를 포함하는 플라즈마 처리 장치
CN104746048A (zh) * 2013-12-31 2015-07-01 丽佳达普株式会社 原子层沉积装置
CN104746047A (zh) * 2013-12-31 2015-07-01 丽佳达普株式会社 原子层沉积装置
KR200482926Y1 (ko) 2015-10-02 2017-03-16 (주)씨에스텍 뒤틀림 현상을 감소시킨 유기금속 화학증착프로세싱 챔버용 오메가형 히터
US9741584B1 (en) * 2016-05-05 2017-08-22 Lam Research Corporation Densification of dielectric film using inductively coupled high density plasma
KR102401422B1 (ko) * 2016-06-03 2022-05-24 에바텍 아크티엔게젤샤프트 플라즈마 에칭 챔버 및 플라즈마 에칭 방법
KR20190091926A (ko) 2018-01-30 2019-08-07 에이피시스템 주식회사 플라즈마 처리 장치 및 플라즈마 처리 방법
CN114008755A (zh) * 2019-04-29 2022-02-01 应用材料公司 接地带组件
US11499223B2 (en) * 2020-12-10 2022-11-15 Applied Materials, Inc. Continuous liner for use in a processing chamber

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07302786A (ja) * 1994-04-28 1995-11-14 Tokyo Electron Ltd プラズマ処理装置
US5487785A (en) * 1993-03-26 1996-01-30 Tokyo Electron Kabushiki Kaisha Plasma treatment apparatus
JPH09320991A (ja) * 1996-05-31 1997-12-12 Anelva Corp 窒化チタン薄膜の作製方法
JPH10172792A (ja) * 1996-12-05 1998-06-26 Tokyo Electron Ltd プラズマ処理装置

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02298024A (ja) * 1989-05-12 1990-12-10 Tadahiro Omi リアクティブイオンエッチング装置
US5210466A (en) * 1989-10-03 1993-05-11 Applied Materials, Inc. VHF/UHF reactor system
US5170098A (en) * 1989-10-18 1992-12-08 Matsushita Electric Industrial Co., Ltd. Plasma processing method and apparatus for use in carrying out the same
JP2774367B2 (ja) * 1990-08-07 1998-07-09 忠弘 大見 プラズマプロセス用装置および方法
US5288971A (en) * 1991-08-09 1994-02-22 Advanced Energy Industries, Inc. System for igniting a plasma for thin film processing
US5688330A (en) * 1992-05-13 1997-11-18 Ohmi; Tadahiro Process apparatus
JP3157638B2 (ja) 1993-03-02 2001-04-16 アネルバ株式会社 プラズマ処理装置
JP3090562B2 (ja) * 1993-05-24 2000-09-25 東京エレクトロン株式会社 プラズマ処理装置
JPH078638A (ja) * 1993-06-21 1995-01-13 Toyomasa Okuyama 鉄道模型の制御装置
JP3173691B2 (ja) 1993-10-04 2001-06-04 東京エレクトロン株式会社 プラズマ処理装置
US5815047A (en) * 1993-10-29 1998-09-29 Applied Materials, Inc. Fast transition RF impedance matching network for plasma reactor ignition
US5900103A (en) * 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
JP2956494B2 (ja) 1994-10-26 1999-10-04 住友金属工業株式会社 プラズマ処理装置
US5534751A (en) * 1995-07-10 1996-07-09 Lam Research Corporation Plasma etching apparatus utilizing plasma confinement
JPH09106899A (ja) * 1995-10-11 1997-04-22 Anelva Corp プラズマcvd装置及び方法並びにドライエッチング装置及び方法
JPH1079350A (ja) * 1996-09-04 1998-03-24 Kokusai Electric Co Ltd プラズマ処理装置
GB9620151D0 (en) * 1996-09-27 1996-11-13 Surface Tech Sys Ltd Plasma processing apparatus
TW403959B (en) 1996-11-27 2000-09-01 Hitachi Ltd Plasma treatment device
US6024044A (en) 1997-10-09 2000-02-15 Applied Komatsu Technology, Inc. Dual frequency excitation of plasma for film deposition
JP3710081B2 (ja) * 1997-11-30 2005-10-26 アルプス電気株式会社 プラズマ処理装置
US6098568A (en) * 1997-12-01 2000-08-08 Applied Materials, Inc. Mixed frequency CVD apparatus
US6041734A (en) 1997-12-01 2000-03-28 Applied Materials, Inc. Use of an asymmetric waveform to control ion bombardment during substrate processing
JP3565311B2 (ja) * 1997-12-17 2004-09-15 アルプス電気株式会社 プラズマ処理装置
US6112697A (en) 1998-02-19 2000-09-05 Micron Technology, Inc. RF powered plasma enhanced chemical vapor deposition reactor and methods
KR100292411B1 (ko) * 1998-09-25 2001-06-01 윤종용 반도체소자의 제조에 사용되는 플라즈마 장비

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5487785A (en) * 1993-03-26 1996-01-30 Tokyo Electron Kabushiki Kaisha Plasma treatment apparatus
JPH07302786A (ja) * 1994-04-28 1995-11-14 Tokyo Electron Ltd プラズマ処理装置
JPH09320991A (ja) * 1996-05-31 1997-12-12 Anelva Corp 窒化チタン薄膜の作製方法
JPH10172792A (ja) * 1996-12-05 1998-06-26 Tokyo Electron Ltd プラズマ処理装置

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100965758B1 (ko) * 2003-05-22 2010-06-24 주성엔지니어링(주) 액정표시장치용 플라즈마 강화 화학기상증착 장치의샤워헤드 어셈블리
KR100596329B1 (ko) * 2004-07-02 2006-07-06 주식회사 에이디피엔지니어링 플라즈마 처리장치의 접지수단
KR100646104B1 (ko) * 2004-07-02 2006-11-15 주식회사 에이디피엔지니어링 접지장치
KR100855597B1 (ko) * 2004-11-04 2008-09-03 어플라이드 머티어리얼스, 인코포레이티드 육불화황 원격 플라즈마 소스 세정
KR101011407B1 (ko) * 2007-05-03 2011-01-28 어플라이드 머티어리얼스, 인코포레이티드 직사각형 서셉터의 비대칭 접지
KR101490428B1 (ko) * 2008-02-15 2015-02-11 엘아이지에이디피 주식회사 플라즈마 처리장비용 접지장치

Also Published As

Publication number Publication date
JP5600644B2 (ja) 2014-10-01
KR20060123696A (ko) 2006-12-04
KR100971840B1 (ko) 2010-07-22
KR101012407B1 (ko) 2011-02-09
KR20100039833A (ko) 2010-04-16
KR20090080494A (ko) 2009-07-24
JP2011253821A (ja) 2011-12-15
SG91920A1 (en) 2002-10-15
JP4817528B2 (ja) 2011-11-16
JP2002151496A (ja) 2002-05-24
TWI241649B (en) 2005-10-11
US6857387B1 (en) 2005-02-22
KR20070118998A (ko) 2007-12-18

Similar Documents

Publication Publication Date Title
KR100971840B1 (ko) 캐소드에서 접지용 커패시터를 가지는 다중 주파수 플라즈마 챔버
CN109994355B (zh) 一种具有低频射频功率分布调节功能的等离子反应器
US5571366A (en) Plasma processing apparatus
KR100783200B1 (ko) 박막 증착 장치 및 기판 플라즈마 처리 장치
CN101043784B (zh) 混合等离子体反应器
EP0578010A1 (en) Multi-zone plasma processing method
US8152925B2 (en) Baffle plate and substrate processing apparatus
EP0578011B1 (en) Multi-electrode plasma processing apparatus
US8261691B2 (en) Plasma processing apparatus
US20030209324A1 (en) Plasma reactor with reduced reaction chamber
KR19980063837A (ko) 플라즈마 처리장치
WO2009158192A2 (en) Rf power delivery system in a semiconductor apparatus
US20020139480A1 (en) Methods for actively controlling RF peak-to-peak voltage in an inductively coupled plasma etching system
KR20210124055A (ko) 플라즈마 처리 장치
WO2001075930A2 (en) Apparatus and methods for actively controlling rf peak-to-peak voltage in an inductively coupled plasma etching system
US11658010B2 (en) Substrate support unit and substrate processing apparatus including the same
US10381238B2 (en) Process for performing self-limited etching of organic materials
US20220384144A1 (en) Hybrid Plasma Source Array
TWI843988B (zh) 電漿處理裝置及電漿處理方法
CN215008137U (zh) 一种等离子体处理装置
JP2003133398A (ja) プラズマ支援ウェハー処理装置の二重電極ウェハーホルダ
CN115565840A (zh) 一种等离子体处理装置及处理方法
KR20050059858A (ko) 다수의 전극블록으로 이루어지는 플라즈마 전극과 이를 이용하는 전원공급 시스템
CN115398602A (zh) 等离子处理装置以及等离子处理方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application
A107 Divisional application of patent
J201 Request for trial against refusal decision
WITB Written withdrawal of application
J301 Trial decision

Free format text: TRIAL DECISION FOR APPEAL AGAINST DECISION TO DECLINE REFUSAL REQUESTED 20061117

Effective date: 20070731