JP4817528B2 - 電子ワークピース製造装置 - Google Patents

電子ワークピース製造装置 Download PDF

Info

Publication number
JP4817528B2
JP4817528B2 JP2001136357A JP2001136357A JP4817528B2 JP 4817528 B2 JP4817528 B2 JP 4817528B2 JP 2001136357 A JP2001136357 A JP 2001136357A JP 2001136357 A JP2001136357 A JP 2001136357A JP 4817528 B2 JP4817528 B2 JP 4817528B2
Authority
JP
Japan
Prior art keywords
susceptor
plasma
chamber
power
capacitor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP2001136357A
Other languages
English (en)
Other versions
JP2002151496A5 (ja
JP2002151496A (ja
Inventor
サン シェン
シー. オルセン ジェフ
ヤダウ サンジェイ
シャン クワンイェン
エス. ロウ カム
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2002151496A publication Critical patent/JP2002151496A/ja
Publication of JP2002151496A5 publication Critical patent/JP2002151496A5/ja
Application granted granted Critical
Publication of JP4817528B2 publication Critical patent/JP4817528B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Physics & Mathematics (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Formation Of Insulating Films (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)

Description

【0001】
【発明の分野】
本発明は一般に、陽極と陰極との電極間に印加されるRF電力によって中でプラズマが励起される、電子基板製造用のプラズマチャンバに関する。より具体的には、本発明は、陽極と陰極とが異なる周波数でRF電力を受け、かつ、コンデンサが陰極電極と電気接地との間で接続されているようなチャンバに関する。
【0002】
【発明の背景】
フラットパネル・ディスプレイや集積回路などの電子デバイスは一般に、基板上に層が堆積されて、その堆積された材料が所望のパターンにエッチングされる一連の処理ステップにより製造される。処理ステップは普通、プラズマ化学気相成長(CVD)処理とプラズマエッチング処理とを含む。
【0003】
プラズマ処理には、プラズマチャンバと呼ばれる真空チャンバに処理ガス混合体を供給するステップと、次に電力または電磁力を印加して処理ガスをプラズマ状態に励起するステップとが必要とされる。プラズマはガス混合体を、所望の堆積処理またはエッチング処理を行なうイオン種に分解する。
【0004】
容量励起されたプロセスチャンバでは、陽極と陰極との電極間に印加されたRF電力によってプラズマが励起される。一般に基板は、陰極電極として機能するペデスタルまたはサセプタ上に設置され、陽極電極が基板から短距離かつ平行に設置される。
【0005】
一般に陽極電極はまた、チャンバに処理ガス混合体を供給するガス分配プレートとしても機能する。陽極電極は、処理ガス混合体がそこを通って陽極と陰極との間の隙間に流れる、数百または数千の開口部によって貫通している。開口部は、基板に隣接する処理ガス混合体の空間均一性を最大にするよう、ガス分配プレートの表面を隔てて間隔を開けられている。そのようなガス分配プレートは、一般に「シャワー・ヘッド」と呼ばれ、1989年8月8日にChangらに発行され、譲受人に譲渡された米国特許第4,854,263号の中に記述されている。
【0006】
プラズマのRF励起は、2電極のうち1つ(すなわち陽極または陰極のいずれか)をRF電源の出力へ接続し、別の電極を電気接地に接続することにより実現する。しかし、多くの通常使用されるプラズマCVDおよびエッチング処理では、陽極電極に接続された高周波RF電源と陰極電極に接続された低周波RF電源(またはその逆)によって、2つの異なる周波数で同時にRF励起することが求められる。
【0007】
陰極電極(サセプタ)と陽極電極(ガス分配プレート)とを接続して電源を分離し、いずれの電極も電気的に接地させないようにすると、これら電極の1つと、電気的に接地されたチャンバ壁といった電気的に接地されたチャンバ要素との間でRF電力が結合することがあり、そのため処理能力が低下する危険性があることを我々は見出した。これは、RF電力が所望のプラズマCVD処理またはエッチング処理を行なう必要のある、サセプタとガス分配プレート間の領域からRF電力を外してしまう。窒化シリコンや酸化シリコンなどの膜を堆積するCVD処理の場合、サセプタ背後またはサセプタに並ぶプラズマにこのようなRF電力の進路変更が起こると、引張り膜応力、低密度、および過剰な水素含量など、望ましくない特性を持つ膜が作り出される危険性があることを我々は見出した。
【0008】
【発明の概要】
本発明は、チャンバ内の2電極間に設置される電子ワークピースまたは基板を作成するためのプラズマチャンバ装置および方法である。低周波RF電源が第1の電極に電力を供給し、高周波RF電源が第2の電極に電力を供給する。
【0009】
従来の設計とは異なり、本発明はさらに第1の電極と電気接地との間に接続された1つ以上のコンデンサを含む。1つ以上のコンデンサは、高周波RF電力と、2電極が直接はさむ領域の外にあるいかなるプラズマとの結合も縮小または排除できる。その結果、本発明は2電極間の領域でRF電力をより集中させることにより、プラズマ処理の能力を向上することが可能である。特にCVD処理において、本発明は、高密度、引張り応力ではなくて望ましい程度の圧縮応力、およびその他の望ましい膜特性を有する膜の堆積を可能にする。
【0010】
本発明は、ワークピースまたは基板が、第1の電極上またはそれに隣接して設置された、フラットパネル・ディスプレイ製造用ガラス基板などの誘電材料であるときに、特に有益である。半導体基板と比較して、誘電基板は第1の電極とプラズマ体との間により大きなRFインピーダンスを介在させるので、第1の電極に並ぶかまたは背後かの選択的RF電流路を通ってRF電力が結合されることにより、二次プラズマ体を形成する危険性が増加する。
【0011】
本発明はまた、フラットパネル・ディスプレイの製造に使用される550mm×650mm以上の基板など、ワークピースが非常に大きい場合に特に有益である。より大きな基板に対して、本発明の好適な実施例では、第1の電極の周囲または裏面を覆って分布する接触域にそれぞれ接続された複数のコンデンサを採用する。
【0012】
【好適な実施例の詳細な説明】
【プラズマチャンバ】
図1は、本発明にしたがって陰極電極と接地との間に接続されたコンデンサを含むプラズマチャンバを表わす。本発明の詳細を記述する前に、従来のチャンバの特徴について説明する。
【0013】
本発明は、プラズマチャンバ内で、シリコン・ウェーハやフラットパネル・ディスプレイ用のガラス基板など、任意の種類の電子基板またはワークピースを作成または処理するのに有用である。例示されているチャンバは、ガラス基板上で膜のプラズマ化学気相成長(CVD)が実施されるよう設計されている。しかしながら本発明はまた、チャンバ内で、プラズマエッチングなど、その他のプラズマ支援処理を実施するのにも有用である。
【0014】
プラズマチャンバまたは真空チャンバは、チャンバ内部の側面および底面を囲み、また電気的に接地されたハウジングまたは壁10を有する。金属ペデスタルまたはサセプタ12は陰極電極として働き、ワークピースまたは基板14を支持する前面13を有する。サセプタの反対面を、その背面15と呼ぶ。
【0015】
選択的に、ワークピースは直接サセプタに接する必要はなく、たとえば図示されない複数のリフト・ピンによって、サセプタの前面から短距離に保持される。ワークピースを所定位置またはサセプタの前面近くに保持するためにいかなる手段が使用されても、我々は「チャック」という用語を用いて表わす。ワークピースが単純にサセプタ上に置かれる場合、サセプタ自身がチャックである。より一般的には、ワークピースは締付けリングまたはワークピース周囲を覆って置かれる長方形シャドウ・フレームによって、あるいはサセプタに埋め込まれた静電チャックによってサセプタ上に保持される。
【0016】
中空の円筒状金属導管または軸16が、サセプタの中央から下に向って延び、チャンバの底壁を貫いて通っている。導管または軸の主な働きは、サセプタ内に埋め込まれる電気ヒータおよび/または静電チャックに電力を供給する配線を囲むことである。
【0017】
例示されている好適実施例では、サセプタ中央軸16が絶縁体を介することなく直接サセプタに取りつけられ、したがって軸はRF高圧(RF hot)であり、LF電源をサセプタに接続するRF伝送線の一部として機能する。軸は以下で説明される円筒型誘電体81によって、電気的に接地されたチャンバ壁から電気的に絶縁されている。図示されない代替設計としては、軸を電気的に接地し、軸とサセプタとの間の絶縁スペーサによって軸をサセプタから絶縁するものがあろう。その場合、RF伝送線は中空軸の中に備えられ、LF電源をサセプタに接続する。
【0018】
チャンバ内部は上端において、その中央にガス・インレット・マニホールドが取り付けられた取外し可能なリッド18により囲まれている。ガス・インレット・マニホールドは、穴の開けられたガス分配プレートすなわちシャワーヘッド20、インレット・マニホールド側壁22、および受け板24を含む。ガス分配プレートは、数百または数千もの開口部に穴が開けられ、また、導電性材料で構成されるので、陽極電極として機能することができる。ガス・インレット・マニホールドがRF電力を受けられるようにするため、ガス・インレット・マニホールド20〜24は絶縁スペーサ26によって、電気的に接地されたリッド18およびチャンバ壁10から絶縁されている。
【0019】
処理ガスは受け板内のガス注入開口部28を通じてガス・インレット・マニホールドへ供給される。その後、ガスはガス分配プレート中の開口部を通じて、ガス分配プレートとサセプタ12との間のチャンバ内部の領域へ入るように流れる。
【0020】
RF電力は、2つの異なるRF周波数で動作する2つのRF電源によってチャンバ内のガスに供給される。HF電源と呼ばれる高周波RF電源30用の典型的な動作周波数は13MHzである。「バイアス」またはLF電源と呼ばれる低周波RF電源32用の典型的な動作周波数は400kHzである。
【0021】
各電源は、1つが電気的に接地されている2つの出力端子間に出力電圧を発生する。各電源の接地出力端子は、電気的に接地されたチャンバ壁10に接続される。例示されているCVDチャンバでは、LF電源32の接地されていない出力端子は、陰極電極として機能するサセプタ12に電気的に接続される。HF電源30の接地されていない、すなわち「高圧の」出力端子は、陽極電極として機能するガス分配プレート20に電気的に接続される。(図1に示されるように、HF電源をガス分配プレート20に電気的に接続するRFケーブルは受け板24に物理的に接続することができ、受け板24は導電性マニホールド側壁22を通じてガス分配プレートに電気的に接続される。)
【0022】
いくつかの半導体製造工程、特にエッチング工程では、例示されているCVDチャンバに関してHF電源およびLF電源が交換される。すなわちHF電源およびLF電源は、それぞれ陰極電極(サセプタ12)および陽極電極(ガス分配プレート20)に接続される。
【0023】
LF電源32とそのインピーダンス整合ネットワークの設計によって、LF電源と陰極電極12との間に低域通過フィルタ33を直列に接続することが必要な場合がある。そのような低域通過フィルタがないと、HF電力がLF電源の整合ネットワークを乱したり或いは整合ネットワークに摂動を発生させたりして、インピーダンス整合の達成を妨げることがある。低域通過フィルタはHF電力がLF電源に結合されることを阻止するよう、HF電源およびLF電源の周波数間に遮断周波数を持たなくてはならない。
【0024】
LF電力がHF電源に結合されることを阻止するために、HF電源と陽極電極との間に高域通過フィルタ31を挿入することも出来る。高域通過フィルタは、以下に説明するCVD処理のように、LF電力レベルがHF電力レベルよりもはるかに低い場合には必要ない。
【0025】
陰極電極(サセプタ12)と陽極電極(ガス分配プレート20)との間に印加されるRF電力は、2電極間の領域にその領域中のガスをプラズマ状態に励起する電磁場を発生する。プラズマは処理ガス混合体から、ワークピース上の露出した材料と反応して所望の堆積またはエッチング処理を行なう反応種を生じる。
【0026】
図示されない真空ポンプがチャンバ内を望ましい真空レベルに維持し、環状排気スリット36を通じて処理ガスや反応生成物をチャンバから、次に環状排気プレナム38内へ、次いで図示されない排気導管を通って、ポンプへ排気する。
【0027】
(この特許明細書全体を通じて、「環状」という用語は円形の周囲を有する物体に限らず、2つの同軸の閉じた経路によって境界付けられたいかなる物体をも意味するものとする。例示されたチャンバは長方形基板を作成するよう意図されているため長方形の断面を有しており、したがって環状排気スリットや環状排気プレナムは長方形の内周囲および外周囲を有する。)
【0028】
電動リフト機構80〜88がサセプタを低位置(図示されず)に移動し、同時にワークピースはチャンバの中へ、またはチャンバの外へ出されて上位置へ移送され(図1)、同時にプラズマ処理がワークピース上で実施される。特にサセプタ12は、セラミック支持棚80によってその中央に支持される。支持棚は、サセプタ軸16を取り囲みチャンバ底壁の開口部を通じて下へ向って延びる円筒形中央部分81を含む。支持棚の中央部分81は可動リフト・プラットフォーム82の上に置かれる。図示されないモータが、リフト・プラットフォームを固定床板84に対して制御可能に上下移動させ、それによってサセプタ12とサセプタ軸16とを上昇および降下させる。
【0029】
ベローズ86及びOリング88は、サセプタ軸16の垂直運動に合わせて、軸が延びているチャンバ壁の開口部の周囲に真空シールを供する。
【0030】
上述されるチャンバ要素はすべて、チャンバ内で行なわれる半導体製造処理を汚染せずに且つ処理ガスによる腐食に耐える材料によって構成されなくてはならない。陽極酸化アルミニウムは、セラミック・スペーサ26およびリフト機構のセラミック要素80〜84以外の、すべての要素に対して望ましい材料である。
【0031】
上述のプラズマチャンバの全部分は従来のものである。従来プラズマCVDおよびエッチングチャンバの設計および動作は、以下の譲受人に譲渡された米国特許に説明されており、そのそれぞれの全内容がここに、この特許明細書の中で参照により組み込まれる。すなわち、1989年8月8日発行のChangらに対する米国特許第4,854,263号、1994年10月18日発行のNguyenらに対する米国特許第5,356,722号、1998年5月26日発行のWangらに対する米国特許第5,755,886号、1998年6月30日発行のChangらに対する米国特許第5,773,100号、1998年12月1日発行のWhiteらに対する米国特許第5,844,205号、および2000年2月15日発行のLawらに対する米国特許第6,024,044号である。
【0032】
【サセプタと接地間に接続されたコンデンサ】
従来のプラズマチャンバと異なり、我々のものはサセプタ12(すなわち陰極電極)と電気接地との間に接続された1つ以上のコンデンサ50〜54を含む(図1および2参照)。これらコンデンサは、RF電力が陰極背後または陰極周囲外でいかなるプラズマと結合することも縮小、または排除する。言い換えれば、コンデンサはそのような不要なプラズマの強度を減少し、好ましくはゼロにする。これによって、処理ガスが中に分配される領域、すなわちサセプタ(陰極電極)とガス分配プレート20(陽極電極)との間の領域で、RF電力をより集中することで、チャンバ内で実施される化学処理の能力が向上する。我々はまた、コンデンサがそのような領域内におけるプラズマの空間均一性を向上させて、低プラズマ濃度または高プラズマ濃度の局所領域を最小化するか或いは取り除くことができ、それによってワークピース上で実施される堆積またはエッチング処理の空間均一性が向上され得ることを見出した。特に誘電CVD処理では、この向上されたRF電力濃度および均一性によって、引張り応力ではなく望ましい程度の圧縮応力、高密度、およびその他の望ましい膜特性を有する膜の堆積が可能となる。
【0033】
陰極電極と接地との間にコンデンサのない従来のプラズマチャンバでは、HF信号の周波数での陰極から接地への経路のみがLF電源32の出力インピーダンスであった。我々の発明では、コンデンサ50〜54が、HF電源30の周波数で低いインピーダンスを有する陰極から接地への経路を提供する。我々の発明は特定の動作原理に限定されるわけではないが、コンデンサ50〜54によりもたらされる、接地へのこの低インピーダンスの経路が、陰極とチャンバ壁10の近接領域との間でHF電圧を減少し、それによってサセプタと、陰極背後または陰極周囲外のいかなるプラズマとの間のHF電力の結合をも、縮小または排除すると我々は考える。
【0034】
本発明は、ワークピースまたは基板14がフラットパネル・ディスプレイ製造用のガラス基板などの誘電材料である場合に、特に有益である。具体的には、そのようなワークピースは一般に、ガラスなどの誘電基板上に形成された多数の半導体層、導体層、および誘電層から構成される。したがってワークピースの大部分の材料は誘電物質である。半導体基板と比較して、誘電基板はより大きなRFインピーダンスを、陰極電極12とプラズマ体との間に介在させるので、陰極電極に並ぶかまたはその背後かの選択的RF電流路を通ってRF電力が結合されることにより、二次プラズマ体を形成する危険性が増加する。
【0035】
その電気インピーダンスという点から広く定義すれば、サセプタと接地との間に接続されるコンデンサ50〜54の複合静電容量値は、HF電源30の周波数でのサセプタと接地間の電気インピーダンスを、静電容量がない場合の前述のインピーダンスと比較して、実質的に減少させるのに充分なほど大きくなくてはならない。好ましくは、複合静電容量は、HF周波数でサセプタと接地との間に事実上直接に電気接続を提供するのに充分なほど大きい値であるべきである。
【0036】
陰極電極と陽極電極間の望ましいプラズマへの効果という観点から広く定義すれば、静電容量は、前述のプラズマの強度が、静電容量がない時にその強度がとる値に比べて実質的に増加するのに充分なほど、大きくなくてはならない。
【0037】
あるいは、不要なプラズマへのその効果という観点から広く定義すれば、静電容量は陰極電極の背後または陰極電極の周囲外の、いかなるプラズマの強度も、静電容量がない時の前述のプラズマ強度に比較して実質的に減少するだけ、充分に大きくなくてはならない。
【0038】
いずれにしても、複合静電容量50〜54は、LF電源32の周波数で陰極12と接地との間の電気インピーダンスが非常に低くなり、LF電源32とそのインピーダンス整合ネットワークとが実質的にRF電力をサセプタと結合することが出来ないほど、大きくすべきではない。言いかえれば、静電容量は、LF周波数でサセプタを接地に電気的に短絡するほど大きくすべきではない。
【0039】
さらに我々は、陰極電極と接地との間に接続されたコンデンサの複合静電容量値が一般に、陰極電極と陽極電極とのすぐ間の領域におけるプラズマ濃度の空間均一性を最大にする最適値を持つことを見出した。以下に説明されるように、この最適な静電容量値は好ましくは、所望の処理能力パラメータを最適化し、かつプラズマ不均一性を最小にするよう、すなわち比較的高濃度または低濃度のプラズマが局所集中する領域を最小にするよう、経験的に決定されるべきである。
【0040】
複合静電容量50〜54が、LF電源の周波数よりもHF電源の周波数のほうでより十分に低いインピーダンスを有することができるように、該2つの電源の周波数は少なくとも2倍異なっていることが好ましく、少なくとも10倍異なっていることがより好ましい。
【0041】
我々の、発明の本好適実施例は、電気接地とサセプタ中央との間に接続されたコンデンサ50と、サセプタの背面15の四隅各々と電気接地との間に接続される追加の4つのコンデンサ51〜54とを含む。5つのコンデンサのそれぞれは、好ましくは柔軟かつ導電性のそれぞれのストラップ60〜64によってサセプタに接続される(図1〜2を参照)。導電性ストラップは先述のように、軸およびサセプタの垂直移動に対応するために柔軟である。たとえば各ストラップは、柔軟で、軸およびサセプタの全移動範囲に対応するだけ充分に薄くて長い、曲げやすい金属のシートまたはバンドであってよい。我々の試作例では、各ストラップは約0.5インチ幅、1/16インチ厚さのアルミニウム帯であった。
【0042】
すべてのコンデンサは高電力RFに使用するために設計されたセラミックタイプであり、コンデンサ端子のネジ山を通してネジによって電気接続されている。各隅のコンデンサ51〜54の1端子はチャンバの電気的に接地された底壁にボルトで留められ、それによって各コンデンサは、処理ガスに露出されるコンデンサの表面領域を最小にするためにチャンバ壁に接触する。各隅のコンデンサの各端子は、サセプタの背面15にボルトまたはクランプで固定された対応する金属ストラップ61〜64にボルト締めされる。我々の試作例では、湾曲した側部を持つ4つのアルミニウムのブロック66がそれぞれサセプタの4つの隅にボルトで固定され、各金属ストラップ61〜64がサセプタとブロックの1つとの間に挟まれている。ボルトは、ブロックが金属ストラップをサセプタにしっかりと固定するように締めつけられる。
【0043】
4本の柔軟かつ導電性のストラップ61〜64が取り付けられたサセプタ12の4隅の各々に低インピーダンスの接触域を提供するために、我々はアルミニウムサセプタを陽極酸化する前に接触域をマスキングし、それによって4隅のそれぞれの接触域にきれいなアルミニウムが露出された。図2は4本のストラップ61〜64がサセプタの裏面に取りつけられる接触域を表わす。4本のストラップに接続された4つのコンデンサ51〜54が概略的に示される。図2はまた、以下に説明するように、柔軟かつ導電性のストラップ60と中央軸16とを通じてサセプタの中央に接続された可変コンデンサ50を概略的に示している。
【0044】
別の方法として、ストラップをサセプタの背面15に接続する代わりに、ストラップを4隅のそれぞれに近いサセプタの周囲面19に接続することも出来る。
【0045】
別の可能な実施例は、4つのコンデンサ51〜54の代わりに、1つのコンデンサのみを、電気接地されたチャンバ壁にボルト締めすることであろう。その1つのコンデンサは、サセプタの各4隅に接続する4本のストラップ61〜64の各々に接続される。
【0046】
上記のように、サセプタ支持軸16はいかなる絶縁体も介在させずにサセプタに直接に取り付けられ、したがって軸はRF高圧であり、LF電源をサセプタに接続するRF伝送線の役割を果たす。したがって中央コンデンサ50は、コンデンサを軸に接続することによって事実上サセプタの中央に接続することが出来る。さらに軸の接続は、チャンバ真空の外となるように、真空シール(ベローズ86およびOリング88)下方の軸の下部に対して成されてもよい。このことが、中央コンデンサをチャンバ壁の裏面上に、あるいはチャンバ内部の真空の外にあるその他の電気的接地された要素に、設置することを可能にする。チャンバ内プラズマへの中央コンデンサのいかなる露出も避けることが望ましく、それは中央コンデンサに大きな可変コンデンサを使用することを促進する。軸の垂直移動に対応するために、中央コンデンサは、その他のコンデンサをサセプタに接続するストラップ61〜64に類似の、柔軟な金属ストラップ60を使用して軸に接続されることが望ましい。
【0047】
発明はまた、中央コンデンサ50のみにより、隅のコンデンサ51〜54なしで実施されてもよく、あるいは中央コンデンサなしで隅のコンデンサのみにより実施されてもよい。隅の(または周囲の)コンデンサと、サセプタ中央または中央付近に接続されるコンデンサとの間の比は、ワークピース14に実施される堆積処理またはエッチング処理の空間均一性に影響する。ワークピースの幅または表面積が大きくなるほど、ワークピースに実施される半導体製造処理の空間均一性の所定レベル達成に必要であると予想されるコンデンサの数が増える。我々が試験した550mm×650mmのサセプタよりも大きいサセプタに対しては、サセプタ周囲の周りに空間的に分布する点に、あるいはサセプタの背面を覆って空間的に分布するその他の点にさえも、コンデンサを追加して接続することが望ましい。
【0048】
HF電源およびLF電源の周波数がそれぞれ13.56MHzおよび400kHzであった我々の好適な実施例で、我々はそれぞれ150pfから300pfの3つまたは4つの隅コンデンサと、300pfから1700pfの範囲の中央コンデンサとで良好な結果を得た。すなわち、複合静電容量は750pfから3000pfの範囲であった。
【0049】
好ましくは、LF電源32は、プラズマチャンバ内でアークを起こす危険性がある出力の急上昇を防ぐために、最初に電源が入れられたときに(負荷出力ではなく)順出力をゆっくりと上昇するようにプログラムされた電源レギュレータを含むべきである。
【0050】
比較的高いRF出力レベルでは、プラズマの非均一性、すなわちホットスポットなどの、比較的低濃度または高濃度のプラズマが局所集中する領域を防ぐよう、プラズマ濃度の空間均一性を最大にする最適なコンデンサ値を選択することがより重要であろう。たとえば、2800ワット〜4500ワット範囲の2つの電源からのRF出力を使用する試験で、我々は400pf〜1700pfの可変コンデンサであった中央コンデンサ50の値を調節することによって、複合静電容量を調節した。我々は、あまりにも低い静電容量は、サセプタ支持軸の底付近またはシャワーヘッド20の隅付近のプラズマに、明らかに目に見えるホットスポットを生じる可能性があることを見出した。逆に我々は、あまりにも高い静電容量は、チャンバの底付近または隅のコンデンサに接続された接地ストラップ55付近の、その他の領域のプラズマにホットスポットを生じる可能性があることを見出した。
【0051】
我々は、図1に示すプラズマチャンバで、通常フラットパネル・ディスプレイを作成するのに使用されるタイプの550mm×650mmの長方形ガラス基板上にシリコン窒化誘電膜を堆積する別の従来のCVD処理を用いて、本発明を試験した。HF電源およびLF電源の周波数はそれぞれ、13.56MHzおよび400kHzであった。処理ガス流量はSiH4が400sccm、NH3が1400sccm、およびN2が4000sccmであり、チャンバ圧力は1.5〜1.8Torrであった。
【0052】
隅のコンデンサ51〜54の各々は150pfであり、我々は中央軸コンデンサ50の4つの異なる値を試験した。2つの電源によって供給される全RF電力は2800Wであり、それは2400ワットHFと400ワットLFであるか、或いは2600ワットHFと200ワットLFのいずれかであった。
【0053】
それぞれ中央コンデンサが300pf、600pf、および900pfの別々の3試験で、堆積速度は1460Å/minから2210Å/minへと次第に増加し、ウェットエッチング速度は893Å/minから375Å/minへと次第に減少し、膜応力は+2.6×109(引張)dyne/cm2から−4.3×109(圧縮)dyne/cm2へと変化した。基板周囲の外側20mmを除き、膜厚均一性は最初の2つの場合では14%、最後の場合では4%であった。よって、900pf中央コンデンサが最良の結果を生んだ。
【0054】
同じ一連の試験で、中央コンデンサをさらに1200pfまで増加したが、4つの隅コンデンサのうち1つを取り除いた。意外にも、試験結果の多くが300pfの中央コンデンサの結果よりも悪いものであった。堆積速度は1160Å/minまで落ち、ウェットエッチング速度は1295Å/minまで増加し、応力は+3.65×109dyne/cm2まで増加した。この試験結果によって、総静電容量の最適値と共に、中央コンデンサ静電容量の、周辺(たとえば隅)のコンデンサ静電容量に対する最適比も求める経験的試験の実施が望ましいことが例証された。
【0055】
実際には、プラズマ・インピーダンスはRF電力、チャンバ圧力、処理ガス流量、およびチャンバ要素の寸法および形状などの処理パラメータの関数として大きく変化する。したがって、陰極電極と接地との間に接続される複合静電容量の最適値は経験的に選択されるべきである。さらに、堆積またはエッチングされる膜の厚さが処理中に次第に増加または減少し、またプラズマ中の反応物および反応副産物の割合も処理中に変化し得るため、プラズマ・インピーダンスは、ワークピース上への堆積またはエッチング処理の実施中に変化する。したがって、いくつかの処理では、任意のワークピース上で処理を実施中に、コンデンサ50〜54のうちの1つ以上を調節することによって、サセプタと接地間の総静電容量の値を段々と調節することが望ましいであろう。
【0056】
たとえば、上述のシリコン窒化物CVD処理の試験で、中央コンデンサについて試験したすべての固定値に対して、プラズマがかなり非均一であってプラズマ中にホットスポットが目に見えて明らかであるような、CVD処理実施中の時間間隔があることを、我々は見出した。したがって、このCVD処理に関しては、堆積シリコン窒化膜の厚さがCVD処理中に次第に増加するのにつれて、コンデンサの少なくとも1つの値を漸次調節することが好ましかったと考える。
【0057】
したがって、我々の好適実施例は、陰極電極と電気接地との間の総静電容量50〜54を集合的に決定する1つ以上の可変コンデンサの設定を制御するサーボ・モータに電気制御信号を定期的に送る、プログラム可能なマイクロプロセッサなどの制御回路70を含む。上記のように、我々は5つのコンデンサのうち1つのみ、すなわち400pf〜1700pfの可変中央コンデンサ50を調節することによって、総静電容量を調節することが最も容易であると考える。制御装置は、堆積時間の関数か或いはプラズマ処理によって堆積される(あるいはエッチングされる)層の厚さの関数として、中央コンデンサの静電容量値を漸次変化させるよう、モータに命令を出す。
【0058】
静電容量を変化させる量は、プラズマ・ホットスポットを避け、堆積された膜の品質が最高になるように経験的に決定されることが望ましい。特に、時間または層厚さの関数としての最適静電容量値は、プラズマ中で目に見えて明らかであるか或いは検出可能なホットスポットの発生を避けるのに必要な範囲で静電容量を手動で調節することにより、堆積またはエッチング処理の実施中に経験的に決定することが出来る。経験的に決定された一連の静電容量値は、次に制御装置70中にプログラムステップとして格納することが出来る。
【0059】
あるいは堆積またはエッチング処理の実施中、プラズマのRFインピーダンスを可能な限り一定にするように、静電容量を調節することも出来る。プラズマ・インピーダンスは2つのRF電源のうちの1つの出力における負荷インピーダンスの測定から推論することが出来る。
【0060】
例示されたCVDチャンバでは、低周波RF電源32と接地コンデンサ50〜54とが、ワークピース14が上に設置されるサセプタ電極12に接続されている。しかし、いくつかのエッチングチャンバなどプラズマチャンバの中には、高周波電源30が、上にワークピースが設置されるサセプタに接続され、低周波電源は陽極電極20に接続されるものがある。そのような場合、1つ以上のコンデンサ50〜54は、電気接地と、陽極電極、すなわち低周波電源が接続される電極との間に接続されるであろう。
【図面の簡単な説明】
【図1】本発明による、接地コンデンサを含むプラズマ・チャンバの部分略側断面図である。
【図2】コンデンサが接続される接触域を表わすサセプタの部分略下面図である。
【符号の説明】
10 チャンバ壁
12 サセプタ
13 サセプタの前面
14 基板(ガラス)
15 サセプタの背面
16 軸、サセプタ
18 リッド
20 シャワーヘッド
22 インレット・マニホールド側壁
24 インレット・マニホールド受け板
26 インレット・マニホールド周囲の絶縁スペーサ
28 ガス注入開口部
30 HF電源
31 高域通過フィルタ
32 LF電源
33 低域通過フィルタ
36 排気スリット
38 排気プレナム
50 コンデンサ、軸
51〜54 コンデンサ、隅
60〜64 接地ストラップ、金属
66 締め付けブロック
70 CVD中にバイアス電力を漸次的に変化するための制御装置
80 サセプタ支持棚
81 支持棚の円筒型部
82 可動リフト・プラットフォーム
84 リフト基部
86 ベローズ
88 Oリング

Claims (6)

  1. 電子ワークピースを製造するための装置であり、
    プラズマチャンバと、
    前記プラズマチャンバ内に配置され、垂直方向に移動可能なサセプタと、
    前記サセプタに接続される第1の部分と前記プラズマチャンバの壁に接続される第2の部分とを含む導電性ストラップと、
    前記サセプタに結合されたブロックとを備え、
    前記導電性ストラップの前記第1の部分は、前記サセプタと前記ブロックとの間にあり、
    前記ブロックは、前記導電性ストラップに向かい合う凸状にカーブした表面を有し、前記凸状にカーブした表面の一部が前記導電性ストラップの上位置と接している装置。
  2. 前記ブロックは、当該ブロックが前記導電性ストラップを前記サセプタに固定するように、前記サセプタにボルトで固定されている請求項に記載の装置。
  3. 前記ブロックはアルミニウムである請求項に記載の装置。
  4. 前記導電性ストラップはV字型であって、当該導電性ストラップの前記第1及び第2の部分がV字の2つの直線として位置する請求項に記載の装置。
  5. 前記各導電性ストラップは<型又は>型である請求項に記載の装置。
  6. 前記サセプタは、移動範囲に沿って垂直方向に移動可能であって、
    前記導電性ストラップは、前記移動範囲に沿った前記サセプタの移動に対応するのに充分柔軟である請求項に記載の装置。
JP2001136357A 2000-05-03 2001-05-07 電子ワークピース製造装置 Expired - Lifetime JP4817528B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/563,963 US6857387B1 (en) 2000-05-03 2000-05-03 Multiple frequency plasma chamber with grounding capacitor at cathode
US09/563963 2000-05-03

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2011157868A Division JP5600644B2 (ja) 2000-05-03 2011-07-19 ワークピース製造装置

Publications (3)

Publication Number Publication Date
JP2002151496A JP2002151496A (ja) 2002-05-24
JP2002151496A5 JP2002151496A5 (ja) 2008-07-24
JP4817528B2 true JP4817528B2 (ja) 2011-11-16

Family

ID=24252614

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2001136357A Expired - Lifetime JP4817528B2 (ja) 2000-05-03 2001-05-07 電子ワークピース製造装置
JP2011157868A Expired - Lifetime JP5600644B2 (ja) 2000-05-03 2011-07-19 ワークピース製造装置

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2011157868A Expired - Lifetime JP5600644B2 (ja) 2000-05-03 2011-07-19 ワークピース製造装置

Country Status (5)

Country Link
US (1) US6857387B1 (ja)
JP (2) JP4817528B2 (ja)
KR (5) KR20010102930A (ja)
SG (1) SG91920A1 (ja)
TW (1) TWI241649B (ja)

Families Citing this family (62)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6772827B2 (en) * 2000-01-20 2004-08-10 Applied Materials, Inc. Suspended gas distribution manifold for plasma chamber
US6477980B1 (en) * 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
JP4557400B2 (ja) * 2000-09-14 2010-10-06 キヤノン株式会社 堆積膜形成方法
US7316761B2 (en) * 2003-02-03 2008-01-08 Applied Materials, Inc. Apparatus for uniformly etching a dielectric layer
KR100490049B1 (ko) * 2003-04-14 2005-05-17 삼성전자주식회사 일체형 디퓨저 프레임을 가지는 cvd 장치
KR100965758B1 (ko) * 2003-05-22 2010-06-24 주성엔지니어링(주) 액정표시장치용 플라즈마 강화 화학기상증착 장치의샤워헤드 어셈블리
US7083702B2 (en) * 2003-06-12 2006-08-01 Applied Materials, Inc. RF current return path for a large area substrate plasma reactor
US7107125B2 (en) * 2003-10-29 2006-09-12 Applied Materials, Inc. Method and apparatus for monitoring the position of a semiconductor processing robot
JP4698251B2 (ja) * 2004-02-24 2011-06-08 アプライド マテリアルズ インコーポレイテッド 可動又は柔軟なシャワーヘッド取り付け
CH706979B1 (en) * 2004-04-30 2014-03-31 Tel Solar Ag Method for producing a disc-shaped workpiece based on a dielectric substrate and vacuum treatment plant therefor.
KR100710923B1 (ko) * 2004-06-02 2007-04-23 동경 엘렉트론 주식회사 플라즈마 처리장치 및 임피던스 조정방법
KR100646104B1 (ko) * 2004-07-02 2006-11-15 주식회사 에이디피엔지니어링 접지장치
KR100596329B1 (ko) * 2004-07-02 2006-07-06 주식회사 에이디피엔지니어링 플라즈마 처리장치의 접지수단
JP4550507B2 (ja) * 2004-07-26 2010-09-22 株式会社日立ハイテクノロジーズ プラズマ処理装置
US20060090773A1 (en) * 2004-11-04 2006-05-04 Applied Materials, Inc. Sulfur hexafluoride remote plasma source clean
US7641762B2 (en) * 2005-09-02 2010-01-05 Applied Materials, Inc. Gas sealing skirt for suspended showerhead in process chamber
KR100714882B1 (ko) 2006-02-01 2007-05-04 주식회사 에스에프에이 평면디스플레이용 화학 기상 증착장치
KR101197020B1 (ko) 2006-06-09 2012-11-06 주성엔지니어링(주) 균일한 플라즈마 방전을 위한 기판처리장치 및 이를이용하여 플라즈마 방전세기를 조절하는 방법
KR101346081B1 (ko) * 2006-06-20 2013-12-31 참엔지니어링(주) 플라스마 에칭 챔버
US7416677B2 (en) * 2006-08-11 2008-08-26 Tokyo Electron Limited Exhaust assembly for plasma processing system and method
US7776178B2 (en) * 2006-10-25 2010-08-17 Applied Materials, Inc. Suspension for showerhead in process chamber
US7758763B2 (en) * 2006-10-31 2010-07-20 Applied Materials, Inc. Plasma for resist removal and facet control of underlying features
US8004293B2 (en) * 2006-11-20 2011-08-23 Applied Materials, Inc. Plasma processing chamber with ground member integrity indicator and method for using the same
TW200823571A (en) * 2006-11-30 2008-06-01 Univ Nat Chiao Tung Plasma device for liquid crystal alignment
US20080142481A1 (en) * 2006-12-18 2008-06-19 White John M In-situ particle collector
KR100889703B1 (ko) * 2007-04-10 2009-03-24 주식회사 에스에프에이 평면디스플레이용 화학 기상 증착장치
JP4887202B2 (ja) * 2007-04-17 2012-02-29 東京エレクトロン株式会社 プラズマ処理装置及び高周波電流の短絡回路
US7972470B2 (en) * 2007-05-03 2011-07-05 Applied Materials, Inc. Asymmetric grounding of rectangular susceptor
KR20080105617A (ko) * 2007-05-31 2008-12-04 삼성모바일디스플레이주식회사 화학기상증착장치 및 플라즈마강화 화학기상증착장치
JP5660753B2 (ja) * 2007-07-13 2015-01-28 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated プラズマエッチング用高温カソード
US8519724B2 (en) * 2007-10-05 2013-08-27 Lam Research Corporation Electrode for use in measuring dielectric properties of parts
US20090107955A1 (en) * 2007-10-26 2009-04-30 Tiner Robin L Offset liner for chamber evacuation
US8343592B2 (en) * 2007-12-25 2013-01-01 Applied Materials, Inc. Asymmetrical RF drive for electrode of plasma chamber
JP5069581B2 (ja) * 2008-02-01 2012-11-07 富士フイルム株式会社 ガスバリア膜の成膜方法、ガスバリアフィルムおよび有機el素子
KR101577474B1 (ko) * 2008-02-08 2015-12-14 램 리써치 코포레이션 플라즈마 프로세싱 장치용 rf 리턴 스트랩
KR101490428B1 (ko) * 2008-02-15 2015-02-11 엘아이지에이디피 주식회사 플라즈마 처리장비용 접지장치
CN102017056B (zh) * 2008-05-02 2013-11-20 东电电子太阳能股份公司 用于衬底的等离子体处理的等离子体处理设备和方法
WO2010008827A2 (en) * 2008-06-24 2010-01-21 Applied Materials, Inc. Pedestal heater for low temperature pecvd application
JP5157741B2 (ja) * 2008-08-12 2013-03-06 コニカミノルタホールディングス株式会社 プラズマ放電処理装置
JP5156552B2 (ja) * 2008-09-08 2013-03-06 富士フイルム株式会社 ガスバリアフィルムの製造方法
US20100089319A1 (en) * 2008-10-09 2010-04-15 Applied Materials, Inc. Rf return path for large plasma processing chamber
US20100136261A1 (en) * 2008-12-03 2010-06-03 Applied Materials, Inc. Modulation of rf returning straps for uniformity control
JP5883652B2 (ja) * 2009-02-04 2016-03-15 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated プラズマ処理チャンバのための高周波リターンデバイスおよびプラズマ処理システム
JP5707341B2 (ja) * 2009-02-13 2015-04-30 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Rf電力をプラズマチャンバの内部に結合させるための装置
WO2011041332A2 (en) * 2009-09-29 2011-04-07 Applied Materials, Inc. Off-center ground return for rf-powered showerhead
TWI432100B (zh) * 2009-11-25 2014-03-21 Ind Tech Res Inst 電漿產生裝置
JP5375763B2 (ja) * 2010-07-27 2013-12-25 三菱電機株式会社 プラズマ装置およびこれを用いた半導体薄膜の製造方法
JP5922352B2 (ja) * 2011-08-11 2016-05-24 Sppテクノロジーズ株式会社 窒化膜の製造装置及びその製造方法、並びにその製造プログラム
KR101886740B1 (ko) * 2011-11-01 2018-09-11 삼성디스플레이 주식회사 기상 증착 장치 및 유기 발광 표시 장치 제조 방법
US9230779B2 (en) * 2012-03-19 2016-01-05 Lam Research Corporation Methods and apparatus for correcting for non-uniformity in a plasma processing system
US8911588B2 (en) * 2012-03-19 2014-12-16 Lam Research Corporation Methods and apparatus for selectively modifying RF current paths in a plasma processing system
KR101493250B1 (ko) * 2012-07-09 2015-02-16 엘아이지에이디피 주식회사 원자층 박막 증착장비
KR101493254B1 (ko) * 2012-07-09 2015-02-16 엘아이지에이디피 주식회사 원자층 박막 증착장비
KR20150022163A (ko) * 2013-08-22 2015-03-04 삼성디스플레이 주식회사 플라즈마 처리 장치용 스트랩 및 이를 포함하는 플라즈마 처리 장치
CN104746048A (zh) * 2013-12-31 2015-07-01 丽佳达普株式会社 原子层沉积装置
CN104746047A (zh) * 2013-12-31 2015-07-01 丽佳达普株式会社 原子层沉积装置
KR200482926Y1 (ko) 2015-10-02 2017-03-16 (주)씨에스텍 뒤틀림 현상을 감소시킨 유기금속 화학증착프로세싱 챔버용 오메가형 히터
US9741584B1 (en) * 2016-05-05 2017-08-22 Lam Research Corporation Densification of dielectric film using inductively coupled high density plasma
CN109196619B (zh) * 2016-06-03 2021-10-26 瑞士艾发科技 等离子体蚀刻室和等离子体蚀刻的方法
KR20190091926A (ko) 2018-01-30 2019-08-07 에이피시스템 주식회사 플라즈마 처리 장치 및 플라즈마 처리 방법
WO2020222764A1 (en) * 2019-04-29 2020-11-05 Applied Materials, Inc. Ground strap assemblies
US11499223B2 (en) * 2020-12-10 2022-11-15 Applied Materials, Inc. Continuous liner for use in a processing chamber

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02298024A (ja) * 1989-05-12 1990-12-10 Tadahiro Omi リアクティブイオンエッチング装置
US5210466A (en) * 1989-10-03 1993-05-11 Applied Materials, Inc. VHF/UHF reactor system
US5170098A (en) * 1989-10-18 1992-12-08 Matsushita Electric Industrial Co., Ltd. Plasma processing method and apparatus for use in carrying out the same
JP2774367B2 (ja) * 1990-08-07 1998-07-09 忠弘 大見 プラズマプロセス用装置および方法
US5288971A (en) * 1991-08-09 1994-02-22 Advanced Energy Industries, Inc. System for igniting a plasma for thin film processing
US5688330A (en) * 1992-05-13 1997-11-18 Ohmi; Tadahiro Process apparatus
JP3157638B2 (ja) 1993-03-02 2001-04-16 アネルバ株式会社 プラズマ処理装置
US5487785A (en) * 1993-03-26 1996-01-30 Tokyo Electron Kabushiki Kaisha Plasma treatment apparatus
JP3090562B2 (ja) * 1993-05-24 2000-09-25 東京エレクトロン株式会社 プラズマ処理装置
JPH078638A (ja) * 1993-06-21 1995-01-13 Toyomasa Okuyama 鉄道模型の制御装置
JP3173691B2 (ja) 1993-10-04 2001-06-04 東京エレクトロン株式会社 プラズマ処理装置
US5815047A (en) * 1993-10-29 1998-09-29 Applied Materials, Inc. Fast transition RF impedance matching network for plasma reactor ignition
JP3062393B2 (ja) * 1994-04-28 2000-07-10 東京エレクトロン株式会社 プラズマ処理装置
US5900103A (en) * 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
JP2956494B2 (ja) 1994-10-26 1999-10-04 住友金属工業株式会社 プラズマ処理装置
US5534751A (en) * 1995-07-10 1996-07-09 Lam Research Corporation Plasma etching apparatus utilizing plasma confinement
JPH09106899A (ja) * 1995-10-11 1997-04-22 Anelva Corp プラズマcvd装置及び方法並びにドライエッチング装置及び方法
JP3950494B2 (ja) * 1996-05-31 2007-08-01 キヤノンアネルバ株式会社 窒化チタン薄膜の作製方法
JPH1079350A (ja) * 1996-09-04 1998-03-24 Kokusai Electric Co Ltd プラズマ処理装置
GB9620151D0 (en) * 1996-09-27 1996-11-13 Surface Tech Sys Ltd Plasma processing apparatus
TW403959B (en) 1996-11-27 2000-09-01 Hitachi Ltd Plasma treatment device
JPH10172792A (ja) * 1996-12-05 1998-06-26 Tokyo Electron Ltd プラズマ処理装置
US6024044A (en) 1997-10-09 2000-02-15 Applied Komatsu Technology, Inc. Dual frequency excitation of plasma for film deposition
JP3710081B2 (ja) * 1997-11-30 2005-10-26 アルプス電気株式会社 プラズマ処理装置
US6098568A (en) * 1997-12-01 2000-08-08 Applied Materials, Inc. Mixed frequency CVD apparatus
US6041734A (en) 1997-12-01 2000-03-28 Applied Materials, Inc. Use of an asymmetric waveform to control ion bombardment during substrate processing
JP3565311B2 (ja) * 1997-12-17 2004-09-15 アルプス電気株式会社 プラズマ処理装置
US6112697A (en) 1998-02-19 2000-09-05 Micron Technology, Inc. RF powered plasma enhanced chemical vapor deposition reactor and methods
KR100292411B1 (ko) * 1998-09-25 2001-06-01 윤종용 반도체소자의 제조에 사용되는 플라즈마 장비

Also Published As

Publication number Publication date
US6857387B1 (en) 2005-02-22
JP2011253821A (ja) 2011-12-15
KR20070118998A (ko) 2007-12-18
JP5600644B2 (ja) 2014-10-01
KR20060123696A (ko) 2006-12-04
TWI241649B (en) 2005-10-11
KR20100039833A (ko) 2010-04-16
JP2002151496A (ja) 2002-05-24
KR100971840B1 (ko) 2010-07-22
KR101012407B1 (ko) 2011-02-09
KR20010102930A (ko) 2001-11-17
SG91920A1 (en) 2002-10-15
KR20090080494A (ko) 2009-07-24

Similar Documents

Publication Publication Date Title
JP4817528B2 (ja) 電子ワークピース製造装置
KR100777151B1 (ko) 하이브리드형 플라즈마 반응장치
US9252001B2 (en) Plasma processing apparatus, plasma processing method and storage medium
KR100283853B1 (ko) 플라즈마 처리장치
US5571366A (en) Plasma processing apparatus
KR100783200B1 (ko) 박막 증착 장치 및 기판 플라즈마 처리 장치
KR101094982B1 (ko) 플라즈마 에칭 처리 장치 및 플라즈마 에칭 처리 방법
US6083344A (en) Multi-zone RF inductively coupled source configuration
EP0819780B1 (en) Inductively coupled HDP-CVD reactor
US6109206A (en) Remote plasma source for chamber cleaning
EP0578010A1 (en) Multi-zone plasma processing method
US20030037881A1 (en) Adjustable dual frequency voltage dividing plasma reactor
US20030209324A1 (en) Plasma reactor with reduced reaction chamber
US20100147801A1 (en) High-Frequency Plasma Processing Apparatus
JPH10172792A (ja) プラズマ処理装置
CN111261511B (zh) 等离子体处理装置和等离子体处理方法
US20040134616A1 (en) High-frequency plasma processing apparatus
US6435197B2 (en) Method of cleaning a semiconductor fabricating apparatus
JP2000331996A (ja) プラズマ処理装置
JP4098975B2 (ja) プラズマ支援ウェハー処理装置の二重電極ウェハーホルダ
CN215008137U (zh) 一种等离子体处理装置
TWI843988B (zh) 電漿處理裝置及電漿處理方法
WO2022201351A1 (ja) プラズマ処理装置およびプラズマ処理方法
KR100962428B1 (ko) 대면적 플라즈마 발생을 위한 고주파전원 공급장치 및이를 이용한 전원공급방법
CN115565840A (zh) 一种等离子体处理装置及处理方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080507

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080611

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20080611

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20101130

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20101210

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20101213

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110118

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110411

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110414

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110620

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110623

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110715

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110823

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110830

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140909

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4817528

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term