KR100855597B1 - 육불화황 원격 플라즈마 소스 세정 - Google Patents

육불화황 원격 플라즈마 소스 세정 Download PDF

Info

Publication number
KR100855597B1
KR100855597B1 KR1020050103111A KR20050103111A KR100855597B1 KR 100855597 B1 KR100855597 B1 KR 100855597B1 KR 1020050103111 A KR1020050103111 A KR 1020050103111A KR 20050103111 A KR20050103111 A KR 20050103111A KR 100855597 B1 KR100855597 B1 KR 100855597B1
Authority
KR
South Korea
Prior art keywords
chamber
cleaning
remote plasma
plasma source
power
Prior art date
Application number
KR1020050103111A
Other languages
English (en)
Other versions
KR20060092979A (ko
Inventor
수영 최
쿤후아 왕
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20060092979A publication Critical patent/KR20060092979A/ko
Application granted granted Critical
Publication of KR100855597B1 publication Critical patent/KR100855597B1/ko

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Analytical Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Public Health (AREA)
  • Epidemiology (AREA)
  • Health & Medical Sciences (AREA)
  • Optics & Photonics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

본 발명은 기판 프로세싱 챔버를 세정하는 방법에 관한 것으로서, 원격 플라즈마 소스에 가스 혼합물을 도입하는 단계, 가스 혼합물의 일부를 이온으로 해리하는 단계, 원자들을 챔버의 프로세싱 영역으로 운반하는 단계, 인시츄(in situ) 플라즈마를 제공하는 단계, 및 상기 이온들을 반응시킴으로써 챔버 내로부터 증착물을 세정하는 단계를 포함하고, 여기서, 가스 혼합물은 육불화황(sulfur hexafluoride) 및 산소 및 아산화질소(nitrous oxide)로 구성된 그룹으로부터 선택된 산소 함유 화합물을 포함한다.

Description

육불화황 원격 플라즈마 소스 세정{SULFUR HEXAFLUORIDE REMOTE PLASMA SOURCE CLEAN}
도 1은 원격 플라즈마 영역 및 프로세싱 영역을 갖도록 구성된 챔버의 개략도이다.
도 2는 본 발명의 일 실시예에서 육불화황 세정 성능에 대한 시간 함수로서 챔버 압력을 나타내는 챠트이다.
도 3은 본 발명의 일 실시예에서 유입 가스 유량의 함수로서 2가지 세정 가스에 의한 막의 세정 시간을 비교하는 챠트이다.
도 4는 본 발명의 일 실시예에서 유입 가스 유량의 함수로서 2가지 하드웨어 조건의 세정율을 비교하는 챠트이다.
본 발명의 실시예들은 대체로 기판 프로세싱 챔버 및 세정 방법, 예를 들어, 평판 디스플레이, 기판, 및 태양열 판넬 프로세싱 챔버 및 세정 방법에 관한 것이다.
기판 프로세싱 챔버는 다양한 기능을 제공한다. 종종, 기판 상에 절연층을 증착할 때, 증착 프로세스로부터 나오는 잔여물은 제조 챔버의 벽과 다른 표면 상에 축적된다. 이러한 증착물은 잘 부서지고 기판의 표면을 오염시킨다. 챔버들은 보통 기판을 급속도로 프로세싱하기 위하여 통합된 툴의 일부이기 때문에, 챔버의 보존 및 세정은 최소의 시간을 요구하여야 한다. 오염 가능성을 낮추고 그리하여 챔버의 처리량을 개선시키기 위하여, 챔버의 표면을 효과적이고 적절한 시기에 세정하는 것이 바람직하다.
현재, 챔버의 표면으로부터 실리콘 또는 탄소 함유 증착물을 제거하기 위한 메커니즘은 인시츄(in situ) RF 플라즈마 세정, 원격 플라즈마 또는 RF 보조 원격 플라즈마 세정을 포함한다. 인시츄 RF 플라즈마 세정 방법은 불소 함유 전구체를 증착 챔버로 도입하여 RF 플라즈마로 전구체를 해리한다. 원자 불소 중성 하전 입자들은 증착물들을 화학적으로 에칭함으로써 세정한다. 인시츄 플라즈마는 세정을 가속시키는 하전 및 중성 종들의 활성 혼합물을 생성한다. 불행히도, 플라즈마는 세정 표면을 침식할 수 있고, 챔버의 표면에 손상을 입히고 제조 프로세스 동안 챔버 오염물로 인한 결함 가능성을 증가시킴으로써 장비 성능을 저하시킨다. 플라즈마 세정 동안 발생하는 챔버 표면에 대한 손상은 증착물의 불균일한 제거 및 챔버 표면이 비균일 플라즈마에 노출될 때 발생하는 변형에 상당히 기인한다. 고전력 플라즈마는 챔버를 통해 균일하게 제공하는 것이 어려울 수 있다. 저전력 플라즈마는 세정을 위해 더 많은 프로세스 가스를 요구하여, 동작 비용 및 환경 피해 가능성을 증가시킨다.
역사적으로, 삼불화질소(NF3)가 불소 함유 전구체로서 사용되어 왔다. 이는 기계적 컴포넌트 및 다른 프로세스 파라미터들이 원격 플라즈마 소스 기술 및 종래의 감가(abatement) 시스템 사용으로 낮은 방출을 달성하기 위하여 선택될 수 있기 때문에, 바람직한 챔버 세정 전구체 가스이다. 분자 불소 또한 환경적 영향 감소 및 잠재적 동작 비용 감소 때문에 바람직한 챔버 세정 전구체 가스이다. 그러나, 대량의 가스에 대한 신뢰성 있고 안전한 분자 불소 공급은 아직 가능하지 않다.
불소 함유 가스를 이용한 원격 플라즈마는 챔버 표면들을 세정하기 위하여 사용될 수 있다. 그러나, 원격 플라즈마 소스에서 해리된 불소 함유 가스 분자들은 재결합되어 해리된 원자들에 비해 챔버 증착물들과의 반응성이 적은 분자 불소로될 수 있고, 이는 챔버를 완전히 세정하기 위해 추가적인 프로세스 시간 또는 세정 가스를 요구한다.
현재, RF 보조 원격 플라즈마 또한 세정을 위해 사용될 수 있다. 원격 플라즈마 세정의 높은 전구체 해리 효율성과 인시츄 플라즈마의 향상된 세정율을 결합하여 챔버 표면을 효과적으로 세정할 수 있다. 그러나, 결합된 플라즈마 생성 소스들은 종종 비균일 플라즈마를 형성하고, 또한 챔버 내 비균일 화학적 분포를 야기한다. 이러한 비균일 플라즈마 및 화학적 분포는 비균일 세정 및 과도세정으로 인한 표면 저하를 야기한다.
화학적 세정제들이 또한 챔버로 도입될 수 있다. 그러나, 챔버를 플라즈마 세정하기 위해 요구되는 시간 또는 챔버를 종래의 화학적 세정제에 노출하기 위해 요구되는 시간은 길 수 있다. 챔버를 세정하기 위해 사용되는 화학물들은 부정적인 환경적 영향을 갖거나 다량으로 운반하기 어렵다.
따라서, 낮은 자본 투자를 요구하고 낮은 원재료 비용을 가지며 챔버 표면에 적은 손상을 입히는 챔버 세정 방법을 제공하는 것이 바람직하다.
본 발명은 일반적으로 원격 플라즈마 소스에 가스 혼합물을 도입하는 단계, 가스 혼합물의 일부를 이온으로 해리하는 단계, 원자들을 챔버의 프로세싱 영역으로 운반하는 단계, 인시츄 플라즈마를 제공하는 단계, 및 상기 이온과 반응시킴으로써 챔버 내로부터 증착물을 세정하는 단계를 포함하는 기판 프로세싱 챔버 세정 방법을 제공하고, 여기서, 가스 혼합물은 육불화황(sulfur hexafluoride) 및 산소와 아산화질소(nitrous oxide)로 구성된 그룹으로부터 선택된 산소 함유 화합물을 포함한다.
앞서 언급된 본 발명의 특징들이 상세히 이해될 수 있는 방식으로, 앞서 요약된 본 발명의 보다 특정한 설명들이 실시예들을 참조하여 이루어질 수 있고, 소정의 실시예들은 첨부된 도면에 도시된다. 그러나, 첨부된 도면들은 단지 본 발명의 전형적인 실시예들을 도시하므로, 본 발명의 범위를 제한하는 것으로 고려되어서는 안 되며, 다른 등가의 유효한 실시예들이 인정될 수 있다.
본 발명은 실리콘 또는 탄소 함유 증착물들을 제거하기 위하여 육불화황 및 산소의 혼합물을 사용하는 챔버 세정 방법을 제공한다.
도 1은 캘리포니아의 산타클라라에 위치한 Applied Materials, Inc.의 자회사인 AKT로부터 구입가능한 플라즈마 강화 화학 기상 증착 시스템 4300의 일 실시예에 대한 개략적 단면도이다. 이러한 프로세스를 위해 사용될 수 있는 다른 장비는 캘리포니아의 산타클라라에 위치한 Applied Materials, Inc.의 자회사인 AKT로부터 또한 구입가능한 3500, 5500, 10K, 15K, 20K 및 25K 챔버를 포함한다. 상기 시스템(200)은 일반적으로 가스 소스(52)에 결합된 프로세싱 챔버(202)를 포함한다. 프로세싱 챔버(202)는 프로세스 용적(212)을 부분적으로 한정하는 벽(206) 및 바닥(208)을 갖는다. 프로세스 용적(212)은 전형적으로 기판(240)이 프로세싱 챔버(202) 내로 그리고 프로세싱 챔버(202) 외부로 이동하는 것을 용이하게 하는 벽(206)의 포트(미도시)를 통해 접근된다. 벽(206) 및 바닥(208)은 전형적으로 알루미늄, 스테인리스 스틸, 또는 프로세싱과 양립가능한 다른 재료들로부터 제조된다. 벽(206)은 여러 펌핑 컴포넌트(미도시)들을 포함하는 배출 시스템에 프로세스 용적(212)을 결합시키는 펌핑 플레넘(pumping plenum)(214)을 포함하는 리드 어셈블리(210)를 지지한다.
가스 유입 도관 또는 파이프(42)는 유입 포트(280) 내로 연장되고, 가스 스위칭 네트워크(53)를 통해 여러 가스들의 소스에 연결된다. 가스 공급부(52)는 증착 동안 사용되는 가스들을 포함한다. 사용되는 특정 가스들은 기판 상에 증착될 재료들에 의존한다. 프로세스 가스는 유입 파이프(42)를 통해 유입 포트(280)로 흘러가고, 그 다음 챔버(212) 내로 흘러간다. 전자식 동작 밸브 및 유량 제어 메커니즘(54)은 가스 공급부로부터 유입 포트(280)로의 가스 유량을 제어한다.
제 2 가스 공급 시스템 또한 유입 파이프(42)를 통해 챔버에 연결된다. 제 2 가스 공급 시스템은 일련의 증착 수행 이후 챔버 내부를 세정하기 위해 사용되는 가스를 공급한다. 본 명세서에서 사용되는 바와 같이, 용어 "세정"은 챔버의 내부 표면으로부터 증착된 재료를 제거하는 것을 의미한다. 소정의 상황에서, 제 1 및 제 2 가스 공급부는 결합될 수 있다.
제 2 가스 공급 시스템은 육불화황과 같은 전구체 가스 소스(64), 증착 챔버 외부에 증착 챔버로부터 일정 거리의 외부에 위치한 원격 플라즈마 소스(66), 전자식 동작 밸브 및 유량 제어 메커니즘(70), 및 원격 플라즈마 소스를 증착 챔버(202)에 연결시키는 도관 또는 파이프(77)를 포함한다. 그러한 구성은 챔버의 내부 표면이 원격 플라즈마 소스를 사용하여 세정될 수 있게 한다.
제 2 가스 공급 시스템은 또한 산소 또는 캐리어 가스와 같은 부가적인 가스 소스(72) 중 하나 이상을 포함한다. 부가적인 가스는 다른 밸브 및 유량 제어 메커니즘(73)을 통해 원격 플라즈마 소스(66)에 연결된다. 캐리어 가스는 활성 종들이 증착 챔버로 운반되도록 하고, 사용되고 있는 특정 세정 프로세스와 양립가능한 임의의 비활성 가스일 수 있다. 예를 들어, 캐리어 가스는 아르곤, 질소 또는 헬륨일 수 있다. 캐리어 가스는 또한 세정 프로세스를 보조하고/보조하거나 증착 챔버 내 플라즈마를 개시하거나 안정화시키는데 도움이 될 수 있다.
선택적으로, 유량 제한기(flow restrictor)(79)가 파이프(77)에 제공된다. 유량 제한기(79)는 원격 플라즈마 소스(66) 및 증착 챔버(202) 사이의 경로 내의 어느 곳이든 배치될 수 있다. 유량 제한기(79)는 압력 차이가 원격 플라즈마 소스(66)와 증착 챔버(202) 사이에 제공되도록 한다. 유량 제한기(79)는 또한 가스 및 플라즈마 혼합물이 원격 플라즈마 소스(66)로부터 나와 증착 챔버(202) 내로 진입함에 따라 가스 및 플라즈마 혼합물을 위한 혼합기로서 작용한다.
밸브 및 유량 제어 메커니즘(70)은 사용자 선택 유량으로 가스를 전구체 가스 소스(64)로부터 원격 플라즈마 소스(66)로 전달한다. 원격 플라즈마 소스(66)는 RF 플라즈마 소스일 수 있다. 원격 플라즈마 소스(66)는 반응 종들을 형성하기 위하여 전구체 가스를 활성화시키고, 반응 종들은 그 다음 도관(77)을 통해 유입 파이프(42)를 경유하여 증착 챔버 내로 흘러간다. 따라서, 유입 포트(280)는 반응 가스를 증착 챔버의 내부 영역 내로 전달하기 위하여 사용된다. 전술한 구현예에서, 원격 플라즈마 소스(66)는 유도성 결합 원격 플라즈마 소스이다.
리드 어셈블리(lid assembly)(210)는 프로세스 용적(212)에 상부 경계를 제공한다. 리드 어셈블리(210)는 전형적으로 프로세싱 챔버(202)에 사용되도록 제거 또는 개방될 수 있다. 일 실시예에서, 리드 어셈블리(210)는 알루미늄(Al)으로 제조된다. 리드 어셈블리(210)는 외부 펌핑 시스템(미도시)에 결합되어 리드 어셈블리 내부에 형성된 펌핑 플레넘(214)을 포함한다. 펌핑 플레넘(214)은 가스를 흐르게 하고 프로세스 용적(212) 및 프로세싱 챔버(202)로부터의 부산물을 균일하게 처리하는데 사용된다.
가스 분배 플레이트 어셈블리(218)는 리드 어셈블리(210)의 내부 측면(220)에 결합된다. 가스 분배 플레이트 어셈블리(218)는 프로세스 가스 및 다른 가스들이 프로세스 용적(212)으로 전달되는 천공된 영역(216)을 포함한다. 가스 분배 플레이트 어셈블리(218)의 천공된 영역(216)은 가스 분배 플레이트 어셈블리(218)를 통해 프로세스 용적(212) 내로 전달되는 가스의 균일한 분배를 제공하도록 구성된다. 본 발명에 바람직하게 적용될 수 있는 가스 분배 플레이트는 본 출원인에 양도되고 2001년 8월 8일자로 출원된 Keller 등에 의한 미국특허출원 제 09/922,219호, Blonigan 등에 의한 2002년 5월 6일자 출원 제 10/140,324호 및 2003년 1월 7일자 제10/337,483호, White 등에 의한 2002년 11월 12일자로 발행된 미국특허 제 6,477,980호, 최 등에 의한 2003년 4월 16일자 미국특허출원 제 10/417,592호에 개시되고, 상기 미국특허출원 및 미국특허는 본 명세서에 참조로서 편입된다.
확산기 플레이트(258)는 전형적으로 스테인리스 스틸, 알루미늄(Al), 양극처리된 알루미늄, 니켈(Ni) 또는 다른 RF 도전성 재료로 제조된다. 확산기 플레이트(258)는 기판 프로세싱에 악영향을 미치지 않기에 충분한 편평도를 유지하는 두께를 갖도록 구성된다. 일 실시예에서, 확산기 플레이트(258)는 약 1.0 인치 내지 약 2.0 인치 사이의 두께를 갖는다.
온도 제어 기판 지지 어셈블리(238)는 프로세싱 챔버(202) 내에서 중심에 배치된다. 지지 어셈블리(238)는 프로세싱 동안 기판(240)을 지지한다. 일 실시예에서, 기판 지지 어셈블리(238)는 적어도 하나의 내장형 히터(232)를 감싸는 알루미늄 바디(224)를 포함한다. 지지 어셈블리(238)에 배치되는 저항성 엘리먼트와 같은 히터(232)는 선택적인 전력 소스(274)에 결합되고, 지지 어셈블리(238) 및 지지 어셈블리 상의 기판(240)을 미리 설정된 온도로 제어가능하게 가열한다.
일반적으로, 지지 어셈블리(238)는 하부 측면(226) 및 상부 측면(234)을 구비한다. 상부 측면(234)은 기판(240)을 지지한다. 하부 측면(226)은 거기에 결합된 스템(242)을 구비한다. 스템(242)은 지지 어셈블리(238)를 리프트 시스템(미도시)에 결합시키며, 리프트 시스템은 지지 어셈블리(238)를 상승된 프로세싱 위치(도시된 바와 같이)와 기판이 프로세싱 챔버(202)으로 그리고 프로세싱 챔버(202)로부터 전달되게 하는 하강된 위치 사이에서 이동시킨다. 부가적으로, 스템(242)은 지지 어셈블리(238)와 시스템(200)의 다른 컴포넌트 사이의 전기적 열전쌍 리드(electrical and thermocouple lead)들을 위한 도관을 제공한다.
벨로우즈(246)는 지지 어셈블리(238)(또는 스템(242))와 프로세싱 챔버(202)의 바닥(208) 사이에 결합된다. 벨로우즈(246)는 챔버 용적(212)과 프로세싱 챔버(202) 외부의 대기 사이에 진공 밀봉을 제공하는 한편, 지지 어셈블리(238)의 수직 이동을 용이하게 한다.
지지 어셈블리(238)는 일반적으로 접지되고, 전력 소스(222)에 의해 리드 어셈블리(210)와 기판 지지 어셈블리(238) 사이에 배치된 가스 분배 플레이트 어셈블리(218)로 (또는 챔버의 리드 어셈블리 내부 또는 근처에 배치된 다른 전극으로) 공급된 RF 전력은 지지 어셈블리(238)와 분배 플레이트 어셈블리(218) 사이의 프로세스 용적(212)에 존재하는 가스들을 여기할 수 있다. 지지 어셈블리(238)는 부가적으로 외접하는 섀도우 프레임(shadow frame)(248)을 지지한다. 일반적으로, 섀도우 프레임(248)은 기판이 지지 어셈블리(238)에 고착되지 않도록 유리 기판(240) 및 지지 어셈블리(238)의 에지에서의 증착을 방지한다. 지지 어셈블리(238)는 복수 개의 리프트 핀들(250)을 수용하도록 배치된 복수 개의 홀들(228)을 구비한다.
동작시, 불소 원자들은 육불화황 함유 가스가 원격 플라즈마에 노출되는 프로세싱 챔버의 원격 플라즈마 영역에서 생성된다. 원격 플라즈마는 가스 분자의 불소 및 나머지 원자들을 이온화된 원자들로 해리한다. 해리된 불소 원자들은 프로세싱 챔버의 프로세싱 영역으로 흘러간다. 그 다음, 인시츄 플라즈마는 보다 균일한 불소 원자 및 산소 원자의 해리를 제공하기 위하여 이온화된 불소에 제공될 수 있다. 불소 원자들 및 산소 원자들은 챔버의 표면으로부터 실리콘 또는 탄소계 증착물 또는 다른 증착물을 세정한다. 분자 불소로서 재결합된 불소 이온들은 불소 이온만큼 실리콘 질화물 또는 비정질 탄소 막을 세정하기에 효과적이지 않다.
세정 가스로서 불소 원자 및 산소 원자의 사용은 챔버를 세정하기 위한 균일하고 예측가능한 플라즈마를 제공한다. 이러한 상대적으로 균일하고 예측가능한 플라즈마는 챔버를 고르게 세정하고, 소정의 다른 프로세스보다 과도한 세정에 의해 챔버의 표면을 변형시키거나 저하시킬 가능성이 낮다. 균일한 세정이 또한 보다 효율적일 수 있기 때문에 프로세스 챔버를 세정하기 위한 시간은 감소될 수 있다. 원격 및 인시츄 플라즈마를 위한 다수의 사이클이 감소될 수 있기 때문에 세정 시간은 또한 감소될 수 있다.
육불화황은 챔버 표면으로부터 증착물을 세정하기 위해 하나 이상의 다른 불소 함유 가스와 결합하여 사용될 수 있다. 다른 불소 함유 가스는 분자 불소, 삼불화질소, 불화수소, 사불화탄소, 퍼플루오로에탄 및 다른 것들을 포함한다. 육불화황은 다른 불소 함유 가스보다 해리에 더 많은 전력을 요구한다. 또한, 육불화황 가스는 세정능력을 갖기 위해 해리되어야 한다. 해리 가능성은 추가적인 가스 존재를 이용하여 증가한다. 세정 동안 시스템에 부가될 수 있는 추가적인 가스들은 아르곤, 산소 및 아산화질소를 포함하는 산소 함유 화합물, 또는 그 결합물을 포함한다. 테스트는 아산화질소가 산소만큼 효과적이지 않음을 나타낸다.
캘리포니아의 산타클라라에 위치한 Applied Material, Inc.의 자회사인 AKT로부터 구입가능한 20K™ 챔버가 육불화황의 효율을 테스트하기 위해 사용되었다. 배기 가스의 RGA 테스트는 질소, 산소, SF5 +, SF3 +, F, SiF3 +, SO2 및 F2가 육불화황이 원격 플라즈마 챔버에 도입된 다음, 챔버에 인시츄 플라즈마를 제공한 이후 배기 가스에 존재함을 나타낸다. 이러한 가스 혼합물은 가스 분자의 해리 및 개선된 세정 효율을 나타낸다. 약 0.1 내지 약 10.0의 육불화황 대 산소의 유입 가스 유량 비율은 세정 컴포넌트들의 최적 비율을 제공하는데 바람직하다. 챔버 표면으로부터 세정될 수 있는 증착물은 실리콘 산화물, 탄소 도핑된 실리콘 산화물, 실리콘 탄화물, 실리콘 질화물, 또는 비정질 탄소를 포함한다. 원격 플라즈마 소스에 대한 전력은 약 0.0 내지 약 14.6 kW로 조정될 수 있다. 원격 플라즈마 소스에 대한 전력은 바람직하게 13kW 이상일 수 있다. RF 플라즈마는 0 내지 3 kW, 바람직하게 2.5 kW로 조정될 수 있다. 압력은 100 mTorr 내지 1 Torr로 조정될 수 있다. 챔버 손상을 방지하기 위하여, 인시츄 RF 전력은 1 대 1 미만의 육불화황 대 산소 부피비를 사용할 때 바람직하지 않을 수 있다. 1 대 1 이상의 육불화황 대 산소 비율에 대하여, 1.5 kW 이상의 인시츄 RF 전력의 사용, 예를 들어, 2.5 kW의 인시츄 RF 전력의 사용은 불소 원자들의 재결합을 방해한다.
도 2 및 도 3에 도시된 실험적 결과는 캘리포니아의 산타클라라에 위치한 Applied Materials, Inc.의 자회사인 AKT로부터 구입가능한 플라즈마 강화 화학 기상 증착 시스템 20K 챔버로부터 수집되었다. 원격 플라즈마 소스는 매사추세츠의 윌밍턴에 위치한 MKS로부터 구입가능한 ASTRON hf+이다. 도 2는 275 ℃의 기판 지지 온도로 인시츄 플라즈마의 2 kW RF를 이용하여 표준상태 분당 8 리터(standard liter per minute)의 육불화황 및 표준상태 분당 8 리터의 산소에 대한 시간의 함수로서 챔버 압력을 나타내는 챠트이다. 광학 엔드포인트 검출기에 의해 지시되는 바와 같인 엔드포인트(도 2에서 검은색 수직 라인)는 210 초에서 획득되었다. 막 두께는 21000 Å이었다. 그리하여, 세정율은 6000 Å/min이었다. 이러한 세정율은 RF가 없는 인시츄 플라즈마를 이용한 유사한 유량에서의 NF3에 비견된다.
도 3에 의해 도시된 실험 결과에 대하여, 챔버들은 20K 챔버의 표면적, 1950 cm2을 갖는 기판을 프로세싱하도록 구성된다. 도 3은 유입 가스 유량의 함수로서 삼불화질소 및 육불화황에 의한 막 세정시간을 비교하는 챠트이다. 기판 지지 온도는 275 ℃였다. 육불화황은 산소와 1 대 1 비율로 챔버에 부가되었다. 육불화황에 대한 세정 시간은 동일 원격 플라즈마 조건이 사용되었을 때 육불화질소에 비해 20 퍼센트 더 높았다. 육불화황에 대한 세정 시간은 1.4 kW RF 인시츄 플라즈마가 또한 육불화황 테스트에 사용되었을 때 삼불화질소에 비해 더 낮았다.
육불화황, 산소 및 아르곤의 혼합물이 또한 도 3에 도시된 것과 유사한 유량으로 테스트되었다. 관측된 세정 시간은 8000 sccm 육불화황, 8000 sccm 산소 및 1000 sccm 아르곤에서 50초였고, 대등한 육불화황 및 산소 유량에 대해서는 49초, 대등한 삼불화질소 유량에 대해서는 41초였다.
유입 가스들의 유량이 8000 sccm 이상으로 증가됨에 따라, 원격 플라즈마 소스의 효율이 감소되었다. 즉, 전력이 유입 가스 유량의 증가에 비례하여 증가함에 따라, 시스템의 세정율은 비례적으로 증가하지 않았으며, 소정 경우에는 감소하였다.
도 4에 도시된 다른 실험은 AKT 4300 챔버를 사용하여 수행되었다. 도 4는 유입 가스 유량의 함수로서 2개의 하드웨어 조건의 세정율을 비교하는 챠트이다. 챔버 표면으로부터 제거되었던 실리콘 질화물 막은 1200 W의 RF 전력을 사용하여 400 sccm 실란, 1400 sccm 암모니아, 및 4000 sccm 질소로 420 ℃ 및 1.5 Torr에서 가스 분배 플레이트와 상부 기판 표면 사이에서 1100 mil로 챔버에 증착되었다. 일 세트의 데이터에 대하여, 상기 시스템은 유량 제한기를 포함하도록 구성되었다. 제 2 세트의 데이터에 대하여, 상기 시스템에서는 유량 제한기가 제거되었다. 세정 시간 결과는 유량 제한기를 갖지 않는 상기 시스템이 테스트되는 각각의 유량에 대하여 대략 20 내지 50 퍼센트 더 빠른 세정율을 가짐을 나타낸다. 그리하여, 유량 제한기에 의해 제공되는 부가적인 혼합은 세정 프로세스를 개선시키지 않는다.
번인 테스트(burn in testing)는 캘리포니아의 산타클라라에 위치한 Applied Materials의 자회사인 AKT로부터 구입가능한 20K™ 챔버 상에서 수행되었다. 상기 테스트는 육불화황의 세정 효율이 삼불화질소에 필적함을 나타내었다. 또한, 육불화황 또는 삼불화질소에 의해 세정된 챔버에 증착된 막의 SIMS 측정이 수행되었다. 상기 막은 막의 화학적 특성 어떠한 현저한 차이도 갖지 않았다.
더 큰 챔버, 예를 들어, 캘리포니아의 산타클라라에 위치한 Applied Materials의 자회사인 AKT로부터 구입가능한 25KAX™ 챔버가 또한 테스트를 위해 사용되었다. 챔버 및 기판 크기가 더 커짐에 따라, 육불화황계 시스템의 세정율은 삼불화질소계 시스템보다 약간 더 낮다. 해리 효율의 대략적인 추정치인 상기 시스템에 걸친 압력 강하는 육불화황을 사용할 때의 챔버 크기 변화에 비례하지 않는다. 더 많은 전력이 육불화황을 위한 원격 및 인시츄 플라즈마 발생기에 적용되어야 한다. 원격 플라즈마 발생기 이후의 유량 제한기를 제거하더라도 시스템의 효율을 변화시키지 않는다.
대체로, 삼불화질소 또는 육불화황 시도 동안 관측된 챔버 무결성에 어떠한 차이도 존재하지 않았다. 엔드포인트 검출 시스템은 삼불화질소 및 육불화황 유입 가스 혼합물에 대해 효과적으로 작용하였다. 삼불화질소의 세정 효율을 예측하기 위해 사용된 수학적 모델은 육불화황 및 산소의 세정 효율을 정확히 예측한다. 이러한 결과는 삼불화질소 대 육불화황의 비용 비율이 대략 4.2임을 나타내는 경제적 데이터와 결합될 수 있다. 따라서, 삼불화질소 대신 육불화황을 사용함으로 인한 세정 가스 비용 감소는 대략 72 퍼센트이다.
전술한 내용은 본 발명의 실시예들에 관한 것이나, 다른 부가의 본 발명의 실시예들이 본 발명의 기본 범위를 벗어나지 않으면서 구현될 수 있고, 본 발명의 범위는 이하의 청구범위에 의해 결정된다.
본 발명은 비용 효율적이고 챔버 표면에 적은 손상을 입히는 챔버 세정 방법 을 제공한다.

Claims (43)

  1. 기판 프로세싱 챔버를 세정하기 위한 방법으로서,
    육불화황과 질소를 포함하는 가스 혼합물을 원격 플라즈마 소스로 도입하는 단계;
    상기 가스 혼합물의 일부를 이온들로 해리하는 단계;
    상기 가스 혼합물을 상기 챔버의 프로세싱 영역으로 운반하는 단계; 및
    상기 이온들과의 반응에 의해 상기 챔버 내의 증착물을 세정하는 단계
    를 포함하는 세정 방법.
  2. 삭제
  3. 삭제
  4. 제 1항에 있어서,
    상기 챔버의 프로세싱 영역에 RF 전력을 제공하는 단계를 더 포함하는 것을 특징으로 하는 세정 방법.
  5. 삭제
  6. 삭제
  7. 제 1항에 있어서,
    상기 챔버의 압력이 0.1 내지 1 Torr인 것을 특징으로 하는 세정 방법.
  8. 기판 프로세싱 챔버를 세정하기 위한 방법으로서,
    육불화황과 질소를 포함하는 가스 혼합물을 원격 플라즈마 소스로 도입하는 단계;
    상기 가스 혼합물의 일부를 이온들로 해리하는 단계;
    상기 가스 혼합물을 상기 챔버의 프로세싱 영역으로 운반하는 단계;
    상기 이온들과의 반응에 의해 상기 챔버 내의 증착물을 세정하는 단계; 및
    상기 챔버로부터 상기 가스 혼합물과 증착물의 결합물을 배출하는 단계
    를 포함하는 세정 방법.
  9. 청구항 9은(는) 설정등록료 납부시 포기되었습니다.
    제 8항에 있어서,
    엔드포인트 검출기로부터 제어기로 신호를 보내는 단계를 더 포함하는 것을 특징으로 하는 세정 방법.
  10. 삭제
  11. 삭제
  12. 제 8항에 있어서,
    상기 챔버의 프로세싱 영역에 RF 전력을 제공하는 단계를 더 포함하는 것을 특징으로 하는 세정 방법.
  13. 삭제
  14. 삭제
  15. 제 8항에 있어서,
    상기 챔버의 압력이 0.1 내지 1 Torr인 것을 특징으로 하는 세정 방법.
  16. 청구항 16은(는) 설정등록료 납부시 포기되었습니다.
    기판 프로세싱 챔버를 세정하기 위한 방법으로서,
    육불화황과 질소를 포함하는 가스 혼합물을 원격 플라즈마 소스로 도입하는 단계;
    상기 가스 혼합물의 일부를 이온들로 해리하는 단계;
    상기 가스 혼합물을 상기 챔버의 프로세싱 영역으로 운반하는 단계;
    상기 챔버의 프로세싱 영역에 RF 전력을 인가하는 단계;
    상기 이온들과의 반응에 의해 상기 챔버 내의 증착물을 세정하는 단계; 및
    엔드포인트 검출기로부터 제어기로 신호를 보내는 단계
    를 포함하는 세정 방법.
  17. 청구항 17은(는) 설정등록료 납부시 포기되었습니다.
    제 16항에 있어서,
    상기 챔버의 압력이 0.1 내지 1 Torr인 것을 특징으로 하는 세정 방법.
  18. 제 1항에 있어서,
    상기 원격 플라즈마 소스에 대한 전력은 13 kW 이상인 것을 특징으로 하는 세정 방법.
  19. 제 1항에 있어서,
    상기 원격 플라즈마 소스에 대한 전력은 0.0 내지 14.6 kW인 것을 특징으로 하는 세정 방법.
  20. 제 8항에 있어서,
    상기 원격 플라즈마 소스에 대한 전력은 13 kW 이상인 것을 특징으로 하는 세정 방법.
  21. 제 8항에 있어서,
    상기 원격 플라즈마 소스에 대한 전력은 0.0 내지 14.6 kW인 것을 특징으로 하는 세정 방법.
  22. 청구항 22은(는) 설정등록료 납부시 포기되었습니다.
    제 16항에 있어서,
    상기 원격 플라즈마 소스에 대한 전력은 13 kW 이상인 것을 특징으로 하는 세정 방법.
  23. 청구항 23은(는) 설정등록료 납부시 포기되었습니다.
    제 16항에 있어서,
    상기 원격 플라즈마 소스에 대한 전력은 0.0 내지 14.6 kW인 것을 특징으로 하는 세정 방법.
  24. 기판 프로세싱 챔버를 세정하기 위한 방법으로서,
    불소 함유 가스와 질소를 포함하는 가스 혼합물을 원격 플라즈마 소스로 도입하는 단계;
    상기 가스 혼합물의 일부를 이온들로 해리하는 단계;
    상기 가스 혼합물을 상기 챔버의 프로세싱 영역으로 운반하는 단계;
    상기 이온화된 불소에 인시츄 플라즈마를 제공하는 단계; 및
    상기 이온들과의 반응에 의해 상기 챔버 내의 증착물을 세정하는 단계
    를 포함하는 세정 방법.
  25. 제 24항에 있어서,
    상기 불소 함유 가스는 육불화황, 분자 불소, 삼불화질소, 불화수소, 사불화탄소 및 퍼플루오로에탄으로 구성된 그룹으로부터 선택되는 것을 특징으로 하는 세정 방법.
  26. 제 24항에 있어서,
    상기 원격 플라즈마 소스에 아르곤 가스를 도입하는 단계를 더 포함하는 것을 특징으로 하는 세정 방법.
  27. 제 24항에 있어서,
    상기 불소 함유 가스는 육불화황을 포함하는 것을 특징으로 하는 세정 방법.
  28. 삭제
  29. 제 24항에 있어서,
    상기 원격 플라즈마 소스에 대한 전력은 13 kW 이상인 것을 특징으로 하는 세정 방법.
  30. 제 24항에 있어서,
    상기 원격 플라즈마 소스에 대한 전력은 0.0 내지 14.6 kW인 것을 특징으로 하는 세정 방법.
  31. 제 24항에 있어서,
    상기 인시츄 플라즈마는 1.5 kW 이상의 전력에서 제공되는 것을 특징으로 하는 세정 방법.
  32. 제 24항에 있어서,
    상기 인시츄 플라즈마는 2.5 kW 이상의 전력에서 제공되는 것을 특징으로 하는 세정 방법.
  33. 제 24항에 있어서,
    상기 세정은 6000 Å/min의 속도로 수행되는 것을 특징으로 하는 세정 방법.
  34. 기판 프로세싱 챔버를 세정하기 위한 방법으로서,
    불소 함유 가스와 질소를 포함하는 가스 혼합물을 원격 플라즈마 소스로 도입하는 단계;
    상기 가스 혼합물의 일부를 이온들로 해리하는 단계;
    상기 가스 혼합물을 상기 챔버의 프로세싱 영역으로 운반하는 단계;
    상기 불소를 균일하게 해리하는 단계;
    상기 이온들과의 반응에 의해 상기 챔버 내의 증착물을 세정하는 단계; 및
    엔드포인트 검출기로부터 제어기로 신호를 보내는 단계
    를 포함하는 세정 방법.
  35. 청구항 35은(는) 설정등록료 납부시 포기되었습니다.
    제 34항에 있어서,
    상기 불소 함유 가스는 육불화황, 분자 불소, 삼불화질소, 불화수소, 사불화탄소 및 퍼플루오로에탄으로 구성된 그룹으로부터 선택되는 것을 특징으로 하는 세정 방법.
  36. 청구항 36은(는) 설정등록료 납부시 포기되었습니다.
    제 34항에 있어서,
    상기 원격 플라즈마 소스에 아르곤 가스를 도입하는 단계를 더 포함하는 것을 특징으로 하는 세정 방법.
  37. 제 34항에 있어서,
    상기 불소 함유 가스는 육불화황을 포함하는 것을 특징으로 하는 세정 방법.
  38. 삭제
  39. 제 34항에 있어서,
    상기 원격 플라즈마 소스에 대한 전력은 13 kW 이상인 것을 특징으로 하는 세정 방법.
  40. 제 34항에 있어서,
    상기 원격 플라즈마 소스에 대한 전력은 0.0 내지 14.6 kW인 것을 특징으로 하는 세정 방법.
  41. 제 34항에 있어서,
    상기 균일하게 해리하는 단계는 1.5 kW 이상의 전력을 인가하는 단계를 포함하는 것을 특징으로 하는 세정 방법.
  42. 제 41항에 있어서,
    상기 균일하게 해리하는 단계는 2.5 kW의 전력을 인가하는 단계를 포함하는 것을 특징으로 하는 세정 방법.
  43. 제 34항에 있어서,
    상기 세정은 6000 Å/min의 속도로 수행되는 것을 특징으로 하는 세정 방법.
KR1020050103111A 2004-11-04 2005-10-31 육불화황 원격 플라즈마 소스 세정 KR100855597B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US62562204P 2004-11-04 2004-11-04
US60/625,622 2004-11-04
US11/088,327 US20060090773A1 (en) 2004-11-04 2005-03-22 Sulfur hexafluoride remote plasma source clean
US11/088,327 2005-03-22

Publications (2)

Publication Number Publication Date
KR20060092979A KR20060092979A (ko) 2006-08-23
KR100855597B1 true KR100855597B1 (ko) 2008-09-03

Family

ID=36772727

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020050103111A KR100855597B1 (ko) 2004-11-04 2005-10-31 육불화황 원격 플라즈마 소스 세정

Country Status (5)

Country Link
US (1) US20060090773A1 (ko)
JP (1) JP2006148095A (ko)
KR (1) KR100855597B1 (ko)
CN (1) CN1782133A (ko)
TW (1) TWI270138B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2012112187A1 (en) * 2011-02-15 2012-08-23 Applied Materials, Inc. Method and apparatus for multizone plasma generation

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2007072708A1 (ja) * 2005-12-22 2007-06-28 Tokyo Electron Limited 基板処理装置
US20090056743A1 (en) * 2007-08-31 2009-03-05 Soo Young Choi Method of cleaning plasma enhanced chemical vapor deposition chamber
KR100906377B1 (ko) * 2007-09-04 2009-07-07 성균관대학교산학협력단 기판의 고속 박층화장치 및 방법
US7699935B2 (en) 2008-06-19 2010-04-20 Applied Materials, Inc. Method and system for supplying a cleaning gas into a process chamber
US20090314208A1 (en) * 2008-06-24 2009-12-24 Applied Materials, Inc. Pedestal heater for low temperature pecvd application
US8911559B2 (en) * 2008-09-22 2014-12-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method to pre-heat and stabilize etching chamber condition and improve mean time between cleaning
WO2010048076A2 (en) * 2008-10-21 2010-04-29 Applied Materials, Inc. Plasma source for chamber cleaning and process
JP5691163B2 (ja) * 2009-12-01 2015-04-01 セントラル硝子株式会社 クリーニングガス
CN102094186B (zh) * 2009-12-15 2013-03-13 财团法人工业技术研究院 气体供应设备
US8274017B2 (en) * 2009-12-18 2012-09-25 Applied Materials, Inc. Multifunctional heater/chiller pedestal for wide range wafer temperature control
JP5830275B2 (ja) * 2011-06-15 2015-12-09 東京エレクトロン株式会社 プラズマエッチング方法
CN104704141B (zh) 2012-10-18 2020-08-28 应用材料公司 遮覆框支撑件
KR102351585B1 (ko) 2014-03-06 2022-01-13 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 포어라인 열 반응기 시스템
GB201609119D0 (en) 2016-05-24 2016-07-06 Spts Technologies Ltd A method of cleaning a plasma processing module
WO2018026509A1 (en) * 2016-08-05 2018-02-08 Applied Materials, Inc. Aluminum fluoride mitigation by plasma treatment
US10161034B2 (en) * 2017-04-21 2018-12-25 Lam Research Corporation Rapid chamber clean using concurrent in-situ and remote plasma sources
WO2019027738A1 (en) * 2017-08-04 2019-02-07 Micromaterials Llc ENHANCED METAL CONTACT LANDING STRUCTURE
CN110571121B (zh) * 2019-09-17 2022-08-26 江苏鲁汶仪器有限公司 采用远程等离子体源自清洗离子束刻蚀装置及清洗方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20010021723A (ko) * 1997-07-11 2001-03-15 조셉 제이. 스위니 원격 플라즈마 세정 장치
KR20010102930A (ko) * 2000-05-03 2001-11-17 조셉 제이. 스위니 캐쏘드에서 접지형 축전기를 가지는 다중 주파수 플라즈마챔버
KR20040007533A (ko) * 2001-05-04 2004-01-24 램 리서치 코포레이션 챔버 내 잔여물의 2단계 플라즈마 세정

Family Cites Families (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5870570A (ja) * 1981-09-28 1983-04-27 Fujitsu Ltd 半導体装置の製造方法
US5620525A (en) * 1990-07-16 1997-04-15 Novellus Systems, Inc. Apparatus for supporting a substrate and introducing gas flow doximate to an edge of the substrate
GB9207424D0 (en) * 1992-04-04 1992-05-20 British Nuclear Fuels Plc A process and an electrolytic cell for the production of fluorine
US5373523A (en) * 1992-10-15 1994-12-13 Kabushiki Kaisha Komatsu Seisakusho Excimer laser apparatus
US5350236A (en) * 1993-03-08 1994-09-27 Micron Semiconductor, Inc. Method for repeatable temperature measurement using surface reflectivity
JPH0786242A (ja) * 1993-09-10 1995-03-31 Fujitsu Ltd 半導体装置の製造方法
US5492597A (en) * 1994-05-13 1996-02-20 Micron Semiconductor, Inc. Method of etching WSix films
EP0697467A1 (en) * 1994-07-21 1996-02-21 Applied Materials, Inc. Method and apparatus for cleaning a deposition chamber
US5597495A (en) * 1994-11-07 1997-01-28 Keil; Mark Method and apparatus for etching surfaces with atomic fluorine
US5770263A (en) * 1995-11-08 1998-06-23 Micron Technology, Inc. Method for in situ removal of particulate residues resulting from hydrofluoric acid cleaning treatments
US5788778A (en) * 1996-09-16 1998-08-04 Applied Komatsu Technology, Inc. Deposition chamber cleaning technique using a high power remote excitation source
US5824375A (en) * 1996-10-24 1998-10-20 Applied Materials, Inc. Decontamination of a plasma reactor using a plasma after a chamber clean
KR100399291B1 (ko) * 1997-01-27 2004-01-24 가부시키가이샤 아드반스트 디스프레이 반도체 박막트랜지스터, 그 제조방법, 반도체 박막트랜지스터어레이 기판 및 해당 반도체 박막트랜지스터어레이 기판을 사용한 액정표시장치
US5824607A (en) * 1997-02-06 1998-10-20 Applied Materials, Inc. Plasma confinement for an inductively coupled plasma reactor
IL131798A (en) * 1997-03-14 2004-02-19 George Washington University S Device for continuous isotope ratio monitoring following fluorine based chemical reactions
US6286451B1 (en) * 1997-05-29 2001-09-11 Applied Materials, Inc. Dome: shape and temperature controlled surfaces
US6079426A (en) * 1997-07-02 2000-06-27 Applied Materials, Inc. Method and apparatus for determining the endpoint in a plasma cleaning process
US6274058B1 (en) * 1997-07-11 2001-08-14 Applied Materials, Inc. Remote plasma cleaning method for processing chambers
US6534007B1 (en) * 1997-08-01 2003-03-18 Applied Komatsu Technology, Inc. Method and apparatus for detecting the endpoint of a chamber cleaning
US6261524B1 (en) * 1999-01-12 2001-07-17 Advanced Technology Materials, Inc. Advanced apparatus for abatement of gaseous pollutants
US5935874A (en) * 1998-03-31 1999-08-10 Lam Research Corporation Techniques for forming trenches in a silicon layer of a substrate in a high density plasma processing system
US6182603B1 (en) * 1998-07-13 2001-02-06 Applied Komatsu Technology, Inc. Surface-treated shower head for use in a substrate processing chamber
US6207583B1 (en) * 1998-09-04 2001-03-27 Alliedsignal Inc. Photoresist ashing process for organic and inorganic polymer dielectric materials
US6312616B1 (en) * 1998-12-03 2001-11-06 Applied Materials, Inc. Plasma etching of polysilicon using fluorinated gas mixtures
US6374831B1 (en) * 1999-02-04 2002-04-23 Applied Materials, Inc. Accelerated plasma clean
US6416589B1 (en) * 1999-02-18 2002-07-09 General Electric Company Carbon-enhanced fluoride ion cleaning
US20020033183A1 (en) * 1999-05-29 2002-03-21 Sheng Sun Method and apparatus for enhanced chamber cleaning
US6239006B1 (en) * 1999-07-09 2001-05-29 Advanced Micro Devices, Inc. Native oxide removal with fluorinated chemistry before cobalt silicide formation
US6431182B1 (en) * 1999-10-27 2002-08-13 Advanced Micro Devices, Inc. Plasma treatment for polymer removal after via etch
US6350697B1 (en) * 1999-12-22 2002-02-26 Lam Research Corporation Method of cleaning and conditioning plasma reaction chamber
KR100767762B1 (ko) * 2000-01-18 2007-10-17 에이에스엠 저펜 가부시기가이샤 자가 세정을 위한 원격 플라즈마 소스를 구비한 cvd 반도체 공정장치
US6772827B2 (en) * 2000-01-20 2004-08-10 Applied Materials, Inc. Suspended gas distribution manifold for plasma chamber
US6477980B1 (en) * 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6432255B1 (en) * 2000-01-31 2002-08-13 Applied Materials, Inc. Method and apparatus for enhancing chamber cleaning
US6673323B1 (en) * 2000-03-24 2004-01-06 Applied Materials, Inc. Treatment of hazardous gases in effluent
US6500356B2 (en) * 2000-03-27 2002-12-31 Applied Materials, Inc. Selectively etching silicon using fluorine without plasma
US20030010354A1 (en) * 2000-03-27 2003-01-16 Applied Materials, Inc. Fluorine process for cleaning semiconductor process chamber
JP2002057106A (ja) * 2000-08-08 2002-02-22 Tokyo Electron Ltd 処理装置のクリーニング方法及び処理装置
US6843258B2 (en) * 2000-12-19 2005-01-18 Applied Materials, Inc. On-site cleaning gas generation for process chamber cleaning
US6534423B1 (en) * 2000-12-27 2003-03-18 Novellus Systems, Inc. Use of inductively-coupled plasma in plasma-enhanced chemical vapor deposition reactor to improve film-to-wall adhesion following in-situ plasma clean
JP3925088B2 (ja) * 2001-01-16 2007-06-06 株式会社日立製作所 ドライ洗浄方法
US6544838B2 (en) * 2001-03-13 2003-04-08 Infineon Technologies Ag Method of deep trench formation with improved profile control and surface area
US6868856B2 (en) * 2001-07-13 2005-03-22 Applied Materials, Inc. Enhanced remote plasma cleaning
US6686594B2 (en) * 2001-10-29 2004-02-03 Air Products And Chemicals, Inc. On-line UV-Visible light halogen gas analyzer for semiconductor processing effluent monitoring
US6872323B1 (en) * 2001-11-01 2005-03-29 Novellus Systems, Inc. In situ plasma process to remove fluorine residues from the interior surfaces of a CVD reactor
US6828241B2 (en) * 2002-01-07 2004-12-07 Applied Materials, Inc. Efficient cleaning by secondary in-situ activation of etch precursor from remote plasma source
US7008484B2 (en) * 2002-05-06 2006-03-07 Applied Materials Inc. Method and apparatus for deposition of low dielectric constant materials
US7270713B2 (en) * 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
US6942753B2 (en) * 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
US7572337B2 (en) * 2004-05-26 2009-08-11 Applied Materials, Inc. Blocker plate bypass to distribute gases in a chemical vapor deposition system
US20060017043A1 (en) * 2004-07-23 2006-01-26 Dingjun Wu Method for enhancing fluorine utilization

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20010021723A (ko) * 1997-07-11 2001-03-15 조셉 제이. 스위니 원격 플라즈마 세정 장치
KR20010102930A (ko) * 2000-05-03 2001-11-17 조셉 제이. 스위니 캐쏘드에서 접지형 축전기를 가지는 다중 주파수 플라즈마챔버
KR20040007533A (ko) * 2001-05-04 2004-01-24 램 리서치 코포레이션 챔버 내 잔여물의 2단계 플라즈마 세정

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2012112187A1 (en) * 2011-02-15 2012-08-23 Applied Materials, Inc. Method and apparatus for multizone plasma generation
US9809881B2 (en) 2011-02-15 2017-11-07 Applied Materials, Inc. Method and apparatus for multizone plasma generation

Also Published As

Publication number Publication date
US20060090773A1 (en) 2006-05-04
KR20060092979A (ko) 2006-08-23
TWI270138B (en) 2007-01-01
CN1782133A (zh) 2006-06-07
TW200620458A (en) 2006-06-16
JP2006148095A (ja) 2006-06-08

Similar Documents

Publication Publication Date Title
KR100855597B1 (ko) 육불화황 원격 플라즈마 소스 세정
US10916407B2 (en) Conditioning remote plasma source for enhanced performance having repeatable etch and deposition rates
KR102158307B1 (ko) 플라즈마 프로세싱 챔버에서의 인-시튜 챔버 세정 효율 향상을 위한 플라즈마 처리 프로세스
US6880561B2 (en) Fluorine process for cleaning semiconductor process chamber
US6923189B2 (en) Cleaning of CVD chambers using remote source with cxfyoz based chemistry
US7959970B2 (en) System and method of removing chamber residues from a plasma processing system in a dry cleaning process
US20050155625A1 (en) Chamber cleaning method
JPH0831451B2 (ja) プラズマ反応容器のクリーニング方法
US10892143B2 (en) Technique to prevent aluminum fluoride build up on the heater
EP0418592B1 (en) Cleaning process for removal of deposits from the susceptor of a chemical vapor deposition apparatus
KR20190088079A (ko) 챔버 드리프팅 없이 고온 프로세싱을 가능하게 하는 방법
EP1154036A1 (en) Gas reactions to eliminate contaminates in a CVD chamber
KR101134909B1 (ko) 실리콘 산화막의 건식 식각 방법
KR20210116679A (ko) 프로세스 챔버를 세정하기 위한 방법
JPH0529285A (ja) クリーニング方法及び半導体製造装置
US20060054183A1 (en) Method to reduce plasma damage during cleaning of semiconductor wafer processing chamber
EP1154037A1 (en) Methods for improving chemical vapor deposition processing
EP1154038A1 (en) Method of conditioning a chamber for chemical vapor deposition
JPH1112742A (ja) Cvd装置およびそのクリーニング方法
WO1999006611A1 (en) Method and apparatus for chamber cleaning

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120727

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20130729

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20140730

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20160629

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20170629

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20180801

Year of fee payment: 11