KR20010003614A - 반도체 소자의 상감형 금속배선 형성방법 - Google Patents

반도체 소자의 상감형 금속배선 형성방법 Download PDF

Info

Publication number
KR20010003614A
KR20010003614A KR1019990023977A KR19990023977A KR20010003614A KR 20010003614 A KR20010003614 A KR 20010003614A KR 1019990023977 A KR1019990023977 A KR 1019990023977A KR 19990023977 A KR19990023977 A KR 19990023977A KR 20010003614 A KR20010003614 A KR 20010003614A
Authority
KR
South Korea
Prior art keywords
copper layer
forming
layer
semiconductor device
copper
Prior art date
Application number
KR1019990023977A
Other languages
English (en)
Other versions
KR100333712B1 (ko
Inventor
김헌도
Original Assignee
김영환
현대전자산업 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 김영환, 현대전자산업 주식회사 filed Critical 김영환
Priority to KR1019990023977A priority Critical patent/KR100333712B1/ko
Priority to US09/604,621 priority patent/US6475913B1/en
Publication of KR20010003614A publication Critical patent/KR20010003614A/ko
Application granted granted Critical
Publication of KR100333712B1 publication Critical patent/KR100333712B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76874Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroless plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1068Formation and after-treatment of conductors
    • H01L2221/1073Barrier, adhesion or liner layers
    • H01L2221/1084Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L2221/1089Stacks of seed layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

본 발명은 반도체 제조 기술에 관한 것으로, 특히 반도체 소자 제조 공정 중 금속배선 형성 공정에 관한 것이며, 더 자세히는 상감형(damascene type) 금속배선 형성 공정에 관한 것이다. 본 발명은 구리를 배선 금속으로 사용하는 대머신 금속배선 공정시 씨드층의 층덮힘을 개선하고, 후속 전기도금법에 의한 구리의 배향성과 접착력을 개선할 수 있는 반도체 소자의 상감형 금속배선 형성방법을 제공하는데 그 목적이 있다. 본 발명은 1차로 물리기상증착법을 사용한 구리막을 얇게 증착하고, 2차로 화학기상증착법을 사용하여 씨드층을 형성한 다음, 3차로 전기도금법을 사용하여 주배선용 구리를 증착하는 기술이다. 이 경우, 물리기상증착법에 의한 구리가 구리의 배향성 및 접착력을 증가시키고, 화학기상증착법에 의한 씨드층의 층덮힘 특성을 개선할 수 있다.

Description

반도체 소자의 상감형 금속배선 형성방법{A method for forming damascene type metal wire in semiconductor device}
본 발명은 반도체 제조 기술에 관한 것으로, 특히 반도체 소자 제조 공정 중 금속배선 형성 공정에 관한 것이며, 더 자세히는 상감형(damascene type) 금속배선 형성 공정에 관한 것이다.
반도체 소자의 고집적화에 따라 디자인 룰(design rule)의 축소가 가속되고 있으며, 이에 따라 금속배선의 피치(pitch)가 줄어들고 있어 통상적인 금속배선 공정을 적용할 경우에는 고단차비를 가지는 금속배선의 형성시 금속배선의 CD(critical dimension) 균일도(uniformity), 라인 식각 프로파일(line etch profile) 및 포토레지스트의 식각 선택비 등에서 만족할만한 결과를 얻기 힘들게 되었다. 이를 개선하기 위해서는 하드 마스크(hard mask) 등을 사용하여야 하며, 이에 따른 제조비용의 증가와 소자 개발 일정의 지연이라는 문제점이 도출된다.
한편, 상감형 금속배선 공정은 상기의 문제점을 해결할 수 있는 기술로 차세대 초고집적 소자에 적용이 유망하다.
상감형 금속배선 공정은 통상 층간절연막에 라인용 트렌치 및 콘택홀을 형성하고, 베리어 금속과 배선 금속을 증착한 후 화학·기계적 평탄화(chemical mechanical planarization, CMP) 기술을 이용하여 층간절연막 상부에 있는 베리어 금속 및 배선 금속을 제거하는 과정을 거치고 있다.
배선 금속으로 구리를 사용하는 경우, 종래에는 주로 물리기상증착법과 전기도금법을 사용하여 구리배선을 형성하였다. 즉, 물리기상증착법으로 씨드(seed)층을 형성하고 전기도금법으로 라인용 트렌치 및 콘택을 매립하는 방식을 사용하였다.
그러나, 이와 같은 종래기술은 물리기상증착법에 의한 씨드층의 층덮힘이 불향하여 전기도금법에 의한 구리를 증착할 때 콘택 또는 라인용 트렌치 내에 보이드(void)가 형성되어 배선의 신뢰도에 심각한 문제를 야기한다.
이러한 문제점을 고려하여 화학기상증착법으로 씨드층을 형성하는 경우, 씨드층의 층덮힘은 어느 정도 개선되나, 이후 전기도금법에 의해 증착된 구리의 배향성이 불량하고 씨드층과 접착력이 불량하여 증착된 구리 박막의 박리를 야기하는 문제를 일으킨다.
본 발명은 구리를 배선 금속으로 사용하는 대머신 금속배선 공정시 씨드층의 층덮힘을 개선하고, 후속 전기도금법에 의한 구리의 배향성과 접착력을 개선할 수 있는 반도체 소자의 상감형 금속배선 형성방법을 제공하는데 그 목적이 있다.
도 1 내지 도 5는 본 발명의 일 실시예에 따른 대머신 금속배선 형성 공정도.
* 도면의 주요 부분에 대한 부호의 설명
1 : 하부 금속배선 2 : 층간절연막
3 : 금속 산화물 4 : 장벽 금속막
5 : 구리막 6 : 구리 씨드층
7 : 주 배선용 구리막
상기의 기술적 과제를 해결하기 위한 본 발명의 특징적인 반도체 소자의 상감형 금속배선 형성방법은, 소정의 하부 도전층을 포함하는 하부 구조 상에 층간절연막을 형성하는 제1 단계; 상기 층간절연막을 패터닝하여 콘택홀 및 라인용 트렌치를 형성하는 제2 단계; 상기 제2 단계를 마친 전체구조 표면을 따라 물리기상증착 방식을 사용하여 제1 구리층을 증착하는 제3 단계; 화학기상증착 방식을 사용하여 상기 제1 구리층의 표면을 따라 씨드층용 제2 구리층을 증착하는 제4 단계; 전기도금 방식을 사용하여 상기 제2 구리층 상에 주 배선용 제3 구리층을 형성하는 제5 단계; 및 상기 층간절연막이 노출될 정도로 상기 제3 구리층, 상기 제2 구리층 및 상기 제1 구리층을 리세싱하는 제6 단계를 포함하여 이루어진다.
즉, 본 발명은 1차로 물리기상증착법을 사용한 구리막을 얇게 증착하고, 2차로 화학기상증착법을 사용하여 씨드층을 형성한 다음, 3차로 전기도금법을 사용하여 주배선용 구리를 증착하는 기술이다. 이 경우, 물리기상증착법에 의한 구리가 구리의 배향성 및 접착력을 증가시키고, 화학기상증착법에 의한 씨드층의 층덮힘 특성을 개선할 수 있다.
이하, 본 발명이 속한 기술분야에서 통상의 지식을 가진 자가 본 발명을 보다 용이하게 실시할 수 있도록 하기 위하여 본 발명의 바람직한 실시예를 소개하기로 한다.
첨부된 도면 도 1 내지 도 5는 본 발명의 일 실시예에 따른 대머신 금속배선 형성 공정을 도시한 것으로, 이하 이를 참조하여 설명한다.
본 실시예에 따른 공정은 우선, 도 1에 도시된 바와 같이 층간절연막(2)을 식각하여 하부 금속배선(1)을 노출시키는 콘택홀과 라인용 트렌치를 형성한다. 이때, 노출된 하부 금속배선(1) 표면에는 금속 산화물(3)이 형성되어 있다.
다음으로, 도 2에 도시된 바와 같이 하부 금속배선(1) 표면에 형성된 금속 산화물(3)을 수소 또는 헬륨 가스에 아르곤 가스를 첨가한 혼합가스를 사용하여 제거하고, 진공의 파괴없이 전체구조 표면을 따라 물리기상증착법을 사용하여 장벽 금속막(4)을 증착한다.
계속하여, 도 3에 도시된 바와 같이 전체구조 표면을 따라 물리기상증착법을 사용하여 200Å 이하의 구리막(5)을 증착하고, 전체구조 표면을 따라 화학기상증착법을 사용하여 구리 씨드층(6)을 증착한다. 이때, 구리 씨드층(6)이 콘택홀 측벽에서 100∼200Å의 층덮힘을 얻을 수 있을 정도로 증착한다.
이어서, 도 4에 도시된 바와 같이 전기도금법을 사용하여 전체구조 상부에 주 배선용 구리막(7)을 증착하고, 25∼350℃ 온도에서 열처리를 실시한다. 이때, 열처리는 진공 상태 또는 수소 분위기 또는 수소/질소 분위기 또는 수소/아르곤 분위기에서 실시한다.
다음으로, 도 5에 도시된 바와 같이 화학·기계적 평탄화(CMP) 공정을 실시하여 층간절연막(2)이 노출될 정도로 주 배선용 구리막(7), 구리 씨드층(6), 구리막(5) 및 장벽 금속막(4)를 연마하여 금속배선 공정을 완료한다.
상기와 같은 공정을 진행하는 경우, 물리기상증착 방식의 구리막(5)은 콘택홀 하부에는 충분한 층덮힘을 얻을수 없으나, 나머지 부분에서는 충분한 층덮힘을 가질수 있게 되어 접착력 및 배향성을 확보하고, 이후 증착되는 화학기상증착 방식의 구리 씨드층(6)은 콘택홀 및 트렌치 내부에 균일하고 양호한 층덮힘을 얻을 수 있게 된다. 이에 따라 전기도금 방식의 주 배선용 구리막(7) 증착시 콘택홀 및 트렌치 내부에 보이드가 형성되는 것을 방지할 수 있다.
이상에서 설명한 본 발명은 전술한 실시예 및 첨부된 도면에 의해 한정되는 것이 아니고, 본 발명의 기술적 사상을 벗어나지 않는 범위 내에서 여러 가지 치환, 변형 및 변경이 가능하다는 것이 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자에게 있어 명백할 것이다.
예컨대, 전술한 실시예에서와 같은 방식으로 구리막을 증착하되, 화학기상증착 방식의 구리층을 증착할 때 그 증착 두께를 증가 시켜 콘택홀 및 트렌치가 매립되도록 한 상태에서 간단한 전기도금법으로 평탄화된 구리막을 형성하는 경우에도 본 발명은 적용된다.
전술한 본 발명은 물리기상증착 방식의 구리막을 통해 하지층과의 접착력과 구리막의 배향성을 확보하여 금속배선의 박리 현상을 방지하는 효과가 있으며, 화학기상증착 방식의 구리 씨드층을 적용하여 후속 전기도금 방식의 주 배선용 구리막 증착시 층덮힘을 개선하여 금속배선의 신뢰도를 향상시키는 효과를 기대할 수 있다.

Claims (7)

  1. 소정의 하부 도전층을 포함하는 하부 구조 상에 층간절연막을 형성하는 제1 단계;
    상기 층간절연막을 패터닝하여 콘택홀 및 라인용 트렌치를 형성하는 제2 단계;
    상기 제2 단계를 마친 전체구조 표면을 따라 물리기상증착 방식을 사용하여 제1 구리층을 증착하는 제3 단계;
    화학기상증착 방식을 사용하여 상기 제1 구리층의 표면을 따라 씨드층용 제2 구리층을 증착하는 제4 단계;
    전기도금 방식을 사용하여 상기 제2 구리층 상에 주 배선용 제3 구리층을 형성하는 제5 단계; 및
    상기 층간절연막이 노출될 정도로 상기 제3 구리층, 상기 제2 구리층 및 상기 제1 구리층을 리세싱하는 제6 단계
    를 포함하여 이루어진 반도체 소자의 상감형 금속배선 형성방법.
  2. 제1항에 있어서,
    상기 제6 단계 수행 후,
    25∼350℃의 온도에서 열처리를 실시하는 제7 단계를 더 포함하여 이루어진 것을 특징으로 하는 반도체 소자의 상감형 금속배선 형성방법.
  3. 제1항 또는 제2항에 있어서,,
    상기 제2 단계 수행 후 노출된 상기 하부 도전층에 형성된 자연산화물을 제거하는 제8 단계와,
    상기 제8 단계 수행 후, 전체구조 표면을 따라 장벽 금속막을 형성하는 제9 단계를 더 포함하여 이루어진 것을 특징으로 하는 반도체 소자의 상감형 금속배선 형성방법.
  4. 제1항 또는 제2항에 있어서,
    상기 제1 구리층이,
    200Å 이하의 두께로 증착되는 것을 특징으로 하는 반도체 소자의 상감형 금속배선 형성방법.
  5. 제1항 또는 제2항에 있어서,
    상기 제2 구리층이,
    상기 콘택홀 측벽에 100∼200Å 두께로 증착되는 것을 특징으로 하는 반도체 소자의 상감형 금속배선 형성방법.
  6. 제2항에 있어서,
    상기 열처리가,
    진공 상태, 수소 분위기, 수소/질소 분위기, 수소/아르곤 분위기 중 어느 하나의 분위기에서 실시되는 것을 특징으로 하는 반도체 소자의 상감형 금속배선 형성방법.
  7. 제3항에 있어서,
    수소 또는 헬륨에 아르곤 가스가 첨가된 혼합 가스를 사용하여 상기 자연산화물을 제거하는 것을 특징으로 하는 반도체 소자의 상감형 금속배선 형성방법.
KR1019990023977A 1999-06-24 1999-06-24 반도체 소자의 상감형 금속배선 형성방법 KR100333712B1 (ko)

Priority Applications (2)

Application Number Priority Date Filing Date Title
KR1019990023977A KR100333712B1 (ko) 1999-06-24 1999-06-24 반도체 소자의 상감형 금속배선 형성방법
US09/604,621 US6475913B1 (en) 1999-06-24 2000-06-27 Method for forming damascene type of metal wires in semiconductor devices

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1019990023977A KR100333712B1 (ko) 1999-06-24 1999-06-24 반도체 소자의 상감형 금속배선 형성방법

Publications (2)

Publication Number Publication Date
KR20010003614A true KR20010003614A (ko) 2001-01-15
KR100333712B1 KR100333712B1 (ko) 2002-04-24

Family

ID=19594941

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019990023977A KR100333712B1 (ko) 1999-06-24 1999-06-24 반도체 소자의 상감형 금속배선 형성방법

Country Status (2)

Country Link
US (1) US6475913B1 (ko)
KR (1) KR100333712B1 (ko)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20020043464A (ko) * 2002-03-21 2002-06-10 김도형 반도체소자의 금속배선 형성방법
KR100426209B1 (ko) * 2001-12-13 2004-04-06 김재정 반도체 배선용 구리막 형성방법
KR100447232B1 (ko) * 2001-12-28 2004-09-04 주식회사 하이닉스반도체 듀얼 다머신 구조의 금속 배선 형성 방법
KR100499557B1 (ko) * 2001-06-11 2005-07-07 주식회사 하이닉스반도체 반도체소자의 배선 형성방법

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6777327B2 (en) * 2001-03-28 2004-08-17 Sharp Laboratories Of America, Inc. Method of barrier metal surface treatment prior to Cu deposition to improve adhesion and trench filling characteristics
JP4068497B2 (ja) * 2003-04-24 2008-03-26 株式会社東芝 半導体装置およびその製造方法
US6972253B2 (en) * 2003-09-09 2005-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming dielectric barrier layer in damascene structure
CN102693958A (zh) * 2012-06-21 2012-09-26 复旦大学 一种采用新型扩散阻挡层的铜互连结构及其制备方法

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5891513A (en) 1996-01-16 1999-04-06 Cornell Research Foundation Electroless CU deposition on a barrier layer by CU contact displacement for ULSI applications
US5576052A (en) 1996-04-22 1996-11-19 Motorola, Inc. Method of metallizing high aspect ratio apertures
US5851367A (en) 1996-10-11 1998-12-22 Sharp Microelectronics Technology, Inc. Differential copper deposition on integrated circuit surfaces and method for same
KR100219513B1 (ko) * 1996-12-31 1999-09-01 윤종용 반도체장치의 배선층 형성방법
US6069068A (en) * 1997-05-30 2000-05-30 International Business Machines Corporation Sub-quarter-micron copper interconnections with improved electromigration resistance and reduced defect sensitivity
US5989623A (en) * 1997-08-19 1999-11-23 Applied Materials, Inc. Dual damascene metallization
JPH11135506A (ja) * 1997-10-31 1999-05-21 Nec Corp 半導体装置の製造方法
US6077780A (en) * 1997-12-03 2000-06-20 Advanced Micro Devices, Inc. Method for filling high aspect ratio openings of an integrated circuit to minimize electromigration failure
US5939788A (en) 1998-03-11 1999-08-17 Micron Technology, Inc. Copper diffusion barrier, aluminum wetting layer and improved methods for filling openings in silicon substrates with cooper
KR19990041752U (ko) * 1998-05-19 1999-12-27 방순자 의복
US6136707A (en) * 1999-10-02 2000-10-24 Cohen; Uri Seed layers for interconnects and methods for fabricating such seed layers
US6261954B1 (en) * 2000-02-10 2001-07-17 Chartered Semiconductor Manufacturing, Ltd. Method to deposit a copper layer

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100499557B1 (ko) * 2001-06-11 2005-07-07 주식회사 하이닉스반도체 반도체소자의 배선 형성방법
KR100426209B1 (ko) * 2001-12-13 2004-04-06 김재정 반도체 배선용 구리막 형성방법
KR100447232B1 (ko) * 2001-12-28 2004-09-04 주식회사 하이닉스반도체 듀얼 다머신 구조의 금속 배선 형성 방법
KR20020043464A (ko) * 2002-03-21 2002-06-10 김도형 반도체소자의 금속배선 형성방법

Also Published As

Publication number Publication date
US6475913B1 (en) 2002-11-05
KR100333712B1 (ko) 2002-04-24

Similar Documents

Publication Publication Date Title
KR100223334B1 (ko) 반도체소자의 금속배선형성방법
KR100332118B1 (ko) 반도체 소자의 금속 배선 형성 방법
US20070077755A1 (en) Method of forming metal wiring in a semiconductor device
KR100333712B1 (ko) 반도체 소자의 상감형 금속배선 형성방법
KR100419021B1 (ko) 반도체소자의 구리 배선 제조방법
JP3271756B2 (ja) 半導体装置の製造方法
KR100399909B1 (ko) 반도체 소자의 층간 절연막 형성 방법
KR100307827B1 (ko) 반도체소자의 금속배선 콘택 형성방법
KR100431086B1 (ko) 반도체 소자의 구리 배선 형성 방법
KR20040058950A (ko) 반도체 소자의 금속 배선 형성 방법
KR20020092003A (ko) 반도체 소자의 구리 배선 형성 방법
KR100205341B1 (ko) 반도체 장치의 배선형성 방법
KR100396687B1 (ko) 반도채장치의금속배선형성방법
KR100444610B1 (ko) 반도체 소자의 금속 배선 형성 방법
KR100652303B1 (ko) 반도체 소자의 금속 배선 형성 방법
KR100755112B1 (ko) 반도체 소자의 인덕터 제조 방법
KR20020048720A (ko) 구리를 사용한 대머신 금속배선 형성 방법
KR0179275B1 (ko) 반도체소자의 금속배선 구조 및 형성방법
KR20000042001A (ko) 반도체소자의 금속배선층 형성방법
KR20020090441A (ko) 반도체 소자의 구리배선 형성방법
KR20050066362A (ko) 반도체 소자의 장벽 금속층 형성방법
KR20030048895A (ko) 반도체 소자의 구리 배선 형성 방법
KR20020092002A (ko) 반도체 소자의 구리 배선 형성 방법
KR20020057764A (ko) 홀의 금속-필링 방법 및 이에 의해 형성된 반도체 소자
JP2004146648A (ja) 半導体装置及びその製造方法

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120323

Year of fee payment: 11

LAPS Lapse due to unpaid annual fee