KR102474876B1 - 텅스텐 전구체 및 이를 이용한 텅스텐 함유막의 형성 방법 - Google Patents

텅스텐 전구체 및 이를 이용한 텅스텐 함유막의 형성 방법 Download PDF

Info

Publication number
KR102474876B1
KR102474876B1 KR1020170076029A KR20170076029A KR102474876B1 KR 102474876 B1 KR102474876 B1 KR 102474876B1 KR 1020170076029 A KR1020170076029 A KR 1020170076029A KR 20170076029 A KR20170076029 A KR 20170076029A KR 102474876 B1 KR102474876 B1 KR 102474876B1
Authority
KR
South Korea
Prior art keywords
tungsten
precursor
tungsten precursor
group
present
Prior art date
Application number
KR1020170076029A
Other languages
English (en)
Other versions
KR20180137064A (ko
Inventor
이소영
임재순
윤지은
아키오 사이토
츠바사 시라토리
유타로 아오키
Original Assignee
삼성전자주식회사
가부시키가이샤 아데카
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사, 가부시키가이샤 아데카 filed Critical 삼성전자주식회사
Priority to KR1020170076029A priority Critical patent/KR102474876B1/ko
Priority to US15/855,368 priority patent/US10900119B2/en
Priority to JP2018088321A priority patent/JP7064945B2/ja
Priority to CN201810556182.9A priority patent/CN109134546B/zh
Publication of KR20180137064A publication Critical patent/KR20180137064A/ko
Application granted granted Critical
Publication of KR102474876B1 publication Critical patent/KR102474876B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F11/00Compounds containing elements of Groups 6 or 16 of the Periodic Table
    • C07F11/005Compounds containing elements of Groups 6 or 16 of the Periodic Table compounds without a metal-carbon linkage
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F11/00Compounds containing elements of Groups 6 or 16 of the Periodic Table
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Inorganic Chemistry (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

텅스텐 전구체 및 이를 이용한 텅스텐 함유막의 형성 방법을 개시한다. 이 텅스텐 전구체는 하기 화학식 1로 표기된다.
[화학식 1]
Figure 112017057423801-pat00055

상기 화학식 1에서 R1, R2 및 R3은 각각 독립적으로 치환 또는 비치환된 C1~C5인 직쇄 혹은 분기형태의 알킬기를 포함하며, R4및 R5는 각각 독립적으로 C1~C5인 직쇄 혹은 분기 형태의 알킬기, 할로겐 원소, C2~C10인 디알킬아미노기 또는 C3~C12인 트리알킬실릴기이고, n은 1 또는 2이고, m은 0 또는 1이다. n이 1일 때 m은 1이다. n이 2일 때, m은 0이고, R1과 R2는 각각 2개이고, 2개의 R1은 각각 서로 독립적이고, 2개의 R2는 각각 서로 독립적이다.

Description

텅스텐 전구체 및 이를 이용한 텅스텐 함유막의 형성 방법{Tungsten precursor and Method of forming a tungsten-containing layer using the same}
본 발명은 텅스텐 전구체 및 이를 이용한 텅스텐 함유막의 형성 방법에 관한 것이다.
소형화, 다기능화 및/또는 낮은 제조 단가 등의 특성들로 인하여 반도체 소자는 전자 산업에서 중요한 요소로 각광 받고 있다. 하지만, 전자 산업이 고도로 발전함에 따라, 반도체 소자의 고집적화 경향이 심화되고 있다. 반도체 소자의 고집적화를 위하여, 반도체 소자의 패턴들의 선폭이 점점 감소되고 있다. 이에 따라 배선들의 저항이 증가되고 있다. 배선들의 저항을 감소시키기 위하여 전기 저항이 작은 텅스텐을 배선 재료로 사용하고 있다. 또한 텅스텐은 게이트 전극이나 마스크 등에 사용된다.
본 발명이 해결하고자 하는 과제는 증착 공정에 적합하되 반도체 소자의 열화를 방지할 수 있는 텅스텐 전구체를 제공하는데 있다.
본 발명이 해결하고자 하는 다른 과제는 반도체 소자의 열화를 방지할 수 있는 텅스텐 함유막의 형성 방법을 제공하는데 있다.
상기 과제를 달성하기 위한 본 발명에 실시예들에 따른 텅스텐 전구체는 하기 화학식 1로 표기된다.
[화학식 1]
Figure 112017057423801-pat00001
상기 화학식 1에서 R1, R2 및 R3은 각각 독립적으로 치환 또는 비치환된 C1~C5인 직쇄 혹은 분기형태의 알킬기를 포함하며, R4및 R5는 각각 독립적으로 C1~C5인 직쇄 혹은 분기 형태의 알킬기, 할로겐 원소, C2~C10인 디알킬아미노기 또는 C3~C12인 트리알킬실릴기이고, n은 1 또는 2이고, m은 0 또는 1이다. n이 1일 때 m은 1이다. n이 2일 때, m은 0이고, R1과 R2는 각각 2개이고, 2개의 R1은 각각 서로 독립적이고, 2개의 R2는 각각 서로 독립적이다.
상기 다른 과제를 달성하기 위한 본 발명의 실시예들에 따른 텅스텐 함유막의 형성 방법은 상기 화학식 1로 표기되는 상기 텅스텐 전구체를 이용한다.
본 발명의 실시예들에 따른 텅스텐 전구체는 증기압이 높고 상온 혹은 약간의 가열에 의해 액체가 되며 기화된 후 부산물/잔류물 발생이 적다. 또한 본 발명의 텅스텐 전구체는 CVD나 ALD같은 증착 공정에 사용이 적합하고 수송이 용이하여 기판에 대한 공급량의 제어가 용이하다. 이로써 양질의 텅스텐 함유막을 형성할 수 있고 생산성을 향상시킬 수 있다. 또한 상대적으로 적은 할로겐 원자를 포함함으로써 공정 중에 남을 수 있는 할로겐 원자에 의한 반도체 소자의 열화를 방지할 수 있다.
도 1은 본 발명의 화학식 (1-3)의 텅스텐 전구체의 1H-NMR 분석 데이터를 나타낸다.
도 2는 본 발명의 화학식 (1-3)의 텅스텐 전구체의 상압 TG-DTA 특성을 나타내는 그래프이다.
도 3은 본 발명의 화학식 (1-3)의 텅스텐 전구체의 감압 TG-DTA 특성을 나타내는 그래프이다.
도 4는 본 발명의 화학식 (1-38)의 텅스텐 전구체의 1H-NMR 분석 데이터를 나타낸다.
도 5는 본 발명의 화학식 (1-64)의 텅스텐 전구체의 1H-NMR 분석 데이터를 나타낸다.
이하, 본 발명을 보다 구체적으로 설명하기 위하여 본 발명에 따른 실시예들을 첨부 도면을 참조하면서 보다 상세하게 설명하고자 한다. 본 명세서에서 C 뒤에 붙은 숫자는 탄소 원자의 개수를 의미할 수 있다. 즉, 예를 들면 C1은 탄소 원자의 개수가 1개인 것을 의미하고. C12는 탄소 원자의 개수가 12개인 것을 의미할 수 있다. 명세서 전체에서 터셔리 아밀(tertiary amyl)기는 터셔리 펜틸(tertiary pentyl)기로도 명명될 수 있다.
본 발명의 실시예들에 따른 텅스텐 전구체는 하기 화학식 1로 표기될 수 있다.
[화학식 1]
Figure 112017057423801-pat00002
상기 화학식 1에서 R1, R2 및 R3은 각각 독립적으로 치환 또는 비치환된 C1~C5인 직쇄 혹은 분기형태의 알킬기를 포함하며, R4및 R5는 각각 독립적으로 C1~C5인 직쇄 혹은 분기 형태의 알킬기, 할로겐 원소, C2~C10인 디알킬아미노기 또는 C3~C12인 트리알킬실릴기이고, n은 1 또는 2이고, m은 0 또는 1이다. n이 1일 때 m은 1이다. n이 2일 때, m은 0이고, R1과 R2는 각각 2개이고, 2개의 R1은 각각 서로 독립적이고, 2개의 R2는 각각 서로 독립적이다. n이 2일 때, 2개의 R1은 각각 텅스텐 원자를 중심으로 좌우에 배치될 수 있고, 2개의 R2도 각각 텅스텐 원자를 중심으로 좌우에 배치될 수 있다. n이 2일때는 하기의 세부 화학식 (1-70)~(1-75)에 해당될 수 있다.
상기 C1~C5인 직쇄 혹은 분기 형태의 알킬기에 포함되는 수소들 중 적어도 하나는 C3~C12인 트리알킬실릴기로 치환될 수 있다. 상기 C1~C5인 직쇄 혹은 분기 형태의 알킬기는 바람직하게는 메틸, 에틸, 프로필, 이소프로필, 부틸, 이소부틸, 세컨더리 부틸, 터셔리 부틸, 펜틸 및 이소펜틸을 포함하는 그룹에서 선택되는 하나일 수 있다. 상기 할로겐 원소는 바람직하게는 불소, 염소, 브롬 및 요오드 중 하나일 수 있다. 상기 C2~C10인 디알킬아미노기는 바람직하게는 디메틸아미노기, 에틸메틸아미노기, 메틸프로필아미노기, 메틸 이소프로필 아미노기, 디에틸아미노기, 에틸 프로필 아미노기, 에틸 이소프로필 아미노기, 디이소프로필 아미노기, 디세컨더리부틸아미노기 및 디터셔리부틸아미노기를 포함하는 그룹에서 선택되는 하나일 수 있다. 상기 C3~C12인 트리알킬실릴기는 바람직하게는 트리메틸실릴기 또는 트리에틸실릴기일 수 있다.
상기 n이 1인 경우, 바람직하게는 상기 R1, R2 및 R3은 각각 독립적으로 C3~C5인 직쇄 혹은 분기 형태의 알킬기일 수 있고, 상기 R4 및 R5는 각각 독립적으로 C1~C5인 직쇄 형태의 알킬기일 수 있다. 상기 n이 1인 경우, 더욱 바람직하게는, 상기 R1 및 R2은 각각 독립적으로 터셔리부틸기 또는 터셔리펜틸기이고, 상기 R3은 이소프로필기, 터셔리부틸기 또는 터셔리펜틸기이고, 상기 R4 및 R5는 각각 독립적으로 메틸기 또는 에틸기이다. 상기 n이 1인 경우, 가장 바람직하게는 상기 R3은 이소프로필기일 수 있고, 상기 R4 및 R5는 메틸기일 수 있다.
상기 n이 2일 경우, 바람직하게는 상기 R1, R2 및 R3은 각각 독립적으로 C3~C5인 직쇄 혹은 분기 형태의 알킬기일 수 있다. 상기 n이 2일 경우, 더욱 바람직하게는 상기 R1, R2 및 R3은 각각 독립적으로 C3~C4인 분기 형태의 알킬기일 수 있다. 상기 n이 2일 경우, 가장 바람직하게는 상기 R1, R2 및 R3은 각각 독립적으로 이소프로필기, 세컨더리부틸기 또는 터셔리부틸기일 수 있으며, 이 경우 상기 텅스텐 전구체는 낮은 녹는점을 가질 수 있다.
보다 상세하게는 상기 텅스텐 전구체는 하기 (1-1)~(1-75)로 표기되는 세부 화학식들의 구조들을 가질 수 있다.
Figure 112017057423801-pat00003
Figure 112017057423801-pat00004
Figure 112017057423801-pat00005
Figure 112017057423801-pat00006
Figure 112017057423801-pat00007
Figure 112017057423801-pat00008
Figure 112017057423801-pat00009
Figure 112017057423801-pat00010
Figure 112017057423801-pat00011
Figure 112017057423801-pat00012
Figure 112017057423801-pat00013
Figure 112017057423801-pat00014
Figure 112017057423801-pat00015
Figure 112017057423801-pat00016
Figure 112017057423801-pat00017
Figure 112017057423801-pat00018
Figure 112017057423801-pat00019
Figure 112017057423801-pat00020
Figure 112017057423801-pat00021
Figure 112017057423801-pat00022
Figure 112017057423801-pat00023
Figure 112017057423801-pat00024
Figure 112017057423801-pat00025
Figure 112017057423801-pat00026
Figure 112017057423801-pat00027
상기 세부 화학식들 (1-1)~(1-75)에서, 「Me」는 methyl을 나타내며, 「Et」은 ethyl을 나타내며, 「nPr」은n-propyl을 나타내며, 「iPr」은 isopropyl을 나타내며, 「nBu」은 n-butyl 을 나타내며, 「sBu」는 secondary butyl을 나타내며, 「tBu」은 tertiary butyl를 나타내며, 「tAm」은 tertiary amyl을 나타내며, 「TMS」는 trimethylsilyl 을 나타내며, 「TES」는 tri-ethylsilyl기를 나타낸다.
상기 텅스텐 전구체의 제조(합성) 방법은 별다른 제한 사항이 없으며 하기 반응식 1과 같이 일반적인 반응을 응용하여 제조될 수 있다.
[반응식 1]
Figure 112017057423801-pat00028
다음은 본 발명의 실시예들에 따른 구체적인 화합물들의 제조 예들에 대해 살펴보기로 한다.
<화학식 (1-3)의 텅스텐 전구체의 제조예>
Figure 112017057423801-pat00029
위의 화학식 (1-3)의 텅스텐 전구체의 제조 반응식에서 「TMS」는 trimethylsilyl기를 나타내며, 「Et」은 ethyl을 나타내며, 「iPr」은 isopropyl기를 나타내며, 「DAD」는 diazadiene을 의미한다. 「Me」는 methyl을 나타내며, 「 THF 」은 tetrahydrofuran을 나타낸다.
텅스텐 헥사클로라이드(WCl6)로부터 일반적인 제조법에 따라 텅스텐옥시테트라클로라이드(WOCl4)를 합성하였다. WOCl4 로부터 W(NiPr)Cl4(OEt2)를 제조 및 확인하는 방법은 Jean pirre Le Ny에 의해 보고되어 있는 Organometallics(1991년 10권 P.1546)에 기재된 순서에 따라 진행되었다. 구체적으로 아르곤 분위기하에서 1L의 4구 플라스크에 WOCl4 (40.0g 0.117 mol)과 옥탄(570 mL)을 첨가하여 용액 1을 제조하였다. 실온에서 용액 1의 50mL를 적하한 다음, 여기에 추가로 이소프로필 이소시아네이트 (15.9g, 0.187mol)을 적하한 뒤 16시간 동안, 가열 및 환류하였고, 이후 미세 감압으로 용매를 제거하여 적갈색 고체를 얻었다. 여기에 디에틸에테르(400mL)을 첨가하여 실온에서 1시간 더 교반하였다. 재차 미세 감압 하에서 용매를 제거하고 주황색 고체인 화합물 테트라클로로(디에틸에테르)(이소프로필이미드)텅스텐 [W(NiPr)Cl4(OEt2)]를 33.5g(수율 63%)로 얻을 수 있었다.
계속해서, 아르곤 분위기 하에서 100mL의 2구(2-necked) 플라스크에 터셔리-아밀디아자디엔 (4.52 g, 0.023 mol)과 테트라하이드로퓨란(tetrahydrofuran)(약 50mL)을 첨가한 뒤 여기에 리튬 (0.30g, 0.0438mol)을 추가하여 실온에서 하룻밤 정도 교반하여 디아자디엔 착물(complex)을 합성하였다. 이어서 200mL의 4구(4-necked) 플라스크에 합성해둔 테트라클로로(디에틸에테르)(이소프로필이미드)텅스텐 {tetrachloro(diethylether)(isopropylimide)W} [W(NiPr)Cl4(OEt2)] (10.0g, 0.0219mol) 및 디에틸에테르(약 80 mL)을 첨가하여, 이 용액을 -55℃ 로 냉각시켰다. 여기에 디아자디엔 착물을 적하한 뒤 실온으로 승온시킨 채 하룻밤 교반하였다. 그 후 미세감압 조건에서 용매를 증류하여 검은색 고체를 얻었다. 이것을 감압하에서 (15Pa), 바스(bath) 온도 170 ℃까지 승온하는 것으로 (터셔리-아밀디아자디엔)(디클로로)(이소프로필이미드)텅스텐 (이하, 중간체 A)를 합성하였다. 아르곤 분위기 하에서 200mL의 4구 플라스크에 중간체 A(20.21 g, 0.0398mol)와 THF (약 90mL)을 첨가하여, -55℃까지 냉각하였다. 메틸리튬 100mL을 드로핑 펀넬(dropping funnel)을 이용하여 적하하고 (1.17M, 68mL, 0.0796mol)실온으로 승온하여 하룻밤 교반하였다. 미세 감압 하여 용매를 증류하여 흑갈색 고체를 얻었다. 이것을 헥산으로 추출, 여과 한 뒤 다시 한번 미세 감압하에서 증류하여 적갈색 고체를 얻었다. 미세감압(15 Pa), 바스 온도 150℃에서 증류하여 화학식 (1-3)의 텅스텐 전구체인 적갈색 고체 15.84g(수율 85%)을 얻었다. 도 1은 본 발명의 화학식 (1-3)의 텅스텐 전구체의 1H-NMR 분석 데이터를 나타낸다. 도 2는 본 발명의 화학식 (1-3)의 텅스텐 전구체의 상압 TG-DTA 특성을 나타내는 그래프이다. 도 3은 본 발명의 화학식 (1-3)의 텅스텐 전구체의 감압 TG-DTA 특성을 나타내는 그래프이다. 물성 분석 결과는 다음과 같았다.
(1) 1H NMR (Benzene-d6) (Chemical shift: multiplicity: H수)
(0.67: t: 6)(0.78: s: 6)(1.25: d: 6)(1.26: s: 6)(1.40: s: 6)(1.55-1.58: m: 4)(4.11: sep: 1)(6.11: s: 2)
(2)원소분석(금속 분석:ICP-AES)
W: 39.2 wt%, C: 43.7 wt%, H: 8.1 wt%, N: 9.0 wt%
(이론치;W: 39.34 wt %, C: 43.69 wt%, H: 7.98 wt%, N: 8.99 wt %)
(3) 상압TG-DTA
질량 50% 감소 온도:231℃ (Ar유량:100 ml/ min, 승온10℃/min)
(4) 감압 TG-DTA
질량 50% 감소 온도:142℃ (10 Torr, Ar 유량:50 ml/ min, 승온10 ℃/min)
<화학식 (1-38)의 텅스텐 전구체의 제조예>
아르곤 분위기 하에서 200mL의 4구 플라스크에 터셔리부틸디아자디엔(7.75 g, 0.0461 mol)과 THF(약 80mL)을 첨가한 뒤 여기에 리튬 (0.61g, 0.0876mol)을 추가하고 실온에서 하룻밤 정도 교반하여 디아자디엔 착물을 합성하였다. 이어서 300mL의 4구 플라스크에, 화학식 (1-3)의 텅스텐 전구체의 제조예에서처럼 합성한 테트라클로로(디에틸에테르)(이소프로필이미드)텅스텐 (20.01g, 0.0438mol) 및 디에틸에테르(약 130 mL)을 첨가하여, 이 용액을 -55℃로 냉각시켰다. 여기에 디아자디엔 착물 용액을 적하한 뒤 실온으로 승온시킨 채 하룻밤 교반하였다. 그 후 미세감압 조건에서 용매를 증류하여 갈색 고체를 얻었다. 이것을 감압하에서 (20Pa) 바스 온도 190 ℃까지 승온하는 것으로 중간체 B를 합성하였다. 아르곤 분위기 하에서 200mL의 4구 플라스크에 중간체 B(6.00 g, 0.0125mol)와 THF (약 40mL)을 첨가하여, -55℃까지 냉각하였다. (트리메틸실릴)메틸리튬 100mL을 드로핑 펀넬을 이용하여 적하하고(1.0M, 25mL, 0.0250mol) 실온으로 승온하여 하룻밤 교반하였다. 미세 감압 하에서 용매를 증류하여 적갈색 고체를 얻었다. 이것을 헥산으로 추출, 여과한 뒤 다시 한번 미세 감압 하에서 증류하여 적갈색 고체를 얻었다. 미세감압(20 Pa), 바스 온도 140℃에서 증류하여 화학식(1-38)의 텅스텐 전구체인 적갈색 고체 3.45g(수율 47%)로 얻었다. 도 4는 본 발명의 화학식 (1-38)의 텅스텐 전구체의 1H-NMR 분석 데이터를 나타낸다. 물성 분석 결과는 다음과 같았다.
(1) 1H NMR (Benzene-d6) (Chemical shift: multiplicity: H수)
(0.33:s:18)(0.51-0.54:m:4)(1.20:d:6)(1.26:s:18)(4.32: sep: 1)(6.22:s:2)
(2) 원소분석(금속 분석:ICP-AES)
W: 31.6 wt%, C:43.1 wt%, H: 8.3 wt%, N: 7.3 wt%, Si: 9.7 wt%
(이론치;W: 31.5 wt %, C: 43.22 wt%, H: 8.46 wt%, N: 7.20 wt %, Si: 9.62 wt%)
(3) 상압TG-DTA
질량 50% 감소 온도:251℃ (Ar유량:100 ml/ min, 승온10℃/min)
(4) 감압TG-DTA
질량 50% 감소 온도:168℃ (10 Torr, Ar 유량:50 ml/ min, 승온10 ℃/min)
<화학식 (1-64)의 텅스텐 전구체의 제조예>
아르곤 분위기 하에서 200mL의 4구 플라스크에 디에틸에테르 (약 70 mL)를 첨가하고, -30℃로 냉각한 다음 디메틸아민 가스(약 5g, 약 0.11mol)를 흘려 넣었다. 드로핑 펀넬로 노말-부틸 리튬(1.63M, 18mL, 0.0292mol)100mL을 적하한 뒤 실온으로 승온한 채 3시간 교반하여 리튬 디메틸아미드 용액을 제조하였다. 이어서 아르곤 분위기 하에서 300mL의 4구 플라스크에 화학식 (1-38)의 텅스텐 전구체의 제조예에서 합성된 중간체 B(7.03 g, 0.0146mol)와 THF(약 50mL)을 첨가하고 -55℃ 로 냉각시켰다. 캐뉼라(Cannula)로 리튬 디메틸아미드 용액을 적하한 뒤에 실온으로 승온하였다. 그대로 하룻밤 정도 교반한 뒤 미세감압 하에서 용매를 증류하고 흑갈색 고체를 얻었다. 이 고체를 헥산으로 추출, 여과 한 후 다시 한번 미세감압 함에서 용매를 증류하고 적갈색 점성이 있는 고체를 얻었다. 감압(40 Pa), 바스 온도 140℃에서 증류함으로써, 화학식(1-64)의 텅스텐 전구체인 점성이 있는 적갈색 액체 2.47g(수율 34%)로 얻었다. 도 5는 본 발명의 화학식 (1-64)의 텅스텐 전구체의 1H-NMR 분석 데이터를 나타낸다. 물성 분석 결과는 다음과 같았다.
(1) 1H NMR (Benzene-d6) (Chemical shift: multiplicity: H수)
(1.29:d:6)(1.33:s:18)(3.33:s:12)(4.84:sep:1)(6.73: s:2)
(2) 원소분석(금속 분석:ICP-AES)
W: 37.1 wt%, C: 40.8 wt%, H: 7.8 wt%, N: 14.3 wt%
(이론치;W: 36.96 wt %, C: 41.05 wt%, H: 7.90 wt%, N: 14.08 wt %)
(3) 상압TG-DTA
질량 50% 감소 온도:219℃ (Ar유량:100 ml/ min, 승온10℃/min)
(4) 감압TG-DTA
질량 50% 감소 온도:144℃ (10 Torr, Ar 유량:50 ml/ min, 승온10 ℃/min)
<텅스텐 전구체들의 평가 실험1: 녹는점>
이와 같이 제조된 화학식 (1-3), (1-38) 및 (1-64)의 구조들을 가지는 텅스텐 전구체들과 종래의 텅스텐 전구체인 텅스텐 헥사클로라이드(WCl6)의 녹는점을 측정하였다. 이 실험은 상압 30℃에서 진행되었고, 30℃에서 고체인 것들의 녹는점을 측정하여 그 결과를 다음의 표 1에 나타내었다.
(1-3) 텅스텐 전구체 (1-38) 텅스텐 전구체 (1-64) 텅스텐 전구체 WCl6
녹는점 30℃에서 액체 71℃ 30℃에서 액체 275℃
표 1을 살펴보면, 화학식 (1-3), (1-38) 및 (1-64)의 구조들을 가지는 텅스텐 전구체들은 상압 30℃에서 이미 액체이거나 또는 약간 가열하는(71℃) 것으로 액체가 되며, 종래의 전구체인 텅스텐 헥사클로라이드(WCl6) 보다 매우 낮은 녹는점을 가지는 것을 알 수 있다. 녹는점이 높은 화합물일수록 CVD(Chemical vapor deposition)나 ALD(Atomic layer deposition) 같은 증착 공정에서 공급되기 위해 큰 에너지를 필요로 하기에 부적합하다. 또한 상압과 상온에서 고체인 화합물은 운반시에 흔들림에 의해 고체 표면이 운송탱크 벽에 부딪혀 손상될 수 있고 이 손상된 부분들은 화합물을 기화할 때 증기압 차이를 유발할 수 있다. 이로써 안정적인 공정 조건을 유지하기 어려울 수 있다. 본 발명의 실시예들에 따른 텅스텐 전구체들은 상대적으로 낮은 녹는점을 가져 증착 공정에서 적은 에너지를 필요로 하며, 액체 상태로 운송이 가능하여 안정적인 공정 조건을 유지할 수 있다. 이와 같이 본 발명의 실시예들에 따른 텅스텐 전구체들은 CVD나 ALD 같은 증착 공정에 적합할 수 있다.
<텅스텐 전구체들의 평가 실험2: 기화율>
화학식 (1-2), (1-3), (1-17) 및 (1-72)의 구조를 가지는 본 발명의 텅스텐 전구체들을 각각, TG-DTA 측정 설비를 사용하여 760 torr, 상온~600℃, 아르곤 유량 100ml/min, 승온 속도 10℃/min 조건 하에서 가열하였다. 얻어진 잔여물의 양을 측정하여 기화율을 산출하여 표 2에 나타내었다.
(1-2) 텅스텐 전구체 (1-3) 텅스텐 전구체 (1-17) 텅스텐 전구체 (1-72) 텅스텐 전구체
기화율 99.6% 99% 98.5% 97.5%
표 2를 참조하면, 화학식 (1-2), (1-3), (1-17) 및 (1-72)의 구조를 가지는 본 발명의 실시예들에 따른 텅스텐 전구체들은 97.5% 이상의 높은 기화율을 나타낸다. 이로써 본 발명의 실시예들에 따른 텅스텐 전구체들이 매우 기화가 잘되고 잔여물이 매우 적게 발생됨을 알 수 있다. 기화율이 낮을 경우에는, 가열에 의한 잔여물이 많다는 것을 의미한다.
다음은 본 발명의 실시예들에 따른 텅스텐 전구체를 이용하여 텅스텐 함유막의 형성 방법을 알아보기로 한다.
상기 텅스텐 함유막은 상기 화학식 1의 텅스텐 전구체를 공급하여 열적(thermal) CVD, PE(Plasma-enhanced) CVD, photo CVD, photoplasma CVD, ALD 또는 PEALD와 같은 증착 공정으로 형성될 수 있다. 상기 열적 CVD에서는 열을 이용하여 CVD 원료들을 반응시켜 기판 상에 증착할 수 있다. 상기 PECVD에서는 열과 플라즈마를 이용하여 CVD 원료들을 반응시킬 수 있다. 상기 photo CVD에서는 열과 빛을 이용하여 CVD 원료들을 반응시킬 수 있다. 상기 photoplasma CVD에서는 열, 빛 그리고 플라즈마를 이용하여 CVD 원료들을 반응시킬 수 있다.
구체적으로 본 발명의 텅스텐 함유막이 CVD로 형성되는 경우, 이를 위한 원료를 공급하는 방법으로는 기체 수송법과 액체수송법이 있을 수 있다. 상기 기체 수송법에서는 CVD용 원료가 저장된 탱크 안에서 가열 혹은 감압함으로써 기화하여 CVD 증착 챔버 내부로 도입할 수 있다. 이때 아르곤, 질소 및/또는 헬륨과 같은 캐리어 가스가 함께 사용될 수도 있다. 상기 액체 수송법에서는 CVD용 원료를 액체 또는 용액 상태로 기화실까지 수송한 후, 기화실에서 가열 및 감압하여 기화한 후 CVD 증착 챔버 내부로 도입할 수 있다. 본 발명의 화학식 1의 텅스텐 전구체는 그 자체로 상기 기체 수송법과 상기 액체수송법으로 공급될 수 있다. 또는 상기 텅스텐 전구체를 액체수송법으로 공급할 때, 상기 텅스텐 전구체를 용매에 녹여(또는 용매와 섞어) 용액을 만든 후에 공급할 수도 있다. 이러한 CVD용 원료들은 또한 그 밖의 전구체나 친핵체(Nucleophile)을 포함할 수 있다.
상기 텅스텐 함유막이 금속 원자 중에 텅스텐만을 포함하는 경우, 본 발명의 실시예들에 따른 CVD용 원료로 상기 텅스텐 전구체 만을 사용할 수 있다. 만약 상기 텅스텐 함유막이 질소, 산소, 실리콘 및/또는 다른 금속을 더 포함할 경우, 본 발명의 실시예들에 따른 CVD용 원료는 이에 맞는 반응성 화합물, 기타 전구체 또는 전구체의 안정성을 부여하기 위한 친핵체(nucleophile) 중 적어도 하나를 더 포함할 수 있다. 이러한 다성분계 CVD 공정에서는 CVD용 원료들을 각 성분별로 독립적으로 기화하여 공급하는 싱글소스법과, 상기 원료들을 미리 원하는 조성으로 혼합한 후에 기화하여 공급하는 칵테일법으로 CVD용 원료들을 공급할 수 있다. 칵테일법인 경우에도 상기 원료들을 유기 용매에 녹여 혼합 용액을 만들어 공급할 수 있다.
상기 유기 용매는 다양할 수 있으며, 예를 들면, 에틸 아세테이트, 부틸 아세테이트 및 에톡시 에틸 아세테이트와 같은 아세트산 에스터류; 테트라하이드로퓨란, 테트라하이드로피란, 에틸렌글리콜디메틸에테르, 디에틸렌글리콜디메틸에테르, 트리에틸렌글리콜디메틸에테르, 디부틸에테르 및 디옥산과 같은 에테르류; 메틸부틸케톤, 메틸이소부틸케톤, 에틸부틸케톤, 디프로필케톤, 디이소부틸케톤, 메틸아밀케톤, 사이클로헥사논 및 메틸사이클로헥사논과 같은 케톤류; 헥산, 사이클로헥산, 메틸사이클로헥산, 디메틸사이클로헥산, 에틸사이클로헥산, 헵탄, 옥탄, 톨루엔 및 크실렌과 같은 탄화수소류; 1-시아노프로판, 1-시아노부탄, 1-시아노헥산, 시아노사이클로헥산, 시아노벤젠, 1,3-디시아노프로판, 1,4-디시아노부탄, 1,6-디시아노헥산, 1,4-디시아노사이클로헥산 및 1,4-디시아노벤젠과 같은 시아노기를 가지는 탄화수소류; 피리딘 및 루티딘 중 적어도 하나일 수 있다. 상기 CVD 원료(들)과 상기 유기 용매를 포함하는 용액에서 상기 CVD 원료(들)의 함량은 0.01~2.0mol/L이며 바람직하게는 0.05~1.0mol/L이다. 상기 CVD 원료가 본 발명의 텅스텐 전구체 만을 포함하는 경우 상기 함량 범위는 오직 텅스텐 전구체 만의 함량에 해당될 수 있다. 만약 상기 CVD 원료가 본 발명의 텅스텐 전구체와 기타 전구체들을 포함한다면 상기 함량 범위는 이들 전구체들의 합의 함량에 해당될 수 있다.
상기 기타 전구체는 수소화물, 수산화물, 할로겐화물, 아지드, 알킬, 알케닐, 사이클로알킬, 아릴, 알키닐, 아미노, 디알킬아미노알킬, 모노(알킬)아미노, 디알킬아미노, 디아민, 디(실릴-알킬)아미노, 디(알킬-실릴)아미노, 디실릴아미노, 알콕시, 알콕시알킬, 히드라지드, 인화물, 니트릴, 디알킬아미노알콕시, 알콕시알킬디알킬아미노, 실콕시, 디케토네이트, 사이클로펜타디에닐, 실릴, 피라졸레이트, 구아니디네이트, 포스포구아니디네이트, 아미디네이트, 포스포아미디네이트, 케토이미네이토, 디케티미네이토, 카르보닐 및 포스포아미디네이트 중 적어도 하나를 리간드로 가지는 실리콘 및/또는 금속 화합물일 수 있다. 이때 금속은, 마그네슘, 칼슘, 스트론튬, 바륨, 라듐, 스칸듐, 이트륨, 티타늄, 지르코늄, 하프늄, 니오브, 탄탈륨, 크롬, 몰리브덴, 텅스텐, 망간, 철, 오스뮴, 코발트, 로듐, 이리듐, 니켈, 팔라듐, 백금, 구리, 은, 금, 아연, 카드뮴, 알루미늄, 갈륨, 인듐, 게르마늄, 주석, 납, 안티몬, 비스무트, 란탄, 세륨, 프라세오디뮴, 네오디뮴, 프로메튬, 사마륨, 유로퓸, 가돌리늄, 테르븀, 디스프로슘, 홀뮴, 에르븀, 툴륨 및 이테르븀 중 하나일 수 있다.
상기 기타 전구체의 제조 방법은 제한되지 않는다. 예를 들면, 유기 리간드인 알코올 화합물을 이용할 경우, 금속의 무기산 또는 금속의 수화물과 해당 알코올 화합물의 알칼리 메탈 알콕사이드와 반응시켜 기타 전구체를 제조할 수 있다. 이때 금속의 미네랄 염 또는 그 수화물로 금속의 할로겐화물 또는 질산염을 사용할 수 있으며, 알칼리 메탈 알콕사이드로는 나트륨알콕사이드, 리튬알콕사이드 및 칼륨알콕사이드 중 적어도 하나를 사용할 수 있다.
본 발명의 CVD 원료를 싱글 소스법으로 공급하는 경우에는, 상기 기타 전구체로서, 본 발명의 텅스텐 전구체와 열적 혹은 산화/분해 성질이 비슷한 화합물이 적합하다. 본 발명의 CVD 원료를 칵테일 소스법으로 공급하는 경우에는 열적 혹은 산화/분해 성질의 유사성 뿐만 아니라 혼합시에 화학반응 등에 의한 변질을 일으키지 않는 화합물이 적합할 수 있다.
상기 친핵체는 본 발명의 텅스텐 전구체 및 기타 전구체의 안정성을 위해 첨가될 수 있다. 상기 친핵체는 글리메(glyme), 디글리메, 트리글리메 및 테트라글리메 같은 에틸렌글리콜에테르류; 18-크라운-6, 디사이클로헥실-18-크라운-6, 24-크라운-8, 디사이클로헥실-24-크라운-8 및 디벤조-24-크라운-8과 같은 크라운에테르류; 에틸렌디아민, N,N'-테트라메틸에틸렌디아민, 디에틸렌트리아민, 트리에틸렌테트라민, 테트라에틸렌펜타민, 펜타에틸렌헥사민, 1,1,4,7,7-펜타메틸디에틸렌트리아민, 1,1,4,17,10,10-헥사메틸트리에틸렌테트라민 및 트리에톡시트리에틸렌아민과 같은 폴리아민류; 사이클램(cyclam) 및 사이클렌(cyclen)과 같은 사이클릭폴리아민류; 피리딘, 피롤리딘, 피페리딘, 몰포린, N-메틸피롤리딘, N-메틸피페리딘, N-메틸몰포린, 테트라하이드로퓨란, 테트라하이드로피란, 1,4-디옥산, 옥사졸, 티아졸 및 옥사티올란 같은 헤테로사이클릭 화합물류; 메틸 아세토아세테이트, 에틸 아세토아세테이트 및 아세토아세트산-2-메톡시에틸과 같은 β-케토 에스터류; 및 아세틸 아세톤, 2,4-헥사네디온(hexanedion), 2,4-헵타네디온, 3,5-헵타네디온 및 디피발로일메탄 같은 β-디케톤류를 포함하는 그룹에서 선택되는 적어도 하나일 수 있다. 상기 친핵체의 사용량은 상기 전구체들의 전체의 양을 1mol이라 할 때, 0.1~10mol일 수 있으며, 바람직하게는 1~4mol일 수 있다.
본 발명의 실시예들에 따른 증착 공정용 원료는 금속 잔류물, 할로겐 잘류물 및 유기 잔류물과 같은 잔류물(residue)들을 포함하지 않아야 한다. 만약 포함된다면, 금속 잔류물은 전구체마다 바람직하게는 100ppb 이하로 더욱 바람직하게는 10ppb 이하로 포함되어야 한다. 증착 공정용 원료의 총량에 대하여는 1ppm 이하로 바람직하게는 100ppb 이하로 포함되어야 한다. 특히 본 발명의 텅스텐 함유막이 게이트 절연막, 층간절연막, 게이트막 및 베리어막으로 사용되는 경우에는, 상기 증착 공정용 원료가, 반도체 소자의 전기적 특성에 영향을 주는 알칼리 금속 및 알칼리 토금속을 포함하지 않거나 포함하더라도 매우 소량이어야 한다. 할로겐 잔류물은 바람직하게는 100ppm 이하로, 더욱 바람직하게는 10ppm 이하로, 가장 바람직하게는 1ppm 이하로 포함되어야 한다. 유기 잔여물은 상기 증착 공정용 원료의 총량에 대하여 500ppm 이하로, 바람직하게는 50ppm이하로 포함되어야 한다. 또한 상기 증착 공정용 원료는 수분을 포함하지 않아야 한다. 수분은 CVD 공정 중에 파티클 발생의 원인이 될 수 있다. 수분을 포함하지 않도록, 각 전구체들, 유기 용매 및 친핵체에서 미리 수분을 제거할 수 있다. 만약 수분을 포함한다면, 전구체들, 유기 용매 및 친핵체 각각에서 바람직하게는 10ppm 이하로, 더욱 바람직하게는 1ppm 이하이어야 한다.
본 발명의 실시예들에 따른 증착 공정용 원료는 파티클을 포함하지 않아야 한다. 만약 포함된다면, 증착 공정용 원료가 액상에서 LIGHT SCATTERING AUTOMATIC PARTICLE COUNTER에 의한 파티클 측정에서, 바람직하게는 0.3μm보다 큰 입자의 수가 액상 1mL에 100개 이하로, 0.2μm보다 큰 입자의 수가 액상 1mL에 1000개 이하로 포함되어야 한다. 더욱 바람직하게는 0.2μm보다 큰 입자의 수가 액상 1mL에 100개 이하로 포함되어야 한다.
상기 텅스텐 전구체를 이용하는 증착공정에서 상기 텅스텐 전구체와 반응하는 반응 가스를 공급할 수도 있다. 상기 반응 가스는 예를 들면, 산소, 오존, 이산화질소, 일산화질소, 수증기, 과산화수소, 아세트산 및 아세트산 무수물과 같은 산화 가스일 수 있다. 상기 반응 가스는 환원성을 가지는 수소일 수 있다. 또는/그리고 상기 반응 가스는 모노(알킬)아민, 디알킬아민, 트리알킬아민, 알킬렌디아민, 유기 아민 화합물, 히드라진 및 암모니아와 같은 질화 가스일 수 있다.
상기 텅스텐 함유막이 증착되는 기판은 실리콘, 실리콘질화막, 티타늄질화막, 탄탈륨질화막, 티타늄산화막, 탄탈륨산화막, 루테늄산화막, 지르코늄산화막, 하프늄산화막 및 란탄산화막과 같은 세라믹; 유리; 및/또는 루테늄과 같은 금속을 포함할 수 있다. 상기 기판은 평판, 구, 섬유 및/또는 비늘과 같은 형태를 가질 수 있다. 상기 기판의 표면은 평탄하거나 트렌치와 같은 삼차원 구조가 형성될 수 있다.
상기 텅스텐 함유막의 증착 공정에서 중요한 인자로는 반응온도(또는 기판 온도), 반응 압력, 퇴적 속도 등이 있다. 상기 반응 온도는, 본 발명의 텅스텐 전구체가 충분히 반응할 수 있는 온도로, 바람직하게는 100℃ 이상이며, 더욱 바람직하게는 150~500℃이다. 본 발명의 텅스텐 전구체는 300℃ 이상의 열안정성을 가질 수 있다. 따라서 상기 반응 온도로 250~450℃가 더욱 적합할 수 있다. 반응 압력으로, 열적 CVD나 photo CVD인 경우, 10Pa~대기압Pa이 바람직하다. 플라즈마 CVD인 경우 10~2000Pa이 바람직하다. 상기 증착 속도는 원료의 공급 조건(기화 온도, 기화압력), 반응 온도 및 반응 압력에 따라 제어될 수 있다. 상기 증착 속도가 빠르면 형성되는 텅스텐 함유막의 막질이 악화될 수 있으며, 반대로 느리면 생산성이 떨어질 수 있다. 상기 증착 속도는 바람직하게 0.01~100nm/min이며 더욱 바람직하게는 1~50nm/min이다.
상기 텅스텐 함유막의 증착 공정에서 추가적인 인자로는 원료의 기화 온도나 압력이 있다. 본 발명의 텅스텐 전구체를 포함하는 증착 공정의 원료의 기화 온도는 바람직하게는 0~150℃일 수 있다. 상기 기화 압력은 바람직하게는 1~10,000Pa일 수 있다.
본 발명의 텅스텐 함유막이 ALD로 형성하는 경우, 본 발명의 텅스텐 전구체의 증기를 증착 챔버 내에 공급하여 웨이퍼 상에 텅스텐 전구체를 흡착시키는 단계 및 흡착되지 않은 상기 텅스텐 전구체의 증기를 퍼지하는 단계를 포함할 수 있다. 만약 텅스텐 함유막이 텅스텐 외에 다른 원소를 더 포함한다면, 상기 텅스텐 함유막의 ALD 공정은 해당 원소가 포함된 기타 전구체의 증기나 해당 원소를 포함하는 반응 가스를 공급하여 상기 웨이퍼 상에 흡착된 상기 텅스텐 전구체와 반응시키는 단계; 및 미반응된 기타 전구체의 증기나 반응가스를 퍼지하는 단계를 더 포함할 수 있다. 상기 반응으로 상기 텅스텐 전구체가 분해되어 텅스텐이 해당 원소와 결합하여 상기 웨이퍼 상에 하나의 원자층의 텅스텐함유막이 형성될 수 있다. ALD 공정에서 상기 텅스텐 함유막의 두께는 상기 단계들을 포함하는 사이클의 수를 조절함으로써 결정될 수 있다.
예를 들어, 본 발명의 텅스텐 함유막이 텅스텐산화막이며 ALD로 형성하는 경우, 본 발명의 텅스텐 전구체를 기화하고, 산화 가스를 준비한다. 기화 온도나 압력은 위에서 설명한 바와 동일할 수 있다. 웨이퍼(기판)의 온도는 바람직하게는 실온~500℃, 더욱 바람직하게는 150~500℃, 가장 바람직하게는 150~350℃일 수 있다. ALD 공정이 진행되는 증착 챔버 내부의 압력은 바람직하게는 1~10,000Pa이고 더욱 바람직하게는 10~1,000Pa이다.
상기 퍼지하는 단계는 질소, 헬륨 및 아르곤과 같은 불활성 가스를 이용하거나, 그리고/또는 증착 챔버 내부를 감압하여 진행될 수 있다. 감압하는 경우의 압력은 바람직하게는 0.01~300Pa, 더욱 바람직하게는 0.01~100Pa이다.
본 발명의 텅스텐 전구체는 산화 가스, 질화 가스, 환원성 가스, 실리콘 전구체나 기타 금속 전구체와의 양호한 반응성을 가진다.
상기 ALD 공정에서는 플라즈마, 빛 및/또는 전압에 의한 에너지를 인가할 수도 있고 촉매를 사용할 수도 있다. 예를 들면, 본 발명의 텅스텐 전구체를 공급할때, 증착 공정시 승온 과정, 퍼지하는 단계, 및 산화 가스를 공급할 때 또는 각 단계들 사이에서 에너지를 인가할 수 있다.
이와 같이 CVD나 ALD 방식으로 본 발명의 텅스텐 함유막을 형성한 후에, 반도체 소자의 전기적 특성을 향상시키기 위해서 상기 텅스텐 함유막에 대해 어닐링 공정을 더 진행할 수 있다. 상기 어닐링 공정은 불활성 가스, 산화 가스 또는 환원 가스 분위기에서 진행될 수도 있다. 또한 상기 텅스텐 함유막에 대하여 리플로우 공정이 추가로 진행되어 단차진 영역을 상기 텅스텐 함유막으로 채울 수 있다. 이때 공정 온도는 바람직하게는 200~1000℃, 더욱 바람직하게는 250~500℃일 수 있다.
본 발명의 텅스텐 함유막을 형성하는 제조 설비들은 CVD 및 ALD 설비일 수 있다. 본 발명의 텅스텐 전구체가 담긴 저장 용기(canister)를 히터로 가열하여 증기를 형성하여 증착 챔버 내부로 공급할 수 있다. 또는 본 발명의 저장 용기 내에서 액체 상태인 텅스텐 전구체를 기화실(vaporizer)에서 기화하여 증착 챔버 내부로 공급할 수 있다. 또는 RF매칭 시스템과 RF 생성기(generator)를 포함하여, 반응 가스에 대해 플라즈마 처리가 가능할 수도 있다. 본 발명의 텅스텐 함유막을 형성하는 제조 설비들은 매엽식 설비에 한정되지 않고 퍼니스(furnace) 같은 배치(Batch)식 설비도 가능하다. 즉 배치식 설비에서는 다수의 웨이퍼들 상에 동시에 증착 공정을 진행할 수 있다.
본 발명의 텅스텐 함유막은 텅스텐막, 텅스텐질화막, 텅스텐산화막, 텅스텐실리콘막 및 텅스텐탄화막 등 다양할 수 있다. 본 발명의 텅스텐 함유막은 예를 들면 MOSFET의 게이트 전극, 게이트 절연막, 마스크막, 소오스/드레인의 콘택 및 메모리 소자의 워드라인 등으로 사용될 수 있다.
<실험예: ALD 방식으로 텅스텐산화막의 제조>
증착 설비 안에 티타늄 질화막이 형성된 기판을 로딩하였다. 기판의 온도는 250℃로 조절되었다. 상기 티타늄 질화막 상에 본 발명의 텅스텐 함유막의 예로서 텅스텐 산화막을 형성하였다. 상기 텅스텐 산화막은 설비를 이용하여 ALD 방식으로 형성되었다. 이를 위해 본 발명의 텅스텐 전구체들 중에서 화학식 (1-3)의 구조를 가지는 텅스텐 전구체를 이용하였다. 반응 가스로 오존(O3)을 공급하였다. 상기 텅스텐 전구체를 100℃에서 기화하였다. (1) 기화된 텅스텐 전구체의 증기를 증착 챔버로 10초간 공급하여 133Pa의 압력하에서 기판 상에 증착하는 단계; (2) 30초간 아르곤 가스를 이용하여 미반응된 텅스텐 전구체의 증기를 증착 챔버 밖으로 퍼지하는 단계; (3) 오존을 30초간 공급하여 133Pa의 압력하에서 상기 텅스텐 전구체와 반응시키는 단계; 및 (4) 30초간 아르곤 가스를 이용하여 미반응된 오존을 증착 챔버 밖으로 퍼지하는 단계를 하나의 사이클로 진행하였다. 이로써 텅스텐 산화막(WO3)이 형성되었다. 한 사이클당 얻어지는 텅스텐 산화막의 두께는 약 0.03nm이었다. 약 500회의 사이클을 진행하여 최종 형성된 텅스텐 산화막의 두께는 약 15nm이었다. 상기 텅스텐 산화막에서 탄소 잔여물은 0.1wt%이하였다.

Claims (10)

  1. 하기 화학식 1로 나타내는 텅스텐 전구체:
    [화학식 1]
    Figure 112022042044631-pat00030

    상기 화학식 1에서 R1, R2 및 R3은 각각 독립적으로 비치환된 C1~C5인 직쇄 혹은 분기형태의 알킬기이고,
    R4및 R5는 각각 독립적으로 C1~C5인 직쇄 혹은 분기 형태의 알킬기, 트리메틸실릴기로 치환된 메틸기 또는 디메틸아미노기이고,
    n은 1이고, m은 1인 텅스텐 전구체.
  2. 삭제
  3. 제 1 항에 있어서,
    상기 C1~C5인 직쇄 혹은 분기 형태의 알킬기는 메틸, 에틸, 프로필, 이소프로필, 부틸, 이소부틸, 세컨더리 부틸, 터셔리 부틸, 펜틸 및 이소펜틸로 이루어진 그룹에서 선택되는 하나인 텅스텐 전구체.
  4. 삭제
  5. 삭제
  6. 삭제
  7. 삭제
  8. 제 1 항에 있어서,
    상기 R4 및 R5는 각각 독립적으로 C1~C5인 직쇄형 알킬기인 텅스텐 전구체.
  9. 삭제
  10. 삭제
KR1020170076029A 2017-06-15 2017-06-15 텅스텐 전구체 및 이를 이용한 텅스텐 함유막의 형성 방법 KR102474876B1 (ko)

Priority Applications (4)

Application Number Priority Date Filing Date Title
KR1020170076029A KR102474876B1 (ko) 2017-06-15 2017-06-15 텅스텐 전구체 및 이를 이용한 텅스텐 함유막의 형성 방법
US15/855,368 US10900119B2 (en) 2017-06-15 2017-12-27 Tungsten precursor and method of forming Tungsten containing layer using the same
JP2018088321A JP7064945B2 (ja) 2017-06-15 2018-05-01 タングステン前駆体及びこれを利用するタングステン含有膜の形成方法
CN201810556182.9A CN109134546B (zh) 2017-06-15 2018-05-31 钨前驱体及使用其形成含钨层的方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020170076029A KR102474876B1 (ko) 2017-06-15 2017-06-15 텅스텐 전구체 및 이를 이용한 텅스텐 함유막의 형성 방법

Publications (2)

Publication Number Publication Date
KR20180137064A KR20180137064A (ko) 2018-12-27
KR102474876B1 true KR102474876B1 (ko) 2022-12-07

Family

ID=64657290

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020170076029A KR102474876B1 (ko) 2017-06-15 2017-06-15 텅스텐 전구체 및 이를 이용한 텅스텐 함유막의 형성 방법

Country Status (4)

Country Link
US (1) US10900119B2 (ko)
JP (1) JP7064945B2 (ko)
KR (1) KR102474876B1 (ko)
CN (1) CN109134546B (ko)

Families Citing this family (263)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TW202405221A (zh) 2018-06-27 2024-02-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210089077A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 가스 공급 어셈블리, 이의 구성 요소, 및 이를 포함하는 반응기 시스템
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
CN111233940B (zh) * 2020-04-01 2022-08-30 苏州欣溪源新材料科技有限公司 钨配合物及其制备方法与应用
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070160761A1 (en) 2006-01-05 2007-07-12 H.C. Starck Gmbh & Co. Kg Tungsten and molybdenum compounds and thier use for chemical vapour deposition (CVD)

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2007015436A1 (ja) * 2005-08-04 2007-02-08 Tosoh Corporation 金属含有化合物、その製造方法、金属含有薄膜及びその形成方法
US7547796B2 (en) 2005-09-29 2009-06-16 Praxair Technology, Inc. Organometallic compounds, processes for the preparation thereof and methods of use thereof
US8153831B2 (en) 2006-09-28 2012-04-10 Praxair Technology, Inc. Organometallic compounds, processes for the preparation thereof and methods of use thereof
JP5873494B2 (ja) * 2010-08-27 2016-03-01 シグマ−アルドリッチ・カンパニー、エルエルシー モリブデン(iv)アミド前駆体及び原子層堆積法におけるそれらの使用
KR20140067147A (ko) * 2011-09-27 2014-06-03 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 텅스텐 디아자부타디엔 전구체, 그들의 합성, 및 텅스텐 함유 필름 침착을 위한 그들의 용도
WO2014052642A1 (en) 2012-09-28 2014-04-03 Advanced Technology Materials, Inc. Fluorine free tungsten ald/cvd process
KR20150126708A (ko) 2013-03-15 2015-11-12 레르 리키드 쏘시에떼 아노님 뿌르 레뜌드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 텅스텐-함유 필름의 침착을 위한 비스(알킬이미도)-비스(알킬아미도)텅스텐 분자
CN105392917A (zh) 2013-05-24 2016-03-09 Up化学株式会社 使用钨化合物沉积含钨膜的方法和用于沉积含钨膜的包含钨化合物的前体组合物
US9595470B2 (en) 2014-05-09 2017-03-14 Lam Research Corporation Methods of preparing tungsten and tungsten nitride thin films using tungsten chloride precursor
KR101546319B1 (ko) 2015-01-02 2015-08-24 (주)마이크로켐 텅스텐 함유 막을 증착시키기 위한 텅스텐 전구체 및 이를 포함하는 텅스텐 함유 필름 증착방법
JP6465699B2 (ja) * 2015-03-06 2019-02-06 株式会社Adeka ジアザジエニル化合物、薄膜形成用原料、薄膜の製造方法及びジアザジエン化合物
JP6675159B2 (ja) * 2015-06-17 2020-04-01 株式会社Adeka 新規な化合物、薄膜形成用原料及び薄膜の製造方法
KR101581314B1 (ko) * 2015-07-20 2015-12-31 (주)마이크로켐 텅스텐 전구체 및 이를 포함하는 텅스텐 함유 필름 증착방법

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070160761A1 (en) 2006-01-05 2007-07-12 H.C. Starck Gmbh & Co. Kg Tungsten and molybdenum compounds and thier use for chemical vapour deposition (CVD)

Also Published As

Publication number Publication date
JP7064945B2 (ja) 2022-05-11
CN109134546A (zh) 2019-01-04
KR20180137064A (ko) 2018-12-27
JP2019004138A (ja) 2019-01-10
US10900119B2 (en) 2021-01-26
CN109134546B (zh) 2023-03-10
US20180363131A1 (en) 2018-12-20

Similar Documents

Publication Publication Date Title
KR102474876B1 (ko) 텅스텐 전구체 및 이를 이용한 텅스텐 함유막의 형성 방법
TWI454589B (zh) 用於含金屬膜的第4族金屬前驅物
US20120021127A1 (en) Material for chemical vapor deposition and process for forming silicon-containing thin film using same
JP2010275602A (ja) 化学気相成長用原料とこれを用いたシリコン含有薄膜形成方法
JP2005068074A (ja) 希土類金属錯体、薄膜形成用原料及び薄膜の製造方法
JPWO2020071175A1 (ja) 原子層堆積法用薄膜形成用原料、薄膜形成用原料、薄膜の製造方法および化合物
EP3476827B1 (en) Vanadium compound, starting material for thin film formation, and method for producing thin film
WO2022190877A1 (ja) 原子層堆積法用薄膜形成用原料、薄膜、薄膜の製造方法及び亜鉛化合物
US20200140463A1 (en) Metal alkoxide compound, thin film forming raw material, and thin film production method
TWI801355B (zh) 化合物、薄膜形成用原料、原子層堆積法用之薄膜形成用原料及薄膜之製造方法
US11760771B2 (en) Ruthenium compound, raw material for forming thin film, and method for producing thin film
JP6948159B2 (ja) 新規な化合物、薄膜形成用原料及び薄膜の製造方法
EP3643700A1 (en) Metal alkoxide compound, thin-film-forming raw material, and method for producing thin film
US20230002423A1 (en) Novel tin compound, thin-film forming raw material containing said compound, thin film formed from said thin-film forming raw material, method of producing said thin film using said compound as precursor, and method of producing said thin film
US11807652B2 (en) Tungsten compound, raw material for thin film formation and method for producing thin film
JP6811514B2 (ja) 化合物、薄膜形成用原料及び薄膜の製造方法
US20240060177A1 (en) Indium compound, thin-film forming raw material, thin film, and method of producing same
TW202124396A (zh) 用於原子層沉積法之薄膜形成原料及使用其之含鋅薄膜的製造方法
JP2001181288A (ja) 化学的気相成長用金属アルコキシド化合物及びこれを用いた複合金属酸化物薄膜

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant